Sample records for vapor-phase epitaxy movpe

  1. Thermodynamic considerations of the vapor phase reactions in III-nitride metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2017-04-01

    We analyzed the metal organic vapor phase epitaxial growth mechanism of the III-nitride semiconductors GaN, AlN, and InN by first-principles calculations and thermodynamic analyses. In these analyses, we investigated the decomposition processes of the group III source gases X(CH3)3 (X = Ga, Al, In) at finite temperatures and determined whether the (CH3)2GaNH2 adduct can be formed or not. The results of our calculations show that the (CH3)2GaNH2 adduct cannot be formed in the gas phase in GaN metal organic vapor phase epitaxy (MOVPE), whereas, in AlN MOVPE, the formation of the (CH3)2AlNH2 adduct in the gas phase is exclusive. In the case of GaN MOVPE, trimethylgallium (TMG, [Ga(CH3)3]) decomposition into Ga gas on the growth surface with the assistance of H2 carrier gas, instead of the formation of the (CH3)2GaNH2 adduct, occurs almost exclusively. Moreover, in the case of InN MOVPE, the formation of the (CH3)2InNH2 adduct does not occur and it is relatively easy to produce In gas even without H2 in the carrier gas.

  2. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  3. Metalorganic vapor phase epitaxial growth of red and infrared vertical-cavity surface-emitting laser diodes

    NASA Astrophysics Data System (ADS)

    Schneider, R. P.; Lott, J. A.; Lear, K. L.; Choquette, K. D.; Crawford, M. H.; Kilcoyne, S. P.; Figiel, J. J.

    1994-12-01

    Metalorganic vapor phase epitaxy (MOVPE) is used for the growth of vertical-cavity surface-emitting laser (VCSEL) diodes. MOVPE exhibits a number of important advantages over the more commonly-used molecular-beam epitaxial (MBE) techniques, including ease of continuous compositional grading and carbon doping for low-resistance p-type distributed Bragg reflectors (DBRs), higher growth rates for rapid throughput and greater versatility in choice of materials and dopants. Planar gain-guided red VCSELs based on AlGaInP/AlGaAs heterostructures lase continuous-wave at room temperature, with voltage thresholds between 2.5 and 3 V and maximum power outputs of over 0.3 mW. Top-emitting infra-red (IR) VCSELs exhibit the highest power-conversion (wall-plug) efficiencies (21%), lowest threshold voltage (1.47 V), and highest single mode power (4.4 mW from an 8 μm device) yet reported. These results establish MOVPE as a preferred growth technique for this important new family of photonic devices.

  4. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  5. Method of varying a characteristic of an optical vertical cavity structure formed by metalorganic vapor phase epitaxy

    DOEpatents

    Hou, Hong Q.; Coltrin, Michael E.; Choquette, Kent D.

    2001-01-01

    A process for forming an array of vertical cavity optical resonant structures wherein the structures in the array have different detection or emission wavelengths. The process uses selective area growth (SAG) in conjunction with annular masks of differing dimensions to control the thickness and chemical composition of the materials in the optical cavities in conjunction with a metalorganic vapor phase epitaxy (MOVPE) process to build these arrays.

  6. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  7. A Kinetic Model for GaAs Growth by Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Simon, John; Jain, Nikhil

    2016-11-21

    Precise control of the growth of III-V materials by hydride vapor phase epitaxy (HVPE) is complicated by the fact that the growth rate depends on the concentrations of nearly all inputs to the reactor and also the reaction temperature. This behavior is in contrast to metalorganic vapor phase epitaxy (MOVPE), which in common practice operates in a mass transport limited regime where growth rate and alloy composition are controlled almost exclusively by flow of the Group III precursor. In HVPE, the growth rate and alloy compositions are very sensitive to temperature and reactant concentrations, which are strong functions of themore » reactor geometry. HVPE growth, particularly the growth of large area materials and devices, will benefit from the development of a growth model that can eventually be coupled with a computational fluid dynamics (CFD) model of a specific reactor geometry. In this work, we develop a growth rate law using a Langmuir-Hinshelwood (L-H) analysis, fitting unknown parameters to growth rate data from the literature that captures the relevant kinetic and thermodynamic phenomena of the HVPE process. We compare the L-H rate law to growth rate data from our custom HVPE reactor, and develop quantitative insight into reactor performance, demonstrating the utility of the growth model.« less

  8. Metalorganic Vapor-Phase Epitaxy Growth Parameters for Two-Dimensional MoS2

    NASA Astrophysics Data System (ADS)

    Marx, M.; Grundmann, A.; Lin, Y.-R.; Andrzejewski, D.; Kümmell, T.; Bacher, G.; Heuken, M.; Kalisch, H.; Vescan, A.

    2018-02-01

    The influence of the main growth parameters on the growth mechanism and film formation processes during metalorganic vapor-phase epitaxy (MOVPE) of two-dimensional MoS2 on sapphire (0001) have been investigated. Deposition was performed using molybdenum hexacarbonyl and di- tert-butyl sulfide as metalorganic precursors in a horizontal hot-wall MOVPE reactor from AIXTRON. The structural properties of the MoS2 films were analyzed by atomic force microscopy, scanning electron microscopy, and Raman spectroscopy. It was found that a substrate prebake step prior to growth reduced the nucleation density of the polycrystalline film. Simultaneously, the size of the MoS2 domains increased and the formation of parasitic carbonaceous film was suppressed. Additionally, the influence of growth parameters such as reactor pressure and surface temperature is discussed. An upper limit for these parameters was found, beyond which strong parasitic deposition or incorporation of carbon into MoS2 took place. This carbon contamination became significant at reactor pressure above 100 hPa and temperature above 900°C.

  9. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides

    DOE PAGES

    Ju, Guangxu; Highland, Matthew J.; Yanguas-Gil, Angel; ...

    2017-03-21

    Here, we describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and filmmore » structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.« less

  10. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides.

    PubMed

    Ju, Guangxu; Highland, Matthew J; Yanguas-Gil, Angel; Thompson, Carol; Eastman, Jeffrey A; Zhou, Hua; Brennan, Sean M; Stephenson, G Brian; Fuoss, Paul H

    2017-03-01

    We describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and film structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.

  11. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides

    NASA Astrophysics Data System (ADS)

    Ju, Guangxu; Highland, Matthew J.; Yanguas-Gil, Angel; Thompson, Carol; Eastman, Jeffrey A.; Zhou, Hua; Brennan, Sean M.; Stephenson, G. Brian; Fuoss, Paul H.

    2017-03-01

    We describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and film structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.

  12. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  13. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  14. Environment, health and safety issues for sources used in MOVPE growth of compound semiconductors

    NASA Astrophysics Data System (ADS)

    Shenai-Khatkhate, Deodatta V.; Goyette, Randall J.; DiCarlo, Ronald L., Jr.; Dripps, Gregory

    2004-12-01

    As metalorganic vapor-phase epitaxy (MOVPE) is becoming well-established production technology, there are equally growing concerns associated with its bearing on personnel and community safety, environmental impact and maximum quantities of hazardous materials permissible in the device fabrication operations. Safety as well as responsible environmental care has always been of paramount importance in the MOVPE-based crystal growth of compound semiconductors. In this paper, we present the findings from workplace exposure monitoring studies on conventional MOVPE sources such as trimethylgallium, triethylgallium, trimethylantimony and diethylzinc. Also reviewed are the environmental, health and safety hazard aspects for metalorganic sources of routine elements, and the means to minimize the risks (i.e., engineering controls) involved while using these MOVPE sources.

  15. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  16. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  17. High-Performance InGaAs/InP Composite-Channel High Electron Mobility Transistors Grown by Metal-Organic Vapor-Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Sugiyama, Hiroki; Kosugi, Toshihiko; Yokoyama, Haruki; Murata, Koichi; Yamane, Yasuro; Tokumitsu, Masami; Enoki, Takatomo

    2008-04-01

    This paper reports InGaAs/InP composite-channel (CC) high electron mobility transistors (HEMTs) grown by metal-organic vapor-phase epitaxy (MOVPE) with excellent breakdown and high-speed characteristics. Atomic force microscopy (AFM) reveals high-quality heterointerfaces between In(Ga,Al)As and In(Al)P. Fabricated 80-nm-gate CC HEMTs exhibit on- and off-state breakdown (burnout) voltages estimated at higher than 3 and 8 V. An excellent current-gain cutoff frequency ( fT) of 186 GHz is also obtained in the CC HEMTs. The on-wafer uniformity of CC-HEMT characteristics is comparable to those of our mature 100-nm-gate InGaAs single-channel HEMTs. Bias-stress aging tests reveals that the lifetime of CC HEMTs is expected to be comparable to that of our conventional InGaAs single-channel HEMTs.

  18. Real-time x-ray studies of crystal growth modes during metal-organic vapor phase epitaxy of GaN on c- and m-plane single crystals

    DOE PAGES

    Perret, Edith; Highland, M. J.; Stephenson, G. B.; ...

    2014-08-04

    Non-polar orientations of III-nitride semiconductors have attracted significant interest due to their potential application in optoelectronic devices with enhanced efficiency. Using in-situ surface x-ray scattering during metal-organic vapor phase epitaxy (MOVPE) of GaN on non-polar (m-plane) and polar (c-plane) orientations of single crystal substrates, we have observed the homoepitaxial growth modes as a function of temperature and growth rate. On the m-plane surface we observe all three growth modes (step-flow, layer-by-layer, and three-dimensional) as conditions are varied. In contrast, the +c-plane surface exhibits a direct cross over between step-flow and 3-D growth, with no layer-by-layer regime. The apparent activation energymore » of 2.8 ± 0.2 eV observed for the growth rate at the layer-by-layer to step-flow boundary on the m-plane surface is consistent with those observed for MOVPE growth of other III-V compounds, indicating a large critical nucleus size for islands.« less

  19. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  20. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    NASA Astrophysics Data System (ADS)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  1. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  2. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  3. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  4. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  5. Modeling of Gallium Nitride Hydride Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A reactor model for the hydride vapor phase epitaxy of GaN is presented. The governing flow, energy, and species conservation equations are solved in two dimensions to examine the growth characteristics as a function of process variables and reactor geometry. The growth rate varies with GaCl composition but independent of NH3 and H2 flow rates. A change in carrier gas for Ga source from H2 to N2 affects the growth rate and uniformity for a fixed reactor configuration. The model predictions are in general agreement with observed experimental behavior.

  6. Novel nitrogen/gallium precursor [Ga(bdma)H2] for MOVPE

    NASA Astrophysics Data System (ADS)

    Sterzer, E.; Beyer, A.; Nattermann, L.; Schorn, W.; Schlechter, K.; Pulz, S.; Sundermeyer, J.; Stolz, W.; Volz, K.

    2016-11-01

    Dilute nitrogen (N) containing III/V semiconductors are promising candidates for solar cell and laser applications. The N incorporation efficiency of 1,1-dimethylhydrazine (UMDHy) in metal organic vapor phase epitaxy (MOVPE), however, happens to be only in the one percentage range and below. This leads to an extremely high offer of UDMHy in the MOVPE reactor and, therefore, a drastic change in the growth conditions. Furthermore, the device efficiency of dilute nitride materials is currently hampered by carbon (C) incorporation, which is believed to be incorporated either jointly with the N from the dimethylamine radical of the UMDHy or from short hydrocarbon radicals originating from the decomposition of the other metal organics. Therefore, this work presents a novel N precursor N,N'-Bis(dimethylamino)acetamidinato-galliumdihydride [Ga(bdma)H2], which provides not only N but also gallium (Ga) during MOVPE. The direct N-Ga bond in this molecule might facilitate the N incorporation and hence increase the efficiency. For a systematic N incorporation study Ga(NAs)/GaAs heterostructures were grown by MOVPE. The N content was determined via high resolution X-ray diffraction and photoluminescence (PL) studies. Good structural quality and as grown room temperature PL were obtained. It will be also shown that the N incorporation efficiency in GaAs using [Ga(bdma)H2] is significantly higher than for growths using UDMHy under comparable conditions, making this class of molecules promising candidates for the growth of dilute nitride materials.

  7. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Metal-organic vapor phase epitaxy of (GaAl)As for 0.85-μm laser diodes

    NASA Astrophysics Data System (ADS)

    Jacobs, K.; Bugge, F.; Butzke, G.; Lehmann, L.; Schimko, R.

    1988-11-01

    Metal-organic vapor phase epitaxy was used to grow stripe heterolaser diodes that were hitherto fabricated by liquid phase epitaxy. The main relationships between the growth parameters (partial input pressures, temperatures) and the properties of materials (thicknesses, solid-solution compositions, carrier densities) were investigated. The results were in full agreement with the mechanism of growth controlled by a vapor-phase diffusion. The results achieved routinely in the growth of GaAs are reported. It is shown that double heterostructure laser diodes fabricated by metal-organic vapor phase epitaxy compete favorably with those grown so far by liquid phase epitaxy, including their degradation and reliability.

  8. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  9. Use of column V alkyls in organometallic vapor phase epitaxy (OMVPE)

    NASA Technical Reports Server (NTRS)

    Ludowise, M. J.; Cooper, C. B., III

    1982-01-01

    The use of the column V-trialkyls trimethylarsenic (TMAs) and trimethylantimony (TMSb) for the organometallic vapor phase epitaxy (OM-VPE) of III-V compound semiconductors is reviewed. A general discussion of the interaction chemistry of common Group III and Group V reactants is presented. The practical application of TMSb and TMAs for OM-VPE is demonstrated using the growth of GaSb, GaAs(1-y)Sb(y), Al(x)Ga(1-x)Sb, and Ga(1-x)In(x)As as examples.

  10. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  11. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  12. A semi-empirical model for the complete orientation dependence of the growth rate for vapor phase epitaxy - Chloride VPE of GaAs

    NASA Technical Reports Server (NTRS)

    Seidel-Salinas, L. K.; Jones, S. H.; Duva, J. M.

    1992-01-01

    A semi-empirical model has been developed to determine the complete crystallographic orientation dependence of the growth rate for vapor phase epitaxy (VPE). Previous researchers have been able to determine this dependence for a limited range of orientations; however, our model yields relative growth rate information for any orientation. This model for diamond and zincblende structure materials is based on experimental growth rate data, gas phase diffusion, and surface reactions. Data for GaAs chloride VPE is used to illustrate the model. The resulting growth rate polar diagrams are used in conjunction with Wulff constructions to simulate epitaxial layer shapes as grown on patterned substrates. In general, this model can be applied to a variety of materials and vapor phase epitaxy systems.

  13. Flexible metal-semiconductor-metal device prototype on wafer-scale thick boron nitride layers grown by MOVPE.

    PubMed

    Li, Xin; Jordan, Matthew B; Ayari, Taha; Sundaram, Suresh; El Gmili, Youssef; Alam, Saiful; Alam, Muhbub; Patriarche, Gilles; Voss, Paul L; Paul Salvestrini, Jean; Ougazzaden, Abdallah

    2017-04-11

    Practical boron nitride (BN) detector applications will require uniform materials over large surface area and thick BN layers. To report important progress toward these technological requirements, 1~2.5 µm-thick BN layers were grown on 2-inch sapphire substrates by metal-organic vapor phase epitaxy (MOVPE). The structural and optical properties were carefully characterized and discussed. The thick layers exhibited strong band-edge absorption near 215 nm. A highly oriented two-dimensional h-BN structure was formed at the film/sapphire interface, which permitted an effective exfoliation of the thick BN film onto other adhesive supports. And this structure resulted in a metal-semiconductor-metal (MSM) device prototype fabricated on BN membrane delaminating from the substrate. MSM photodiode prototype showed low dark current of 2 nA under 100 V, and 100 ± 20% photoconductivity yield for deep UV light illumination. These wafer-scale MOVPE-grown thick BN layers present great potential for the development of deep UV photodetection applications, and even for flexible (opto-) electronics in the future.

  14. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    NASA Astrophysics Data System (ADS)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  15. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  16. MOVPE growth of N-polar AlN on 4H-SiC: Effect of substrate miscut on layer quality

    NASA Astrophysics Data System (ADS)

    Lemettinen, J.; Okumura, H.; Kim, I.; Kauppinen, C.; Palacios, T.; Suihkonen, S.

    2018-04-01

    We present the effect of miscut angle of SiC substrates on N-polar AlN growth. The N-polar AlN layers were grown on C-face 4H-SiC substrates with a miscut towards 〈 1 bar 1 0 0 〉 by metal-organic vapor phase epitaxy (MOVPE). The optimal V/III ratios for high-quality AlN growth on 1 ° and 4 ° miscut substrates were found to be 20,000 and 1000, respectively. MOVPE grown N-polar AlN layer without hexagonal hillocks or step bunching was achieved using a 4H-SiC substrate with an intentional miscut of 1 ° towards 〈 1 bar 1 0 0 〉 . The 200-nm-thick AlN layer exhibited X-ray rocking curve full width half maximums of 203 arcsec and 389 arcsec for (0 0 2) and (1 0 2) reflections, respectively. The root mean square roughness was 0.4 nm for a 2 μm × 2 μm atomic force microscope scan.

  17. Quasi-thermodynamic analysis of MOVPE growth of Ga xAl yIn 1- x- yN

    NASA Astrophysics Data System (ADS)

    Lu, Da-Cheng; Duan, Shukun

    2002-01-01

    A quasi-thermodynamic model of metalorganic vapor phase epitaxy (MOVPE) growth of Ga xAl yIn 1- x- yN alloys has been proposed. In view of the complex growth behavior of Ga xAl yIn 1- x- yN, we focus our attention on the gallium-rich quaternary alloys that are lattice matched to GaN, In 0.15Ga 0.85N or Al 0.15Ga 0.85N, which are widely used in the GaN-based optoelectronic devices. The relationship between GaAlInN alloy composition and input molar ratio of group III metalorganic compounds at various growth conditions has been calculated. The influence of growth temperature, nitrogen fraction in the carrier gas, input partial pressure of group III metalorganics, reactor pressure, V/III ratio and the decomposition rate of ammonia on the composition of deposited alloys are studied systematically. Based on these calculated results, we can find out the appropriate growth conditions for the MOVPE growth of Ga xAl yIn 1- x- yN alloy lattice matched to GaN, In 0.15Ga 0.85N or Al 0.15Ga 0.85N.

  18. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  19. Chirality-Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and Scalable Production

    DTIC Science & Technology

    2016-09-15

    controlled synthesis of single-wall carbon nanotubes. Firstly, we have successfully demonstrated a vapor-phase-epitaxy-analogous general strategy for...preselected chirality. Moreover, we carried out systematic investigations of the chirality-dependent growth kinetics and termination mechanism for the... generally believed that the diameters of the nanotubes are determined by the size of the catalytic metal particles. Unfortunately, attempts to control

  20. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  1. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Technical Reports Server (NTRS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-01-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  2. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Astrophysics Data System (ADS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-02-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  3. Hydride vapor phase epitaxy of AlN using a high temperature hot-wall reactor

    NASA Astrophysics Data System (ADS)

    Baker, Troy; Mayo, Ashley; Veisi, Zeinab; Lu, Peng; Schmitt, Jason

    2014-10-01

    Aluminum nitride (AlN) was grown on c-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). The experiments utilized a two zone inductively heated hot-wall reactor. The surface morphology, crystal quality, and growth rate were investigated as a function of growth temperature in the range of 1450-1575 °C. AlN templates grown to a thickness of 1 μm were optimized with double axis X-ray diffraction (XRD) rocking curve full width half maximums (FWHMs) of 135″ for the (002) and 513″ for the (102).

  4. Si impurity concentration in nominally undoped Al0.7Ga0.3N grown in a planetary MOVPE reactor

    NASA Astrophysics Data System (ADS)

    Jeschke, J.; Knauer, A.; Weyers, M.

    2018-02-01

    The unintentional silicon incorporation during the metalorganic vapor phase epitaxy (MOVPE) of nominally undoped Al0.7Ga0.3N in a Planetary Reactor under various growth conditions was investigated. Dependent on growth temperature, pressure and V/III ratio, Si concentrations of below 1 × 1016 up to 4 × 1017 cm-3 were measured. Potential Si sources are discussed and, by comparing samples grown in a SiC coated reactor setup and in a TaC coated setup, the SiC coatings in the reactor are identified as the most likely source for the unintentional Si doping at elevated temperatures above 1080 °C. Under identical growth conditions the background Si concentration can be reduced by up to an order of magnitude when using TaC coatings.

  5. Trimethylamine alane for low-pressure MOVPE growth of AlGaAs-based materials and device structures

    NASA Astrophysics Data System (ADS)

    Schneider, R. P.; Bryan, R. P.; Jones, E. D.; Biefield, R. M.; Olbright, G. R.

    The use of trimethylamine alane (TMAA1) as an alternative to trimethylaluminum (TMA1) for low-pressure metalorganic vapor-phase epitaxy (MOVPE) of AlGaAs thin films as well as complex optoelectronic device structures has been studied in detail. AlGaAs layers were grown in a horizontal reaction chamber at 20 - 110 mbar with growth temperatures in the range 650 C less than or equal to T(sub G) less than or equal to 750 C. Wafer thickness uniformity is strongly dependent on growth pressure, and is acceptable only for the highest linear flow velocities. The 12 K photoluminescence (PL) spectra of AlGaAs layers grown using TMAA1 and TEGa exhibit uniformly intense and narrow bound-exciton emission throughout the growth temperature range investigated. To assess the viability of this new source for the low-pressure OMVPE growth of advanced optoelectronic devices, several optically-pumped vertical-cavity surface-emitting laser (VCSEL) structures were grown using TMAA1 extensively. Room temperature lasing at 850 nm was reproducibly obtained from the VCSEL structures, with a threshold pumping power comparable to similar structures grown by molecular beam epitaxy in our laboratories.

  6. Environmentally friendly method to grow wide-bandgap semiconductor aluminum nitride crystals: Elementary source vapor phase epitaxy

    PubMed Central

    Wu, PeiTsen; Funato, Mitsuru; Kawakami, Yoichi

    2015-01-01

    Aluminum nitride (AlN) has attracted increasing interest as an optoelectronic material in the deep ultraviolet spectral range due to its wide bandgap of 6.0 eV (207 nm wavelength) at room temperature. Because AlN bulk single crystals are ideal device substrates for such applications, the crystal growth of bulky AlN has been extensively studied. Two growth methods seem especially promising: hydride vapor phase epitaxy (HVPE) and sublimation. However, the former requires hazardous gases such as hydrochloric acid and ammonia, while the latter needs extremely high growth temperatures around 2000 °C. Herein we propose a novel vapor-phase-epitaxy-based growth method for AlN that does not use toxic materials; the source precursors are elementary aluminum and nitrogen gas. To prepare our AlN, we constructed a new growth apparatus, which realizes growth of AlN single crystals at a rate of ~18 μm/h at 1550 °C using argon as the source transfer via the simple reaction Al + 1/2N2 → AlN. This growth rate is comparable to that by HVPE, and the growth temperature is much lower than that in sublimation. Thus, this study opens up a novel route to achieve environmentally friendly growth of AlN. PMID:26616203

  7. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  8. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE PAGES

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.; ...

    2017-10-18

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  9. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  10. The influence of MOVPE growth conditions on the shell of core-shell GaN microrod structures

    NASA Astrophysics Data System (ADS)

    Schimpke, Tilman; Avramescu, Adrian; Koller, Andreas; Fernando-Saavedra, Amalia; Hartmann, Jana; Ledig, Johannes; Waag, Andreas; Strassburg, Martin; Lugauer, Hans-Jürgen

    2017-05-01

    A core-shell geometry is employed for most next-generation, three-dimensional opto-electric devices based on III-V semiconductors and grown by metal organic vapor phase epitaxy (MOVPE). Controlling the shape of the shell layers is fundamental for device optimization, however no detailed analysis of the influence of growth conditions has been published to date. We study homogeneous arrays of gallium nitride core-shell microrods with height and diameter in the micrometer range and grown in a two-step selective area MOVPE process. Changes in shell shape and homogeneity effected by deliberately altered shell growth conditions were accurately assessed by digital analysis of high-resolution scanning electron microscope images. Most notably, two temperature regimes could be established, which show a significantly different behavior with regard to material distribution. Above 900 °C of wafer carrier temperature, the shell thickness along the growth axis of the rods was very homogeneous, however variations between vicinal rods increase. In contrast, below 830 °C the shell thickness is higher close to the microrod tip than at the base of the rods, while the lateral homogeneity between neighboring microrods is very uniform. This temperature effect could be either amplified or attenuated by changing the remaining growth parameters such as reactor pressure, structure distance, gallium precursor, carrier gas composition and dopant materials. Possible reasons for these findings are discussed with respect to GaN decomposition as well as the surface and gas phase diffusion of growth species, leading to an improved control of the functional layers in next-generation 3D V-III devices.

  11. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  12. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  13. MOVPE growth and transport characterization of Bi2-xSbxTe3-ySey films

    NASA Astrophysics Data System (ADS)

    Kuznetsov, P. I.; Yakushcheva, G. G.; Shchamkhalova, B. S.; Jitov, V. A.; Temiryazev, A. G.; Sizov, V. E.; Yapaskurt, V. O.

    2018-02-01

    We present a first study of films of the quaternary Bi2-xSbxTe3-ySey solid solutions on (0 0 0 1) sapphire substrates grown by atmospheric pressure MOVPE. Trimethylbismuth, trimethylantimony, diisopropylselenide and diethyltelluride were used as precursors. To passivate the free bonds of the substrate and to improve the epitaxy, a thin (15 nm) ZnTe buffer layer was first grown. EDX analysis of the films grown at a temperature of 445 °C and about 10-fold excess of chalcogen in the vapor phase indicates on their compliance with V2VI3 stoichiometry. AFM and SEM investigations showed that at the initial stage of deposition the Stranski-Krastanov growth mode is dominant. Complete coalescence of nanoislands occurs at a thickness about 60 nm and further film formation is in the 2D layer-by-layer growth mode. A high mole fraction of antimony in the vapor phase leads to bad crystalline quality of the films and even to their discontinuity. Transport properties of the Bi2-xSbxTe3-ySey films were evaluated using Van der Pauw Hall effect measurements in the range of temperatures of 10-300 K. Some films are always n- or p-type; in other samples the change of conductivity from p- to n-type was observed when the temperature decreases.

  14. AlGaN/GaN HEMT grown on large size silicon substrates by MOVPE capped with in-situ deposited Si 3N 4

    NASA Astrophysics Data System (ADS)

    Cheng, Kai; Leys, M.; Derluyn, J.; Degroote, S.; Xiao, D. P.; Lorenz, A.; Boeykens, S.; Germain, M.; Borghs, G.

    2007-01-01

    AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on 4 and 6 in Si(1 1 1) substrates by metal organic vapor phase epitaxy (MOVPE). A record sheet resistance of 256 Ω/□ has been measured by contactless eddy current mapping on 4 in silicon substrates. The wafer also shows an excellent uniformity and the standard variation is 3.6 Ω/□ over the whole wafer. These values were confirmed by Hall-Van der Pauw measurements. In the 2DEG at the AlGaN/GaN interface, the electron mobility is in the range of 1500-1800 cm 2/Vs and the electron density is between 1.3×10 13 and 1.7×10 13 cm -2. The key step in obtaining these results is an in-situ deposited Si 3N 4 passivation layer. This in-situ Si 3N 4, deposited directly after AlGaN top layer growth in the MOVPE reactor chamber, not only prevents the stress relaxation in AlGaN/GaN hetero-structures but also passivates the surface states of the AlGaN cap layer. HEMT transistors have been processed on the epitaxial structures and the maximum source-drain current density is 1.1 A/mm for a gate-source voltage of 2 V. The current collapse is minimized thanks to in-situ Si 3N 4. First results on AlGaN/GaN structures grown on 6 in Si(1 1 1) are also presented.

  15. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  16. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  17. Direct Growth of CdTe on a (211) Si Substrate with Vapor Phase Epitaxy Using a Metallic Cd Source

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Gokudan, Yuya; Shiraishi, Masumi; Murakami, Hisashi; Koukitu, Akinori

    2017-10-01

    We successfully performed epitaxial CdTe growth on a Si (211) substrate with vapor-phase epitaxy using a cost-effective metallic cadmium source as a group-II precursor. The thermodynamic data demonstrate that the combination of metallic Cd and diisopropyl-telluride (DiPTe) with a H2 carrier gas enables the growth of CdTe crystals. A CdTe single crystal with a (422) surface orientation was obtained when a growth temperature between 600°C and 650°C was employed. The surface morphology and crystalline quality were improved with increasing film thickness. The full-width at half-maximum of the x-ray rocking curves with a film thickness of 15.7 μm for the skew-symmetrical (422) and asymmetrical (111) reflection were 528 arcsec and 615 arcsec, respectively.

  18. Theoretical study of the composition pulling effect in InGaN metalorganic vapor-phase epitaxy growth

    NASA Astrophysics Data System (ADS)

    Inatomi, Yuya; Kangawa, Yoshihiro; Ito, Tomonori; Suski, Tadeusz; Kumagai, Yoshinao; Kakimoto, Koichi; Koukitu, Akinori

    2017-07-01

    The composition pulling effect in metalorganic vapor-phase InGaN epitaxy was theoretically investigated by thermodynamic analysis. The excess energies of biaxial-strained In x Ga1- x N were numerically calculated using empirical interatomic potentials considering different situations: (i) coherent growth on GaN(0001), (ii) coherent growth on In0.2Ga0.8N(0001), and (iii) bulk growth. Using the excess energies, the excess chemical potentials of InN and GaN alloys were computed. Our results show that compressive strain suppresses In incorporation, whereas tensile strain promotes it. Moreover, assuming chemical equilibrium, the relationship between the solid composition and the growth conditions was predicted. The results successfully reproduced the typical composition pulling effect.

  19. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  20. Phase degradation in BxGa1-xN films grown at low temperature by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; Allerman, Andrew A.; Lee, Stephen R.

    2017-04-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of BxGa1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750-900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to 7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stacking faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at 362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. Only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.

  1. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  2. Characterization of HgCdTe and Related Materials and Substrates for Third Generation Infrared Detectors

    DTIC Science & Technology

    2012-12-01

    metal-organic vapor phase epitaxy (MOVPE); (iii) convenient n-type and p- type dopants; (iv) versatile methods for forming mesas , planar homojunctions...S. Kim, E. Plis, J. B. Rodriguez , G. D. Bishop, Y. D. Sharma, L. R. Dawson, S. Krishna, J. Bundas, R. Cook, D. Burrows, R. Dennis, K. Patnaude, A

  3. Fabrication of selective-area growth InGaN LED by mixed-source hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Bae, Sung Geun; Jeon, Injun; Jeon, Hunsoo; Kim, Kyoung Hwa; Yang, Min; Yi, Sam Nyung; Lee, Jae Hak; Ahn, Hyung Soo; Yu, Young Moon; Sawaki, Nobuhiko; Kim, Suck-Whan

    2018-01-01

    We prepared InGaN light-emitting diodes (LEDs) with the active layers grown from a mixed source of Ga-In-N materials on an n-type GaN substrate by a selective-area growth method and three fabrication steps: photolithography, epitaxial layer growth, and metallization. The preparation followed a previously developed experimental process using apparatus for mixed-source hydride vapor-phase epitaxy (HVPE), which consisted of a multi-graphite boat, for insulating against the high temperature and to control the growth rate of epilayers, filled with the mixed source on the inside and a radio-frequency (RF) heating coil for heating to a high temperature (T > 900 °C) and for easy control of temperature outside the source zone. Two types of LEDs were prepared, with In compositions of 11.0 and 6.0% in the InGaN active layer, and room-temperature electroluminescence measurements exhibited a main peak corresponding to the In composition at either 420 or 390 nm. The consecutive growth of InGaN LEDs by the mixed-source HVPE method provides a technique for the production of LEDs with a wide range of In compositions in the active layer.

  4. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  5. Numerical simulations of epitaxial growth process in MOVPE reactor as a tool for design of modern semiconductors for high power electronics

    NASA Astrophysics Data System (ADS)

    Skibinski, Jakub; Caban, Piotr; Wejrzanowski, Tomasz; Kurzydlowski, Krzysztof J.

    2014-10-01

    In the present study numerical simulations of epitaxial growth of gallium nitride in Metal Organic Vapor Phase Epitaxy reactor AIX-200/4RF-S is addressed. Epitaxial growth means crystal growth that progresses while inheriting the laminar structure and the orientation of substrate crystals. One of the technological problems is to obtain homogeneous growth rate over the main deposit area. Since there are many agents influencing reaction on crystal area such as temperature, pressure, gas flow or reactor geometry, it is difficult to design optimal process. According to the fact that it's impossible to determine experimentally the exact distribution of heat and mass transfer inside the reactor during crystal growth, modeling is the only solution to understand the process precisely. Numerical simulations allow to understand the epitaxial process by calculation of heat and mass transfer distribution during growth of gallium nitride. Including chemical reactions in numerical model allows to calculate the growth rate of the substrate and estimate the optimal process conditions for obtaining the most homogeneous product.

  6. Nanostructures produced by phase-separation during growth of (III-V).sub.1-x(IV.sub.2).sub.x alloys

    DOEpatents

    Norman, Andrew G [Evergreen, CO; Olson, Jerry M [Lakewood, CO

    2007-06-12

    Nanostructures (18) and methods for production thereof by phase separation during metal organic vapor-phase epitaxy (MOVPE). An embodiment of one of the methods may comprise providing a growth surface in a reaction chamber and introducing a first mixture of precursor materials into the reaction chamber to form a buffer layer (12) thereon. A second mixture of precursor materials may be provided into the reaction chamber to form an active region (14) on the buffer layer (12), wherein the nanostructure (18) is embedded in a matrix (16) in the active region (14). Additional steps are also disclosed for preparing the nanostructure (18) product for various applications.

  7. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  8. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  9. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE PAGES

    Perret, Edith; Xu, Dongwei; Highland, M. J.; ...

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  10. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growthmore » rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  11. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  12. Deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase-epitaxy n-GaAs

    NASA Technical Reports Server (NTRS)

    Partin, D. L.; Chen, J. W.; Milnes, A. G.; Vassamillet, L. F.

    1979-01-01

    The paper presents deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase epitaxy n-GaAs. Nickel diffused into VPE n-GaAs reduces the hole diffusion length L sub p from 4.3 to 1.1 microns. Deep-level transient spectroscopy was used to identify energy levels in Ni-diffused GaAs; the as-grown VPE GaAs contains traces of these levels and an electron trap. Ni diffusion reduces the concentration of this level by an amount that matches the increase in concentration of each of the two Ni-related levels. A technique for measuring minority-carrier capture cross sections was developed, which indicates that L sub p in Ni-diffused VPE n-GaAs is controlled by the E sub c - 0.39 eV defect level.

  13. Morphological, compositional, and geometrical transients of V-groove quantum wires formed during metalorganic vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Dimastrodonato, Valeria; Pelucchi, Emanuele; Zestanakis, Panagiotis A.; Vvedensky, Dimitri D.

    2013-07-01

    We present a theoretical model of the formation of self-limited (Al)GaAs quantum wires within V-grooves on GaAs(001) substrates during metalorganic vapor-phase epitaxy. We identify the facet-dependent rates of the kinetic processes responsible for the formation of the self-limiting profile, which is accompanied by Ga segregation along the axis perpendicular to the bottom of the original template, and analyze their interplay with the facet geometry in the transient regime. A reduced model is adopted for the evolution of the patterned profile, as determined by the angle between the different crystallographic planes as a function of the growth conditions. Our results provide a comprehensive phenomenological understanding of the self-ordering mechanism on patterned surfaces which can be harnessed for designing the quantum optical properties of low-dimensional systems.

  14. Influence of the growth method on degradation of InGaN laser diodes

    NASA Astrophysics Data System (ADS)

    Bojarska, Agata; Muzioł, Grzegorz; Skierbiszewski, Czesław; Grzanka, Ewa; Wiśniewski, Przemysław; Makarowa, Irina; Czernecki, Robert; Suski, Tadek; Perlin, Piotr

    2017-09-01

    We demonstrate the influence of the operation current density and temperature on the degradation rate of InGaN laser diodes grown via metalorganic vapor-phase epitaxy (MOVPE) and plasma-assisted molecular beam epitaxy (PAMBE). The degradation rate of the MOVPE devices shows an exponential dependence on the temperature, with an activation energy of 0.38-0.43 eV, and a linear dependence on the operating current density. In comparison, the MBE-grown lasers exhibit a higher activation energy, on the order of 1 eV, and typically a lower degradation rate, resulting in a service time exceeding 50,000 h. We suggest that this difference may be related to the lower concentration of H in the Mg-doped MBE-grown GaN.

  15. Reduction of degradation in vapor phase transported InP/InGaAsP mushroom stripe lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, H.; Burkhardt, E.G.; Pfister, W.

    1988-10-03

    The rapid degradation rate generally observed in InP/InGaAsP mushroom stripe lasers can be considerably decreased by regrowing the open sidewalls of the active stripe with low-doped InP in a second epitaxial step using the hydride vapor phase transport technique. This technique does not change the fundamental laser parameters like light-current and current-voltage characteristics. Because of this drastic reduction in degradation, the vapor phase epitaxy regrown InP/InGaAsP mushroom laser seems to be an interesting candidate for application in optical communication.

  16. Enhanced kinetics of Al{sub 0.97}Ga{sub 0.03}As wet oxidation through the use of hydrogenation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le Du, M.; Sagnes, I.; Beaudoin, G.

    2006-09-11

    This letter reports on a different kinetic behavior of the wet thermal oxidation process resulting in Al{sub x}O{sub y} material depending on the AlAs material growth method, molecular beam epitaxy (MBE) or metal organic vapor phase epitaxy (MOVPE). A higher oxidation rate for MOVPE-grown materia is systemically found. Considering the major role of hydrogen in the wet oxidation reaction, it is believed this observation could be linked with the higher hydrogen residual concentration in MOVPE layers. Using a hydrogen plasma, MBE-grown Al{sub 0.97}Ga{sub 0.03}As layers were hydrogened prior to oxidation. This hydrogenated sample showed a ten times enhanced oxidation ratemore » as compared to the nonhydrogenated Al{sub 0.97}Ga{sub 0.03}As sample. This behavior is mainly attributed to a hydrogen induced modification of the diffusion limited regime, enhancing the diffusion length of oxidizing species and reaction products in the oxidized layers.« less

  17. N-face GaN nanorods: Continuous-flux MOVPE growth and morphological properties

    NASA Astrophysics Data System (ADS)

    Bergbauer, W.; Strassburg, M.; Kölper, Ch.; Linder, N.; Roder, C.; Lähnemann, J.; Trampert, A.; Fündling, S.; Li, S. F.; Wehmann, H.-H.; Waag, A.

    2011-01-01

    We demonstrate the morphological properties of height, diameter and shape controlled N-face GaN nanorods (NRs) by adjusting conventional growth parameters of a standard metalorganic vapour phase epitaxy (MOVPE) growth process. Particularly the hydrogen fraction within the carrier gas was shown to be an important shaping tool for the grown nanostructures. Additionally, the aspect ratio of the NRs was successfully tuned by increasing the pitch of the nanoimprint lithography (NIL) pattern, while maintaining the hole-diameter constant. An optimum aspect ratio could be found at pitches between 400 and 800 nm, whereas larger pitches are counter-productive. The major conclusion drawn from our experiments is that the whole amount of growth material available over the masked surface contributes to the growth of the NRs.

  18. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  19. Low-Cost III-V Photovoltaic Materials by Chloride Vapor Transport Deposition Using Safe Solid Precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Aloni, Shaul; Weiss, Robert

    Si-based photovoltaic devices dominate the market. As photovoltaic (PV) manufacturing costs have plummeted, technologies which increase efficiency have become critical. Si cell efficiencies are nearing theoretical limits and Si-based PV modules are unlikely to reach the 25-30% efficiency range. The use of III-V semiconductors is an obvious technical solution to improve efficiency, especially if they can be integrated directly with existing Si technology as tandems. High coefficients of light absorption along with tunable bandgaps and lattice constants have resulted in record conversion efficiencies for both one-sun and concentrator PV applications. GaAs, for example, has been used to manufacture single-junction photovoltaicsmore » with world-record efficiencies of 28.8% at one sun.2 However, costs for III-Vs must be dramatically reduced to produce cost-effective, high-efficiency PV solutions. III-V costs are controlled by two factors: semiconductor growth and the substrate. III-V growth is dominated today by metal-organic vapor phase epitaxy (MOVPE) with a lesser role played by molecular beam epitaxy (MBE). MOVPE costs are high due to the expense and low utilization (~30%) of precursors, modest growth rates (~100 nm min-1), equipment complexity, and safety infrastructure needed to handle toxic, pyrophoric gases.3 MBE costs are high due to slow growth rates and limitations of scalability. Details comparing plausible low-cost III-V growth methods are available in a review article published as a result of this project. The primary goal of this project was to demonstrate that close-spaced vapor transport (CSVT) using chloride (from HCl) as a transport agent can be used for the rapid growth of device-ready III-V layers from safe, solid-source precursors. In pursuit of this goal, we designed, built, and installed a new Cl-CSVT reactor based on insights from our previous H2O-CSVT growth system and in collaboration with equipment professionals at Malachite Technologies

  20. Band engineered epitaxial 3D GaN-InGaN core-shell rod arrays as an advanced photoanode for visible-light-driven water splitting.

    PubMed

    Caccamo, Lorenzo; Hartmann, Jana; Fàbrega, Cristian; Estradé, Sonia; Lilienkamp, Gerhard; Prades, Joan Daniel; Hoffmann, Martin W G; Ledig, Johannes; Wagner, Alexander; Wang, Xue; Lopez-Conesa, Lluis; Peiró, Francesca; Rebled, José Manuel; Wehmann, Hergo-Heinrich; Daum, Winfried; Shen, Hao; Waag, Andreas

    2014-02-26

    3D single-crystalline, well-aligned GaN-InGaN rod arrays are fabricated by selective area growth (SAG) metal-organic vapor phase epitaxy (MOVPE) for visible-light water splitting. Epitaxial InGaN layer grows successfully on 3D GaN rods to minimize defects within the GaN-InGaN heterojunctions. The indium concentration (In ∼ 0.30 ± 0.04) is rather homogeneous in InGaN shells along the radial and longitudinal directions. The growing strategy allows us to tune the band gap of the InGaN layer in order to match the visible absorption with the solar spectrum as well as to align the semiconductor bands close to the water redox potentials to achieve high efficiency. The relation between structure, surface, and photoelectrochemical property of GaN-InGaN is explored by transmission electron microscopy (TEM), electron energy loss spectroscopy (EELS), Auger electron spectroscopy (AES), current-voltage, and open circuit potential (OCP) measurements. The epitaxial GaN-InGaN interface, pseudomorphic InGaN thin films, homogeneous and suitable indium concentration and defined surface orientation are properties demanded for systematic study and efficient photoanodes based on III-nitride heterojunctions.

  1. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE PAGES

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; ...

    2016-11-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  2. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  3. Photoluminescence and structural properties of unintentional single and double InGaSb/GaSb quantum wells grown by MOVPE

    NASA Astrophysics Data System (ADS)

    Ahia, Chinedu Christian; Tile, Ngcali; Botha, Johannes R.; Olivier, E. J.

    2018-04-01

    The structural and photoluminescence (PL) characterization of InGaSb quantum well (QW) structures grown on GaSb substrate (100) using atmospheric pressure Metalorganic Vapor Phase Epitaxy (MOVPE) is presented. Both structures (single and double-InGaSb QWs) were inadvertently formed during an attempt to grow capped InSb/GaSb quantum dots (QDs). In this work, 10 K PL peak energies at 735 meV and 740 meV are suggested to be emissions from the single and double QWs, respectively. These lines exhibit red shifts, accompanied by a reduction in their full-widths at half-maximum (FWHM) as the excitation power decreases. The presence of a GaSb spacer in the double QW was found to increase the strength of the PL emission, which consequently gives rise to a reduced blue-shift and broadening of the PL emission line observed for the double QW with an increase in laser power, while the low thermal activation energy for the quenching of the PL from the double QW is attributed to the existence of threading dislocations, as seen in the bright field TEM image for this sample.

  4. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  5. MOVPE Growth of LWIR AlInAs/GaInAs/InP Quantum Cascade Lasers: Impact of Growth and Material Quality on Laser Performance

    DTIC Science & Technology

    2017-02-01

    MOVPE Growth of LWIR AlInAs/GaInAs/InP Quantum Cascade Lasers: Impact of Growth and Material Quality on Laser Performance (Invited paper) Christine A...epitaxial layers in quantum cascade lasers (QCLs) has a primary impact on QCL operation, and establishing correlations between epitaxial growth and materials...QCLs emitting in this range. Index terms – Quantum cascade lasers, semiconductor growth, semiconductor epitaxial layers, infrared emitters. I

  6. Preparation of 2-in.-diameter (001) β-Ga2O3 homoepitaxial wafers by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Sasaki, Kohei; Goto, Ken; Konishi, Keita; Murakami, Hisashi; Kuramata, Akito; Kumagai, Yoshinao; Yamakoshi, Shigenobu

    2017-11-01

    The homoepitaxial growth of thick β-Ga2O3 layers on 2-in.-diameter (001) wafers was demonstrated by halide vapor phase epitaxy. Growth rates of 3 to 4 µm/h were confirmed for growing intentionally Si-doped n-type layers. A homoepitaxial layer with an average thickness and carrier concentration of 10.9 µm and 2.7 × 1016 cm-3 showed standard deviations of 1.8 µm (16.5%) and 0.5 × 1016 cm-3 (19.7%), respectively. Ni Schottky barrier diodes fabricated directly on a 5.3-µm-thick homoepitaxial layer with a carrier concentration of 3.4 × 1016 cm-3 showed reasonable reverse and forward characteristics, i.e., breakdown voltages above 200 V and on-resistances of 3.8-7.7 mΩ cm2 at room temperature.

  7. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  8. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  9. Cyclotron resonance in ferromagnetic InMnAs and InMnSb

    NASA Astrophysics Data System (ADS)

    Khodaparast, G. A.; Matsuda, Y. H.; Saha, D.; Sanders, G. D.; Stanton, C. J.; Saito, H.; Takeyama, S.; Merritt, T. R.; Feeser, C.; Wessels, B. W.; Liu, X.; Furdyna, J.

    2013-12-01

    We present experimental and theoretical studies of the magneto-optical properties of p-type In1-xMnxAs and In1-xMnxSb ferromagnetic semiconductor films in ultrahigh magnetic fields oriented along [001]. Samples were fabricated by molecular beam epitaxy (MBE) and metal-organic vapor phase epitaxy (MOVPE). To model the results, we used an 8-band Pidgeon-Brown model generalized to include the wave vector dependence of the elec-tronic states along kz as well as the s-d and p-d exchange interactions with the localized Mn d electrons. The Curie temperature is taken as an input parameter and the average Mn spin is treated in mean-field theory. We compared Landau level and band structure calculations with observed cyclotron resonance (CR) measurements. While differences between the CR measurements are seen for MBE and MOVPE samples, our calculations indicate that they arise from differences in the carrier densities. In addition, the difference in the carrier densities suggests significantly larger average spin for the MOVPE structures; this fact could be responsible for higher Curie temperatures in this material system.

  10. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  11. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  12. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  13. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  14. Hydride vapor phase epitaxy and characterization of high-quality ScN epilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oshima, Yuichi, E-mail: OSHIMA.Yuichi@nims.go.jp; Víllora, Encarnación G.; Shimamura, Kiyoshi

    2014-04-21

    The heteroepitaxial growth of ScN films was investigated on various substrates by hydride vapor phase epitaxy (HVPE). Single crystalline mirror-like ScN(100) and ScN(110) layers were successfully deposited on r- and m-plane sapphire substrates, respectively. Homogeneous stoichiometric films (N/Sc ratio 1.01 ± 0.10) up to 40 μm in thickness were deposited. Their mosaicity drastically improved with increasing the film thickness. The band gap was determined by optical methods to be 2.06 eV. Impurity concentrations including H, C, O, Si, and Cl were investigated through energy dispersive X-ray spectrometry and secondary ion mass spectrometry. As a result, it was found that the presence of impurities wasmore » efficiently suppressed in comparison with that of HVPE-grown ScN films reported in the past, which was possible thanks to the home-designed corrosion-free HVPE reactor. Room-temperature Hall measurements indicated that the residual free electron concentrations ranged between 10{sup 18}–10{sup 20} cm{sup −3}, which was markedly lower than the reported values. The carrier mobility increased monotonically with the decreasing in carrier concentration, achieving the largest value ever reported, 284 cm{sup 2} V{sup −1} s{sup −1} at n = 3.7 × 10{sup 18} cm{sup −3}.« less

  15. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  16. Indirectly pumped 3.7 THz InGaAs/InAlAs quantum-cascade lasers grown by metal-organic vapor-phase epitaxy.

    PubMed

    Fujita, Kazuue; Yamanishi, Masamichi; Furuta, Shinichi; Tanaka, Kazunori; Edamura, Tadataka; Kubis, Tillmann; Klimeck, Gerhard

    2012-08-27

    Device-performances of 3.7 THz indirect-pumping quantum-cascade lasers are demonstrated in an InGaAs/InAlAs material system grown by metal-organic vapor-phase epitaxy. The lasers show a low threshold-current-density of ~420 A/cm2 and a peak output power of ~8 mW at 7 K, no sign of parasitic currents with recourse to well-designed coupled-well injectors in the indirect pump scheme, and a maximum operating temperature of Tmax ~100 K. The observed roll-over of output intensities in current ranges below maximum currents and limitation of Tmax are discussed with a model for electron-gas heating in injectors. Possible ways toward elevation of Tmax are suggested.

  17. Metal-organic vapor-phase epitaxy-grown ultra-low density InGaAs/GaAs quantum dots exhibiting cascaded single-photon emission at 1.3 μm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paul, Matthias, E-mail: m.paul@ihfg.uni-stuttgart.de; Kettler, Jan; Zeuner, Katharina

    By metal-organic vapor-phase epitaxy, we have fabricated InGaAs quantum dots on GaAs substrate with an ultra-low lateral density (<10{sup 7} cm{sup −2}). The photoluminescence emission from the quantum dots is shifted to the telecom O-band at 1.31 μm by an InGaAs strain reducing layer. In time-resolved measurements, we find fast decay times for exciton (∼600 ps) and biexciton (∼300 ps). We demonstrate triggered single-photon emission (g{sup (2)}(0)=0.08) as well as cascaded emission from the biexciton decay. Our results suggest that these quantum dots can compete with their counterparts grown by state-of-the-art molecular beam epitaxy.

  18. Development of GaInP Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Schulte, Kevin L.; Simon, John; Mangum, John; ...

    2017-04-30

    We demonstrate the growth of homojunction GaInP solar cells by dynamic hydride vapor phase epitaxy for the first time. Simple unpassivated n-on-p structures grown in an inverted configuration with gold back reflectors were analyzed. Short wavelength performance varied strongly with emitter thickness, since collection in the emitter was limited by the lack of surface passivation. Collection in the base increased strongly with decreasing doping density, in the range 1 x 10 16 - 5 x 10 17 cm -3. Optical modeling indicated that, in our best device, doped ~1 x 10 16 cm -3, almost 94% of photons that passedmore » through the emitter were collected. Modeling also indicated that the majority of collection occurs in the depletion region with this design, suggesting that nonradiative recombination there might limit device performance. In agreement with this observation, the experimental dark J-V curve exhibited an ideality factor near n = 2. Thus, limitation of deep level carrier traps in the material is a path to improved performance. Preliminary experiments indicate that a reduced V/III ratio, which potentially affects the density of these presumed traps, improves cell performance. With reduced V/III ratio, we demonstrate a ~13% efficient GaInP cell measured under the 1-sun AM1.5G spectrum. In conclusion, this cell had an antireflective coating, but no front surface passivation.« less

  19. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    NASA Astrophysics Data System (ADS)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  20. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khromov, S.; Hemmingsson, C.; Monemar, B.

    2014-12-14

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10{sup 16} cm{sup −3} to 6 × 10{sup 17} cm{sup −3}. Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits,more » quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10{sup 17} cm{sup −3} is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission.« less

  1. The effect of surfactants on epitaxial growth of gallium nitride from gas phase in the Ga-HCl-NH3-H2-Ar system

    NASA Astrophysics Data System (ADS)

    Zhilyaev, Yu. V.; Zelenin, V. V.; Orlova, T. A.; Panteleev, V. N.; Poletaev, N. K.; Rodin, S. N.; Snytkina, S. A.

    2015-05-01

    We have studied epitaxial layers of gallium nitride (GaN) in a template composition grown by surfactant-mediated hydride-chloride vapor phase epitaxy. The surfactant component was provided by 5 mass % additives of antimony and indium to the source of gallium. Comparative analysis of the obtained results shows evidence of the positive influence of surfactants on the morphology of epitaxial GaN layers.

  2. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  3. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  4. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    NASA Astrophysics Data System (ADS)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  5. III-nitride nanopyramid light emitting diodes grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wildeson, Isaac H.; Colby, Robert; Ewoldt, David A.; Liang, Zhiwen; Zakharov, Dmitri N.; Zaluzec, Nestor J.; García, R. Edwin; Stach, Eric A.; Sands, Timothy D.

    2010-08-01

    Nanopyramid light emitting diodes (LEDs) have been synthesized by selective area organometallic vapor phase epitaxy. Self-organized porous anodic alumina is used to pattern the dielectric growth templates via reactive ion etching, eliminating the need for lithographic processes. (In,Ga)N quantum well growth occurs primarily on the six {11¯01} semipolar facets of each of the nanopyramids, while coherent (In,Ga)N quantum dots with heights of up to ˜20 nm are incorporated at the apex by controlling growth conditions. Transmission electron microscopy (TEM) indicates that the (In,Ga)N active regions of the nanopyramid heterostructures are completely dislocation-free. Temperature-dependent continuous-wave photoluminescence of nanopyramid heterostructures yields a peak emission wavelength of 617 nm and 605 nm at 300 K and 4 K, respectively. The peak emission energy varies with increasing temperature with a double S-shaped profile, which is attributed to either the presence of two types of InN-rich features within the nanopyramids or a contribution from the commonly observed yellow defect luminescence close to 300 K. TEM cross-sections reveal continuous planar defects in the (In,Ga)N quantum wells and GaN cladding layers grown at 650-780 °C, present in 38% of the nanopyramid heterostructures. Plan-view TEM of the planar defects confirms that these defects do not terminate within the nanopyramids. During the growth of p-GaN, the structure of the nanopyramid LEDs changed from pyramidal to a partially coalesced film as the thickness requirements for an undepleted p-GaN layer result in nanopyramid impingement. Continuous-wave electroluminescence of nanopyramid LEDs reveals a 45 nm redshift in comparison to a thin-film LED, suggesting higher InN incorporation in the nanopyramid LEDs. These results strongly encourage future investigations of III-nitride nanoheteroepitaxy as an approach for creating efficient long wavelength LEDs.

  6. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  7. Modeling the Non-Equilibrium Process of the Chemical Adsorption of Ammonia on GaN(0001) Reconstructed Surfaces Based on Steepest-Entropy-Ascent Quantum Thermodynamics.

    PubMed

    Kusaba, Akira; Li, Guanchen; von Spakovsky, Michael R; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-08-15

    Clearly understanding elementary growth processes that depend on surface reconstruction is essential to controlling vapor-phase epitaxy more precisely. In this study, ammonia chemical adsorption on GaN(0001) reconstructed surfaces under metalorganic vapor phase epitaxy (MOVPE) conditions (3Ga-H and N ad -H + Ga-H on a 2 × 2 unit cell) is investigated using steepest-entropy-ascent quantum thermodynamics (SEAQT). SEAQT is a thermodynamic-ensemble based, first-principles framework that can predict the behavior of non-equilibrium processes, even those far from equilibrium where the state evolution is a combination of reversible and irreversible dynamics. SEAQT is an ideal choice to handle this problem on a first-principles basis since the chemical adsorption process starts from a highly non-equilibrium state. A result of the analysis shows that the probability of adsorption on 3Ga-H is significantly higher than that on N ad -H + Ga-H. Additionally, the growth temperature dependence of these adsorption probabilities and the temperature increase due to the heat of reaction is determined. The non-equilibrium thermodynamic modeling applied can lead to better control of the MOVPE process through the selection of preferable reconstructed surfaces. The modeling also demonstrates the efficacy of DFT-SEAQT coupling for determining detailed non-equilibrium process characteristics with a much smaller computational burden than would be entailed with mechanics-based, microscopic-mesoscopic approaches.

  8. Modeling the Non-Equilibrium Process of the Chemical Adsorption of Ammonia on GaN(0001) Reconstructed Surfaces Based on Steepest-Entropy-Ascent Quantum Thermodynamics

    PubMed Central

    Kusaba, Akira; von Spakovsky, Michael R.; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-01-01

    Clearly understanding elementary growth processes that depend on surface reconstruction is essential to controlling vapor-phase epitaxy more precisely. In this study, ammonia chemical adsorption on GaN(0001) reconstructed surfaces under metalorganic vapor phase epitaxy (MOVPE) conditions (3Ga-H and Nad-H + Ga-H on a 2 × 2 unit cell) is investigated using steepest-entropy-ascent quantum thermodynamics (SEAQT). SEAQT is a thermodynamic-ensemble based, first-principles framework that can predict the behavior of non-equilibrium processes, even those far from equilibrium where the state evolution is a combination of reversible and irreversible dynamics. SEAQT is an ideal choice to handle this problem on a first-principles basis since the chemical adsorption process starts from a highly non-equilibrium state. A result of the analysis shows that the probability of adsorption on 3Ga-H is significantly higher than that on Nad-H + Ga-H. Additionally, the growth temperature dependence of these adsorption probabilities and the temperature increase due to the heat of reaction is determined. The non-equilibrium thermodynamic modeling applied can lead to better control of the MOVPE process through the selection of preferable reconstructed surfaces. The modeling also demonstrates the efficacy of DFT-SEAQT coupling for determining detailed non-equilibrium process characteristics with a much smaller computational burden than would be entailed with mechanics-based, microscopic-mesoscopic approaches. PMID:28809816

  9. Position-controlled MOVPE growth and electro-optical characterization of core-shell InGaN/GaN microrod LEDs

    NASA Astrophysics Data System (ADS)

    Schimpke, Tilman; Lugauer, H.-J.; Avramescu, A.; Varghese, T.; Koller, A.; Hartmann, J.; Ledig, J.; Waag, A.; Strassburg, M.

    2016-03-01

    Today's InGaN-based white LEDs still suffer from a significant efficiency reduction at elevated current densities, the so-called "Droop". Core-shell microrods, with quantum wells (QWs) covering their entire surface, enable a tremendous increase in active area scaling with the rod's aspect ratio. Enlarging the active area on a given footprint area is a viable and cost effective route to mitigate the droop by effectively reducing the local current density. Microrods were grown in a large volume metal-organic vapor phase epitaxy (MOVPE) reactor on GaN-on-sapphire substrates with a thin, patterned SiO2 mask for position control. Out of the mask openings, pencil-shaped n-doped GaN microrod cores were grown under conditions favoring 3D growth. In a second growth step, these cores are covered with a shell containing a quantum well and a p-n junction to form LED structures. The emission from the QWs on the different facets was studied using resonant temperature-dependent photoluminescence (PL) and cathodoluminescence (CL) measurements. The crystal quality of the structures was investigated by transmission electron microscopy (TEM) showing the absence of extended defects like threading dislocations in the 3D core. In order to fabricate LED chips, dedicated processes were developed to accommodate for the special requirements of the 3D geometry. The electrical and optical properties of ensembles of tens of thousands microrods connected in parallel are discussed.

  10. All metalorganic chemical vapor phase epitaxy of p/n-GaN tunnel junction for blue light emitting diode applications

    NASA Astrophysics Data System (ADS)

    Neugebauer, S.; Hoffmann, M. P.; Witte, H.; Bläsing, J.; Dadgar, A.; Strittmatter, A.; Niermann, T.; Narodovitch, M.; Lehmann, M.

    2017-03-01

    We report on III-Nitride blue light emitting diodes (LEDs) comprising a GaN-based tunnel junction (TJ) all realized by metalorganic vapor phase epitaxy in a single growth process. The TJ grown atop the LED structures consists of a Mg-doped GaN layer and subsequently grown highly Ge-doped GaN. Long thermal annealing of 60 min at 800 °C is important to reduce the series resistance of the LEDs due to blockage of acceptor-passivating hydrogen diffusion through the n-type doped top layer. Secondary ion mass spectroscopy measurements reveal Mg-incorporation into the topmost GaN:Ge layer, implying a non-abrupt p-n tunnel junction and increased depletion width. Still, significantly improved lateral current spreading as compared to conventional semi-transparent Ni/Au p-contact metallization and consequently a more homogeneous electroluminescence distribution across 1 × 1 mm2 LED structures is achieved. Direct estimation of the depletion width is obtained from electron holography experiments, which allows for a discussion of the possible tunneling mechanism.

  11. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  12. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  13. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  14. Native oxides formation and surface wettability of epitaxial III-V materials: The case of InP and GaAs

    NASA Astrophysics Data System (ADS)

    Gocalinska, A.; Rubini, S.; Pelucchi, E.

    2016-10-01

    The time dependent transition from hydrophobic to hydrophilic states of the metalorganic vapour phase epitaxy (MOVPE) grown InP, GaAs and InAs is systematically documented by contact angle measurements. Natural oxides forming on the surfaces of air-exposed materials, as well as the results of some typical wet chemical process to remove those oxides, were studied by X-ray photoemission spectroscopy (XPS), revealing, surprisingly, a fundamental lack of strong correlations between the surface oxide composition and the reported systematic changes in hydrophobicity.

  15. High growth rate hydride vapor phase epitaxy at low temperature through use of uncracked hydrides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Braun, Anna; Simon, John

    We demonstrate hydride vapor phase epitaxy (HVPE) of GaAs with unusually high growth rates (RG) at low temperature and atmospheric pressure by employing a hydride-enhanced growth mechanism. Under traditional HVPE growth conditions that involve growth from Asx species, RG exhibits a strong temperature dependence due to slow kinetics at the surface, and growth temperatures >750 degrees C are required to obtain RG > 60 um/h. We demonstrate that when the group V element reaches the surface in a hydride, the kinetic barrier is dramatically reduced and surface kinetics no longer limit RG. In this regime, RG is dependent on massmore » transport of uncracked AsH3 to the surface. By controlling the AsH3 velocity and temperature profile of the reactor, which both affect the degree of AsH3 decomposition, we demonstrate tuning of RG. We achieve RG above 60 um/h at temperatures as low as 560 degrees C and up to 110 um/h at 650 degrees C. We incorporate high-RG GaAs into solar cell devices to verify that the electronic quality does not deteriorate as RG is increased. The open circuit voltage (VOC), which is a strong function of non-radiative recombination in the bulk material, exhibits negligible variance in a series of devices grown at 650 degrees C with RG = 55-110 um/h. The implications of low temperature growth for the formation of complex heterostructure devices by HVPE are discussed.« less

  16. High growth rate hydride vapor phase epitaxy at low temperature through use of uncracked hydrides

    DOE PAGES

    Schulte, Kevin L.; Braun, Anna; Simon, John; ...

    2018-01-22

    We demonstrate hydride vapor phase epitaxy (HVPE) of GaAs with unusually high growth rates (RG) at low temperature and atmospheric pressure by employing a hydride-enhanced growth mechanism. Under traditional HVPE growth conditions that involve growth from Asx species, RG exhibits a strong temperature dependence due to slow kinetics at the surface, and growth temperatures >750 degrees C are required to obtain RG > 60 um/h. We demonstrate that when the group V element reaches the surface in a hydride, the kinetic barrier is dramatically reduced and surface kinetics no longer limit RG. In this regime, RG is dependent on massmore » transport of uncracked AsH3 to the surface. By controlling the AsH3 velocity and temperature profile of the reactor, which both affect the degree of AsH3 decomposition, we demonstrate tuning of RG. We achieve RG above 60 um/h at temperatures as low as 560 degrees C and up to 110 um/h at 650 degrees C. We incorporate high-RG GaAs into solar cell devices to verify that the electronic quality does not deteriorate as RG is increased. The open circuit voltage (VOC), which is a strong function of non-radiative recombination in the bulk material, exhibits negligible variance in a series of devices grown at 650 degrees C with RG = 55-110 um/h. The implications of low temperature growth for the formation of complex heterostructure devices by HVPE are discussed.« less

  17. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy

    PubMed Central

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-01-01

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1–2 × 109 cm−2, which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 109 cm−2). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices. PMID:28772961

  18. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy.

    PubMed

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-05-31

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1-2 × 10⁸ cm -2 , which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 10⁸ cm -2 ). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices.

  19. Exploiting strain to enhance the Bi incorporation in GaAs-based III/V semiconductors using MOVPE

    NASA Astrophysics Data System (ADS)

    Nattermann, L.; Ludewig, P.; Sterzer, E.; Volz, K.

    2017-07-01

    Bi containing III/V semiconductors are frequently mentioned for their importance as part of the next generation of optoelectronic devices. Bi containing ternary and quaternary materials like Ga(AsBi), Ga(NAsBi) or Ga(PAsBi) are promising candidates to meet the requirements for new laser structures for telecommunications and solar cell applications. However, in previous studies it was determined that the incorporation of sufficient amounts of Bi still poses a challenge, especially when using MOVPE (metalorganic vapour phase epitaxy) as the growth technique. In order to figure out which mechanisms are responsible for the limitation of Bi incorporation, this work deals with the question of whether there is a relationship between strain, induced by the large Bi atoms, and the saturation level of Bi incorporation in Ga(AsBi). Ga(NAsBi) structures were grown by MOVPE at a low temperature, 400 °C, and compared to Ga(PAsBi) as well as Ga(AsBi) growth. By using the two group V atoms P and N, which have a smaller covalent radius than Bi, the effect of local strain compensation was investigated systematically. The comparison of Bi incorporation in the two quaternary materials systems proved the importance of local strain for the limitation of Bi incorporation, in addition to other effects, like Bi surface coverage and hydrocarbon groups at the growth surface. This, of course, also opens up ways to strain-state-engineer the Bi incorporation in semiconductor alloys.

  20. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  1. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  2. Defect structure of high temperature hydride vapor phase epitaxy-grown epitaxial (0 0 0 1) AlN/sapphire using growth mode modification process

    NASA Astrophysics Data System (ADS)

    Su, Xujun; Zhang, Jicai; Huang, Jun; Zhang, Jinping; Wang, Jianfeng; Xu, Ke

    2017-06-01

    Defect structures were investigated by transmission electron microscopy for AlN/sapphire (0 0 0 1) epilayers grown by high temperature hydride vapor phase epitaxy using a growth mode modification process. The defect structures, including threading dislocations, inversion domains, and voids, were analyzed by diffraction contrast, high-resolution imaging, and convergent beam diffraction. AlN film growth was initiated at 1450 °C with high V/III ratio for 8 min. This was followed by low V/III ratio growth for 12 min. The near-interfacial region shows a high density of threading dislocations and inversion domains. Most of these dislocations have Burgers vector b = 1/3〈1 1 2 0〉 and were reduced with the formation of dislocation loops. In the middle range 400 nm < h < 2 μm, dislocations gradually aggregated and reduced to ∼109 cm-2. The inversion domains have a shuttle-like shape with staggered boundaries that deviate by ∼ ±5° from the c axis. Above 2 μm thickness, the film consists of isolated threading dislocations with a total density of 8 × 108 cm-2. Most of threading dislocations are either pure edge or mixed dislocations. The threading dislocation reduction in these films is associated with dislocation loops formation and dislocation aggregation-interaction during island growth with high V/III ratio.

  3. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  4. Photoinduced current transient spectroscopy of deep levels and transport mechanisms in iron-doped GaN thin films grown by low pressure-metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Muret, P.; Pernot, J.; Azize, M.; Bougrioua, Z.

    2007-09-01

    Electrical transport and deep levels are investigated in GaN:Fe layers epitaxially grown on sapphire by low pressure metalorganic vapor phase epitaxy. Photoinduced current transient spectroscopy and current detected deep level spectroscopy are performed between 200 and 650 K on three Fe-doped samples and an undoped sample. A detailed study of the detected deep levels assigns dominant centers to a deep donor 1.39 eV below the conduction band edge EC and to a deep acceptor 0.75 eV above the valence band edge EV at low electric field. A strong Poole-Frenkel effect is evidenced for the donor. Schottky diodes characteristics and transport properties in the bulk GaN:Fe layer containing a homogenous concentration of 1019 Fe/cm3 are typical of a compensated semiconductor. They both indicate that the bulk Fermi level is located typically 1.4 eV below EC, in agreement with the neutrality equation and dominance of the deep donor concentration. This set of results demonstrates unambiguously that electrical transport in GaN:Fe is governed by both types, either donor or acceptor, of the iron impurity, either substitutional in gallium sites or associated with other defects.

  5. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. Itmore » is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.« less

  6. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  7. MOVPE of GaSb/InGaAsSb Multilayers and Fabrication of Dual Band Photodetectors

    NASA Technical Reports Server (NTRS)

    Xiao, Ye-Gao; Bhat, Ishwara; Refaat, Tamer F.; Abedin, M. Nurul; Shao, Qing-Hui

    2005-01-01

    Metalorganic vapor phase epitaxy (MOVPE) of GaSb/InGaAsSb multilayer thin films and fabrication of bias-selectable dual band photodetectors are reported. For the dual band photodetectors the short wavelength detector, or the upper p- GaSb/n-GaSb junction photodiode, is placed optically ahead of the long wavelength one, or the lower photodiode. The latter is based on latticed-matched In0.13Ga0.87As0.11Sb0.89 with bandgap near 0.6 eV. Specifically, high quality multilayer thin films are grown sequentially from top to bottom as p+-GaSb/p-GaSb/n-GaSb/n-InGaAsSb/p-InGaAsSb/p-GaSb on undoped p-type GaSb substrate, and as n-GaSb/p-GaSb/p-InGaAsSb/n-InGaAsSb/n-GaSb on Te-doped n-type GaSb substrate respectively. The multilayer thin films are characterized by optical microscope, atomic force microscope (AFM), electron microprobe analyses etc. The photodiode mesa steps are patterned by photolithography with wet chemical etching and the front metallization is carried out by e-beam evaporation with Pd/Ge/Au/Ti/Au to give ohmic contact on both n- and p-type Sb based layer surfaces. Dark I-V measurements show typical diode behavior for both the upper and lower photodiodes. The photoresponsivity measurements indicate that both the upper and lower photodiodes can sense the infrared illumination corresponding to their cutoff wavelengths respectively, comparable with the simulation results. More work is underway to bring the long wavelength band to the medium infrared wavelength region near 4 micrometers.

  8. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  9. Development of high-bandgap AlGaInP solar cells grown by organometallic vapor-phase epitaxy

    DOE PAGES

    Perl, Emmett E.; Simon, John; Geisz, John F.; ...

    2016-03-29

    AlGaInP solar cells with bandgaps between 1.9 and 2.2 eV are investigated for use in next-generation multijunction photovoltaic devices. This quaternary alloy is of great importance to the development of III-V solar cells with five or more junctions and for cells optimized for operation at elevated temperatures because of the high bandgaps required in these designs. In this work, we explore the conditions for the organometallic vapor-phase epitaxy growth of AlGaInP and study their effects on cell performance. Initial efforts focused on developing ~2.0-eV AlGaInP solar cells with a nominal aluminum composition of 12%. Under the direct spectrum at 1000more » W/m 2 (AM1.5D), the best of these samples had an open-circuit voltage of 1.59 V, a bandgap-voltage offset of 440 mV, a fill factor of 88.0%, and an efficiency of 14.8%. We then varied the aluminum composition of the alloy from 0% to 24% and were able to tune the bandgap of the AlGaInP layers from ~1.9 to ~2.2 eV. Furthermore, while the samples with a higher aluminum composition exhibited a reduced quantum efficiency and increased bandgap-voltage offset, the bandgap-voltage offset remained at 500 mV or less, up to a bandgap of ~2.1 eV.« less

  10. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  11. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  12. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  13. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  14. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  15. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  16. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy

    NASA Astrophysics Data System (ADS)

    Halder, Nripendra N.; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-01

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  17. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy.

    PubMed

    Halder, Nripendra N; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-17

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  18. Continuous-flux MOVPE growth of position-controlled N-face GaN nanorods and embedded InGaN quantum wells

    NASA Astrophysics Data System (ADS)

    Bergbauer, W.; Strassburg, M.; Kölper, Ch; Linder, N.; Roder, C.; Lähnemann, J.; Trampert, A.; Fündling, S.; Li, S. F.; Wehmann, H.-H.; Waag, A.

    2010-07-01

    We demonstrate the fabrication of N-face GaN nanorods by metal organic vapour phase epitaxy (MOVPE), using continuous-flux conditions. This is in contrast to other approaches reported so far, which have been based on growth modes far off the conventional growth regimes. For position control of nanorods an SiO2 masking layer with a dense hole pattern on a c-plane sapphire substrate was used. Nanorods with InGaN/GaN heterostructures have been grown catalyst-free. High growth rates up to 25 µm h - 1 were observed and a well-adjusted carrier gas mixture between hydrogen and nitrogen enabled homogeneous nanorod diameters down to 220 nm with aspect ratios of approximately 8:1. The structural quality and defect progression within nanorods were determined by transmission electron microscopy (TEM). Different emission energies for InGaN quantum wells (QWs) could be assigned to different side facets by room temperature cathodoluminescence (CL) measurements.

  19. Continuous-flux MOVPE growth of position-controlled N-face GaN nanorods and embedded InGaN quantum wells.

    PubMed

    Bergbauer, W; Strassburg, M; Kölper, Ch; Linder, N; Roder, C; Lähnemann, J; Trampert, A; Fündling, S; Li, S F; Wehmann, H-H; Waag, A

    2010-07-30

    We demonstrate the fabrication of N-face GaN nanorods by metal organic vapour phase epitaxy (MOVPE), using continuous-flux conditions. This is in contrast to other approaches reported so far, which have been based on growth modes far off the conventional growth regimes. For position control of nanorods an SiO(2) masking layer with a dense hole pattern on a c-plane sapphire substrate was used. Nanorods with InGaN/GaN heterostructures have been grown catalyst-free. High growth rates up to 25 microm h(-1) were observed and a well-adjusted carrier gas mixture between hydrogen and nitrogen enabled homogeneous nanorod diameters down to 220 nm with aspect ratios of approximately 8:1. The structural quality and defect progression within nanorods were determined by transmission electron microscopy (TEM). Different emission energies for InGaN quantum wells (QWs) could be assigned to different side facets by room temperature cathodoluminescence (CL) measurements.

  20. Wide-band (2.5 - 10.5 µm), high-frame rate IRFPAs based on high-operability MCT on silicon

    NASA Astrophysics Data System (ADS)

    Crosbie, Michael J.; Giess, Jean; Gordon, Neil T.; Hall, David J.; Hails, Janet E.; Lees, David J.; Little, Christopher J.; Phillips, Tim S.

    2010-04-01

    We have previously presented results from our mercury cadmium telluride (MCT, Hg1-xCdxTe) growth on silicon substrate technology for different applications, including negative luminescence, long waveband and mid/long dual waveband infrared imaging. In this paper, we review recent developments in QinetiQ's combined molecular beam epitaxy (MBE) and metal-organic vapor phase epitaxy (MOVPE) MCT growth on silicon; including MCT defect density, uniformity and reproducibility. We also present a new small-format (128 x 128) focal plane array (FPA) for high frame-rate applications. A custom high-speed readout integrated circuit (ROIC) was developed with a large pitch and large charge storage aimed at producing a very high performance FPA (NETD ~10mK) operating at frame rates up to 2kHz for the full array. The array design allows random addressing and this allows the maximum frame rate to be increased as the window size is reduced. A broadband (2.5-10.5 μm) MCT heterostructure was designed and grown by the MBE/MOVPE technique onto silicon substrates. FPAs were fabricated using our standard techniques; wet-etched mesa diodes passivated with epitaxial CdTe and flip-chip bonded to the ROIC. The resulting focal plane arrays were characterized at the maximum frame rate and shown to have the high operabilities and low NETD values characteristic of our LWIR MCT on silicon technology.

  1. Effect of AlInGaN barrier layers with various TMGa flows on optoelectronic characteristics of near UV light-emitting diodes grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fu, Yi-Keng; Lu, Yu-Hsuan; Jiang, Ren-Hao; Chen, Bo-Chun; Fang, Yen-Hsiang; Xuan, Rong; Su, Yan-Kuin; Lin, Chia-Feng; Chen, Jebb-Fang

    2011-08-01

    Near ultraviolet light-emitting diodes (LEDs) with quaternary AlInGaN quantum barriers (QBs) are grown by atmospheric pressure metalorganic vapor phase epitaxy. The indium mole fraction of AlInGaN QB could be enhanced as we increased the TMG flow rate. Both the wavelength shift in EL spectra and forward voltage at 20 mA current injection were reduced by using AlInGaN QB. Under 100 mA current injection, the LED output power with Al 0.089In 0.035Ga 0.876N QB can be enhanced by 15.9%, compared to LED with GaN QB. It should be attributed to a reduction of lattice mismatch induced polarization mismatch in the active layer.

  2. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  3. High-quality AlN film grown on a nanosized concave-convex surface sapphire substrate by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Nagatomi, Takaharu; Morishita, Tomohiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2017-10-01

    We developed a method for fabricating high-crystal-quality AlN films by combining a randomly distributed nanosized concavo-convex sapphire substrate (NCC-SS) and a three-step growth method optimized for NCC-SS, i.e., a 3-nm-thick nucleation layer (870 °C), a 150-nm-thick high-temperature layer (1250 °C), and a 3.2-μm-thick medium-temperature layer (1110 °C). The NCC-SS is easily fabricated using a conventional metalorganic vapor phase epitaxy reactor equipped with a showerhead plate. The resultant AlN film has a crack-free and single-step surface with a root-mean-square roughness of 0.5 nm. The full-widths at half-maxima of the X-ray rocking curve were 50/250 arcsec for the (0002)/(10-12) planes, revealing that the NCC surface is critical for achieving such a high-quality film. Hexagonal-pyramid-shaped voids at the AlN/NCC-SS interface and confinement of dislocations within the 150-nm-thick high-temperature layer were confirmed. The NCC surface feature and resultant faceted voids play an important role in the growth of high-crystal-quality AlN films, likely via localized and/or disordered growth of AlN at the initial stage, contributing to the alignment of high-crystal-quality nuclei and dislocations.

  4. Study of thermal stability of spontaneously grown superlattice structures by metalorganic vapor phase epitaxy in AlxGa1-xAs/GaAs heterostructure

    NASA Astrophysics Data System (ADS)

    Pradhan, A.; Maitra, T.; Mukherjee, S.; Mukherjee, S.; Satpati, B.; Nayak, A.; Bhunia, S.

    2018-04-01

    Spontaneous superlattice ordering in a length scale larger than an atomic layer has been observed in AlxGa1-xAs layers grown on (100) GaAs substrates by metalorganic vapor phase epitaxy. Transmission electron microscopic image clearly revealed superlattice structures and the selected area electron diffraction showed closely spaced superlattice spots around the main diffraction pattern. High resolution x-ray diffraction showed distinct and sharp superlattice peaks symmetrically positioned around the central (004) Bragg peak and the similar measurement for (002) planes, which is quasi-forbidden for Bragg reflections showed only superlattice peaks. Thermal annealing studies showed the superlattice structure was stable up to 800 °C and disappeared after annealing at 900 °C retaining the crystallinity of the epilayer. Study of inter-diffusivitiesin such superlattice structures has been carried out using high temperaturex-ray diffraction results. Here we present (004) x-ray θ-2θ scans of the AlGaAs/GaAs (100) sample with annealing time for different temperatures. Conclusions regarding interdiffusion in such superlattice structures are drawn from high temperature X-ray measurements.

  5. Photoassisted physical vapor epitaxial growth of semiconductors: a review of light-induced modifications to growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alberi, Kirstin; Scarpulla, Michael A.

    Herein, we review the remarkable range of modifications to materials properties associated with photoexcitation of the growth surface during physical vapor epitaxy of semiconductors. We concentrate on mechanisms producing measureable, utilizable changes in crystalline perfection, phase, composition, doping, and defect distribution. We outline the relevant physics of different mechanisms, concentrating on those yielding effects orthogonal to the primary growth variables of temperature and atomic or molecular fluxes and document the phenomenological effects reported. Based on experimental observations from a range of semiconductor systems and growth conditions, the primary effects include enhanced anion desorption, molecular dissociation, increased doping efficiency, modification tomore » defect populations and improvements to the crystalline quality of epilayers grown at low temperatures. Future research directions and technological applications are also discussed.« less

  6. Photoassisted physical vapor epitaxial growth of semiconductors: a review of light-induced modifications to growth processes

    DOE PAGES

    Alberi, Kirstin; Scarpulla, Michael A.

    2017-11-22

    Herein, we review the remarkable range of modifications to materials properties associated with photoexcitation of the growth surface during physical vapor epitaxy of semiconductors. We concentrate on mechanisms producing measureable, utilizable changes in crystalline perfection, phase, composition, doping, and defect distribution. We outline the relevant physics of different mechanisms, concentrating on those yielding effects orthogonal to the primary growth variables of temperature and atomic or molecular fluxes and document the phenomenological effects reported. Based on experimental observations from a range of semiconductor systems and growth conditions, the primary effects include enhanced anion desorption, molecular dissociation, increased doping efficiency, modification tomore » defect populations and improvements to the crystalline quality of epilayers grown at low temperatures. Future research directions and technological applications are also discussed.« less

  7. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  8. Vapor phase pyrolysis

    NASA Technical Reports Server (NTRS)

    Steurer, Wolfgang

    1992-01-01

    The vapor phase pyrolysis process is designed exclusively for the lunar production of oxygen. In this concept, granulated raw material (soil) that consists almost entirely of metal oxides is vaporized and the vapor is raised to a temperature where it dissociates into suboxides and free oxygen. Rapid cooling of the dissociated vapor to a discrete temperature causes condensation of the suboxides, while the oxygen remains essentially intact and can be collected downstream. The gas flow path and flow rate are maintained at an optimum level by control of the pressure differential between the vaporization region and the oxygen collection system with the aid of the environmental vacuum.

  9. Vapor phase growth technique of III-V compounds utilizing a preheating step

    NASA Technical Reports Server (NTRS)

    Olsen, Gregory Hammond (Inventor); Zamerowski, Thomas Joseph (Inventor); Buiocchi, Charles Joseph (Inventor)

    1978-01-01

    In the vapor phase epitaxy fabrication of semiconductor devices and in particular semiconductor lasers, the deposition body on which a particular layer of the laser is to be grown is preheated to a temperature about 40.degree. to 60.degree. C. lower than the temperature at which deposition occurs. It has been discovered that by preheating at this lower temperature there is reduced thermal decomposition at the deposition surface, especially for semiconductor materials such as indium gallium phosphide and gallium arsenide phosphide. A reduction in thermal decomposition reduces imperfections in the deposition body in the vicinity of the deposition surface, thereby providing a device with higher efficiency and longer lifetime.

  10. Electron mobility enhancement in metalorganic-vapor-phase-epitaxy-grown InAlN high-electron-mobility transistors by control of surface morphology of spacer layer

    NASA Astrophysics Data System (ADS)

    Yamada, Atsushi; Ishiguro, Tetsuro; Kotani, Junji; Nakamura, Norikazu

    2018-01-01

    We demonstrated low-sheet-resistance metalorganic-vapor-phase-epitaxy-grown InAlN high-electron-mobility transistors using AlGaN spacers with excellent surface morphology. We systematically investigated the effects of AlGaN spacer growth conditions on surface morphology and electron mobility. We found that the surface morphology of InAlN barriers depends on that of AlGaN spacers. Ga desorption from AlGaN spacers was suppressed by increasing the trimethylaluminum (TMA) supply rate, resulting in the small surface roughnesses of InAlN barriers and AlGaN spacers. Moreover, we found that an increase in the NH3 supply rate also improved the surface morphologies of InAlN barriers and AlGaN spacers as long as the TMA supply rate was high enough to suppress the degradation of GaN channels. Finally, we realized a low sheet resistance of 185.5 Ω/sq with a high electron mobility of 1210 cm2 V-1 s-1 by improving the surface morphologies of AlGaN spacers and InAlN barriers.

  11. Improved Boat For Liquid-Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Connolly, John C.

    1991-01-01

    Liquid-phase epitaxial (LPE) growth boat redesigned. Still fabricated from ultra-high-purity graphite, but modified to permit easy disassembly and cleaning, along with improved wiping action for more complete removal of melt to reduce carry-over of gallium. Larger substrates and more uniform composition obtained.

  12. Optimization of the highly strained InGaAs/GaAs quantum well lasers grown by MOVPE

    NASA Astrophysics Data System (ADS)

    Su, Y. K.; Chen, W. C.; Wan, C. T.; Yu, H. C.; Chuang, R. W.; Tsai, M. C.; Cheng, K. Y.; Hu, C.; Tsau, Seth

    2008-07-01

    In this article, we study the highly compressive-strained InGaAs/GaAs quantum wells and the broad-area lasers grown by MOVPE. Several epitaxial parameters were optimized, including the growth temperature, pressure and group V to group III (V/III) ratio. Grown with the optimized epitaxial parameters, the highly strained In 0.39Ga 0.61As/GaAs lasers could be continuously operated at 1.22 μm and their threshold current density Jth was 140 A/cm 2. To the best of our knowledge, the demonstrated InGaAs QW laser has the lowest threshold current per quantum well (Jth/QW) of 46.7 A/cm 2. The fitted characteristic temperature ( T0) was 146.2 K, indicating the good electron confinement ability. Furthermore, by lowering the growth temperature down to 475 °C and the TBAs/III ratio to 5, the emission wavelength of the In 0.42Ga 0.58As/GaAs quantum wells was as long as 1245 nm and FWHM was 43 meV.

  13. Novel high-resolution VGA QWIP detector

    NASA Astrophysics Data System (ADS)

    Kataria, H.; Asplund, C.; Lindberg, A.; Smuk, S.; Alverbro, J.; Evans, D.; Sehlin, S.; Becanovic, S.; Tinghag, P.; Höglund, L.; Sjöström, F.; Costard, E.

    2017-02-01

    Continuing with its legacy of producing high performance infrared detectors, IRnova introduces its high resolution LWIR IDDCA (Integrated Detector Dewar Cooler assembly) based on QWIP (quantum well infrared photodetector) technology. The Focal Plane Array (FPA) has 640×512 pixels, with small (15μm) pixel pitch, and is based on the FLIRIndigo ISC0403 Readout Integrated Circuit (ROIC). The QWIP epitaxial structures are grown by metal-organic vapor phase epitaxy (MOVPE) at IRnova. Detector stability and response uniformity inherent to III/V based material will be demonstrated in terms of high performing detectors. Results showing low NETD at high frame rate will be presented. This makes it one of the first 15μm pitch QWIP based LWIR IDDCA commercially available on the market. High operability and stability of our other QWIP based products will also be shared.

  14. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  15. Effect of gamma-ray irradiation on structural properties of GaAsN films grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Wattanawareekul, Atiwat; Suwanyangyaun, Pattana; Srepusharawoot, Pornjuk; Onabe, Kentaro

    2015-05-01

    The effects of gamma-ray irradiation on the structural properties of GaAs1-xNx films (N concentration=1.9 and 5.1 at%) grown by metal organic vapor phase epitaxy on GaAs (001) substrates were investigated. The GaAs1-xNx films were irradiated by gamma rays with irradiation strength of 0-2.0 MGy. Scanning electron microscopy and atomic force microscopy results showed that a gamma ray with a strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy formed holes with a density of 0.0, 8.8, 9.4, 11.5, and 11.9 μm-2, respectively, on the surface of a GaAs0.981N0.019 film with low N content. On the other hand, the irradiated high-N-content GaAs0.949N0.051 film exhibited a cross-hatch pattern, which was induced by partial strain relaxation at high N levels, with a line density of 0.0, 0.21, 0.37, 0.67, and 0.26 μm-1 corresponding to an irradiation strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy, respectively. The high-resolution X-ray diffraction and Raman scattering results revealed an increase in N incorporation and strain relaxation after irradiation. In addition, the GaAs0.949N0.051 films exhibited phase separation, which took place via N out-diffusion across the interface when the irradiation strength exceeded 1.0 MGy. Based on these results, the main cause of structural change was determined to be the irradiation effects including displacement damage and gamma-ray heating.

  16. Alternative group V precursors for CVD applications

    NASA Astrophysics Data System (ADS)

    Lum, R. M.; Klingert, J. K.

    1991-01-01

    The chemical vapor deposition (CVD) techniques used to grow III/V semiconductors films, such as metalorganic vapor phase epitaxy (MOVPE), hydride VPE, chemical beam epitaxy (CBE) and gas source molecular beam epitaxy (GS-MBE), all use hydrides (AsH 3 and PH 3) as the Group V source. However, the hydrides are extremely toxic gases which are stored under high pressure (200-2000 psi). To reduce the safety hazards associated with these gases, alternative Group V precursors have been investigated. Organoarsenic and phosphorous compounds have received the most attention as replacements for AsH 3 and PH 3 because they are typically low vapor pressure liquids, and thus present significantly lower exposure risks than the hydrides. For AsH 3 these have included the methyl, ethyl and butyl-based derivatives RnAsH 3- n, with varying degrees ( n = 1-3) of hydrogen atom substitution. In this paper the growth properties, thermochemistry and toxicity of the various alkylarsine precursors are compared with arsine. Data are presented on the impact of the thermochemistry of these compounds on film electrical properties, and on the effects of precursor composition and purity on overall film quality. The suitability of alternative As-precursors for device applications is demonstrated, and selection criteria are presented for the most effective alkylarsine compound for a particular CVD growth process.

  17. Thick nonpolar m-plane and semipolar (10 1 ̅ 1 ̅) GaN on an ammonothermal seed by tri-halide vapor-phase epitaxy using GaCl3

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Matsuda, Karen; Takekawa, Nao; Hikida, Kazuhiro; Hayashida, Naoto; Murakami, Hisashi; Koukitu, Akinori

    2017-03-01

    GaN layers of thickness 0.5-1.3 mm were grown at 1280 °C at a growth rate of 95-275 μm/h by tri-halide vapor-phase epitaxy on nonpolar m-plane (10 1 ̅ 0) and semipolar (10 1 ̅ 1 ̅) ammonothermal GaN substrates. For nonpolar m-plane (10 1 ̅ 0) with a -5° off-angle, the full widths at half maximum (FWHMs) of X-ray rocking curves (XRCs) and the basal plane stacking fault (BSF) density increased from 50 to 178″ and from 4.8×101 to 1.0×103 cm-1, respectively, upon increasing the growth rate from 115 to 245 μm/h. On the other hand, the XRC-FWHM and the BSF density for semipolar (10 1 ̅ 1 ̅) grown at 275 μm/h were as small as 28″ and 8.3×101 cm-1, respectively.

  18. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  19. Thermodynamic study on the role of hydrogen during the MOVPE growth of group III nitrides

    NASA Astrophysics Data System (ADS)

    Koukitu, Akinori; Taki, Tetsuya; Takahashi, Naoyuki; Seki, Hisashi

    1999-02-01

    The role of hydrogen during the MOVPE growth of group III nitrides is investigated from a thermodynamic point of view. The effect of hydrogen is reported for the driving force for the deposition of binary nitrides as functions of growth temperature and V/III ratio. The effect of hydrogen for the InGaN growth is discussed for the vapor-solid relationship, the formation of compositional inhomogeneity and input partial pressure of the group III elements. The difference between the growth reaction of the indium containing nitrides and that of other III-V compounds is also discussed.

  20. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  1. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  2. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  3. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  4. Comparison of O2 and H2O as oxygen source for homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Konishi, Keita; Goto, Ken; Togashi, Rie; Murakami, Hisashi; Higashiwaki, Masataka; Kuramata, Akito; Yamakoshi, Shigenobu; Monemar, Bo; Kumagai, Yoshinao

    2018-06-01

    Homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy (HVPE) using O2 or H2O as an oxygen source was investigated by thermodynamic analysis, and compared with measured properties after growth. The thermodynamic analysis revealed that Ga2O3 growth is expected even at 1000 °C using both oxygen sources due to positive driving forces for Ga2O3 deposition. The experimental results for homoepitaxial growth on (0 0 1) β-Ga2O3 substrates showed that the surfaces of the layers grown with H2O were smoother than those grown with O2, although the growth rate with H2O was approximately half that with O2. However, in the homoepitaxial layer grown using H2O, incorporation of Si impurities with a concentration almost equal to the effective donor concentration (2 × 1016 cm-3) was confirmed, which was caused by decomposition of the quartz glass reactor due to the presence of hydrogen in the system.

  5. Increasing the quantum efficiency of GaAs solar cells by embedding InAs quantum dots

    NASA Astrophysics Data System (ADS)

    Salii, R. A.; Mintairov, S. A.; Nadtochiy, A. M.; Payusov, A. S.; Brunkov, P. N.; Shvarts, M. Z.; Kalyuzhnyy, N. A.

    2016-11-01

    Development of Metalorganic Vapor Phase Epitaxy (MOVPE) technology of InAs quantum dots (QDs) in GaAs for photovoltaic applications is presented. The growth peculiarities in InAs-GaAs lattice-mismatched system were considered. The photoluminescence (PL) intensity dependences on different growth parameters were obtained. The multimodal distribution of QDs by sizes was found using AFM and PL methods. GaAs solar cell nanoheterostructures with imbedded QD arrays were designed and obtained. Ones have been demonstrated a significant increase of quantum efficiency and photogenerated current of QD solar cells due to photo effect in InAs QD array (0.59 mA/cm2 for AM1.5D and 82 mA/cm2 for AM0).

  6. MOVPE growth of Ga(PBi) on GaP and GaP on Si with Bi fractions up to 8%

    NASA Astrophysics Data System (ADS)

    Nattermann, L.; Beyer, A.; Ludewig, P.; Hepp, T.; Sterzer, E.; Volz, K.

    2017-04-01

    Dilute bismide containing materials can play an important role in addressing the issue of finding new highly efficient lasers for telecommunications as well as sensing applications. In the last several years a growing body of literature has emerged, particularly on the growth of Ga(AsBi). However, the metal organic vapor phase epitaxy growth of Ga(AsBi) with high amounts of Bi, which are necessary to overcome Auger recombination and reach telecommunications wavelengths, still remains a challenge. Ga(PBi) could be a promising alternative, but has not been deposited with significant amounts of Bi so far. A second argument for Ga(PBi) is that it could be grown on GaP, which was already deposited on Si. A number of researchers have reported theoretical calculations on the band structure of Ga(PBi), but experimental results are still lacking. In this work we present the first Ga(PBi) structures, grown by metal organic vapor phase epitaxy on GaP and on GaP on Si. By careful characterization with high resolution X-ray diffraction, atomic force microscopy, secondary ion mass spectrometry and scanning transmission electron microscopy, we will show that we have realized high quality Ga(PBi) with Bi fractions over 8%.

  7. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  8. Growth of blue GaN LED structures on 150-mm Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Dadgar, A.; Hums, C.; Diez, A.; Bläsing, J.; Krost, A.

    2006-12-01

    Up to 5.4-μm thick GaN on Si light emitting diode (LED) structures were grown by metalorganic chemical vapor phase epitaxy (MOVPE) on 150 mm Si(1 1 1) substrates. In-situ curvature measurements enable monitoring of stress development during growth and the influence of interlayers on strain balancing after cooling. In X-ray diffraction (XRD) ω-scans the GaN (0 0 0 2) reflection is about 380 arcsec and in θ-2 θ measurements the InGaN/GaN MQW interference peaks are well resolved indicating the high quality of the grown structure. In comparison to the growth on 2-in sapphire the wafer curvature after growth is low (>50 m) for the growth on Si and also during MQW growth at low temperatures a homogeneous wafer temperature can be achieved. The standard deviation of the wavelength over the whole 150-mm test wafer (5-mm edge exclusion) is <3.5 nm and reflects the three different heater zones of the MOVPE system used.

  9. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  10. Heavily doped GaAs:Te layers grown by MOVPE using diisopropyl telluride as a source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Daniltsev, V. M.; Demidov, E. V.; Drozdov, M. N.

    2016-11-15

    The capabilities of GaAs epitaxial layers extremely heavily doped with tellurium by metal-organic vapor-phase epitaxy using diisopropyl telluride as a source are studied. It is shown that tellurium incorporation into GaAs occurs to an atomic concentration of 10{sup 21} cm{sup –3} without appreciable diffusion and segregation effects. Good carrier concentrations (2 × 10{sup 19} cm{sup –3}) and specific contact resistances of non-alloyed ohmic contacts (1.7 × 10{sup –6} Ω cm{sup 2}) give grounds to use such layers to create non-alloyed ohmic contacts in electronic devices. A sharp decrease in the electrical activity of Te atoms, a decrease in the electronmore » mobility, and an increase in the contact resistance at atomic concentrations above 2 × 10{sup 20} cm{sup –3} are detected.« less

  11. Real-Time Optical Monitoring and Simulations of Gas Phase Kinetics in InN Vapor Phase Epitaxy at High Pressure

    NASA Technical Reports Server (NTRS)

    Dietz, Nikolaus; Woods, Vincent; McCall, Sonya D.; Bachmann, Klaus J.

    2003-01-01

    Understanding the kinetics of nucleation and coalescence of heteroepitaxial thin films is a crucial step in controlling a chemical vapor deposition process, since it defines the perfection of the heteroepitaxial film both in terms of extended defect formation and chemical integrity of the interface. The initial nucleation process also defines the film quality during the later stages of film growth. The growth of emerging new materials heterostructures such as InN or In-rich Ga(x)In(1-x)N require deposition methods operating at higher vapor densities due to the high thermal decomposition pressure in these materials. High nitrogen pressure has been demonstrated to suppress thermal decomposition of InN, but has not been applied yet in chemical vapor deposition or etching experiments. Because of the difficulty with maintaining stochiometry at elevated temperature, current knowledge regarding thermodynamic data for InN, e.g., its melting point, temperature-dependent heat capacity, heat and entropy of formation are known with far less accuracy than for InP, InAs and InSb. Also, no information exists regarding the partial pressures of nitrogen and phosphorus along the liquidus surfaces of mixed-anion alloys of InN, of which the InN(x)P(1-x) system is the most interesting option. A miscibility gap is expected for InN(x)P(1-x) pseudobinary solidus compositions, but its extent is not established at this point by experimental studies under near equilibrium conditions. The extension of chemical vapor deposition to elevated pressure is also necessary for retaining stoichiometric single phase surface composition for materials that are characterized by large thermal decomposition pressures at optimum processing temperatures.

  12. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  13. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  14. Epitaxial stabilization and phase instability of VO2 polymorphs

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  15. Epitaxial stabilization and phase instability of VO2 polymorphs.

    PubMed

    Lee, Shinbuhm; Ivanov, Ilia N; Keum, Jong K; Lee, Ho Nyung

    2016-01-20

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  16. Epitaxial stabilization and phase instability of VO2 polymorphs

    PubMed Central

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices. PMID:26787259

  17. Epitaxial stabilization and phase instability of VO 2 polymorphs

    DOE PAGES

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; ...

    2016-01-20

    The VO 2 polymorphs, i.e., VO 2(A), VO 2(B), VO 2(M1) and VO 2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO 2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO 2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on variousmore » perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO 2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. In conclusion, our successful epitaxy of both VO 2(A) and VO 2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO 2 polymorphs for potential applications in advanced electronic and energy devices.« less

  18. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  19. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  20. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  1. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  2. Epitaxial bain paths and metastable phases of tetragonal iron and manganese

    NASA Astrophysics Data System (ADS)

    Ma, Hong

    2002-04-01

    Epitaxial Bain paths and metastable states of tetragonal Fe and Mn have been studied by first-principles total-energy calculations using the full-potential linearized-augmented-plane-wave method. The main accomplishments are as follows. (1) We have performed the first ever EBP calculation of tetragonal antiferromagnetic (AF) Mn showing that when grown epitaxially on Pd(001), the AF Mn film is strained gamma-Mn, but grown on V(001) the film is strained delta-Mn, which could not be determined using the available crystallographic and elastic data because they were obtained from unstrained states. (2) We have calculated the EBP's of Fe at zero pressure in four magnetic phases, i.e., ferromagnetic (FM), nonmagnetic (NM), type-I antiferromagnetic (AF1), and type-II antiferromagnetic (AF2), which show that the AF2 is the phase of the bulk of epitaxial Fe films on Cu(001) and it is unstable for [110] and [010] shears in the (001) plane, but it can be stabilized by epitaxy on Cu(001). (3)We have unified and simplified the theory of elasticity under hydrostatic pressure p at zero temperature using the Gibbs free energy G, rather than the energy E. The minima of G, but not E, with respect to strains at the equilibrium structure give the zero temperature elastic constants; the stability of a phase at p is then determined by the same Born stability conditions used at p = 0 when applied to the elastic constants from G. The EBP's of FM Fe under hydrostatic pressure show that the bcc phase exists up to 1500 kbar. A bct phase is shown to come into existence at 1300 kbar and becomes stable at 1825 kbar and above. (4) Based on this dissertation research five papers have been published in refereed journals.

  3. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  4. Highly conductive modulation doped composition graded p-AlGaN/(AlN)/GaN multiheterostructures grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Hertkorn, J.; Thapa, S. B.; Wunderer, T.; Scholz, F.; Wu, Z. H.; Wei, Q. Y.; Ponce, F. A.; Moram, M. A.; Humphreys, C. J.; Vierheilig, C.; Schwarz, U. T.

    2009-07-01

    In this study, we present theoretical and experimental results regarding highly conductive modulation doped composition graded p-AlGaN/(AlN)/GaN multiheterostructures. Based on simulation results, several multiheterostructures were grown by metalorganic vapor phase epitaxy. Using high resolution x-ray diffraction and x-ray reflectometry, the abruptness of the AlGaN/AlN/GaN interfaces could be determined. Using electron holography, the energetic profile of the valence band could be measured, yielding important information about the vertical carrier transport in such multiheterostructures. The electrical properties of the samples were investigated by measuring the lateral (σL) and vertical (σV) conductivity, respectively. The free hole concentration of a sample optimized in terms of lateral conductivity was measured to be 1.2×1019 cm-3 (295 K) with a mobility of 7 cm2/V s, yielding a record σL of 13.7 (Ω cm)-1. Low temperature Hall measurements (77 K) proved the existence of a two-dimensional hole gas at the AlN/GaN interface, as the lateral conductivity could be increased to 30 (Ω cm)-1 and no carrier freeze out was observable. By substituting the p-GaN layer in a light emitting diode (LED) with an AlGaN/GaN multiheterostructure, the overall voltage drop could be reduced by more than 100 mV (j =65 A/cm2). Furthermore improved current spreading on the p-side of LEDs with integrated AlGaN/AlN/GaN multiheterostructures could be proved by μ-electroluminescence, respectively.

  5. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1992-02-25

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  6. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1986-12-30

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  7. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  8. Nucleation and Epitaxy-Mediated Phase Transformation of a Precursor Cadmium Carbonate Phase at the Calcite/Water Interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Riechers, Shawn L.; Rosso, Kevin M.; Kerisit, Sebastien N.

    Mineral nucleation can be catalyzed by the presence of mineral substrates; however, the mechanisms of heterogeneous nucleation remain poorly understood. A combination of in situ time-sequenced measurements and nano-manipulation experiments were performed using atomic force microscopy (AFM) to probe the mechanisms of heteroepitaxial nucleation of otavite (CdCO3) on calcite (CaCO3) single crystals that exposed the (10-14) surface. Otavite and calcite are isostructural carbonates that display a 4% lattice mismatch, based on their (10-14) surface areas. AFM observations revealed a two-stage process in the nucleation of cadmium carbonate surface precipitates. As evidenced by changes in height, shape, growth behavior, and frictionmore » signal of the precipitates, a precursor phase was observed to initially form on the surface and subsequently undergo an epitaxy-mediated phase transformation to otavite, which then grew epitaxially. Nano-manipulation experiments, in which the applied force was increased progressively until precipitates were removed from the surface, showed that adhesion of the precursor phase to the substrate was distinctively weaker than that of the epitaxial phase, consistent with that of an amorphous phase. These findings demonstrate for the first time that heterogeneous mineral nucleation can follow a non-classical pathway like that found in homogenous aqueous conditions.« less

  9. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayari, Taha; Li, Xin; Voss, Paul L.

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure tomore » be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.« less

  10. Method for forming single phase, single crystalline 2122 BCSCO superconductor thin films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal (Inventor); Solayappan, Narayanan (Inventor)

    1994-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83 K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  11. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  12. Phase transformations during the growth of paracetamol crystals from the vapor phase

    NASA Astrophysics Data System (ADS)

    Belyaev, A. P.; Rubets, V. P.; Antipov, V. V.; Bordei, N. S.

    2014-07-01

    Phase transformations during the growth of paracetamol crystals from the vapor phase are studied by differential scanning calorimetry. It is found that the vapor-crystal phase transition is actually a superposition of two phase transitions: a first-order phase transition with variable density and a second-order phase transition with variable ordering. The latter, being a diffuse phase transition, results in the formation of a new, "pretransition," phase irreversibly spent in the course of the transition, which ends in the appearance of orthorhombic crystals. X-ray diffraction data and micrograph are presented.

  13. Effect of doping on the forward current-transport mechanisms in a metal-insulator-semiconductor contact to INP:ZN grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Cova, P.; Singh, A.; Medina, A.; Masut, R. A.

    1998-04-01

    A detailed study of the effect of doping density on current transport was undertaken in Au metal-insulator-semiconductor (MIS) contacts fabricated on Zn-doped InP layers grown by metal organic vapor phase epitaxy. A recently developed method was used for the simultaneous analysis of the current-voltage ( I- V) and capacitance-voltage ( C- V) characteristics in an epitaxial MIS diode which brings out the contributions of different current-transport mechanisms to the total current. I- V and high-frequency C- V measurements were performed on two MIS diodes at different temperatures in the range 220-395 K. The barrier height at zero bias of Au/InP:Zn MIS diodes, φ0 (1.06 V±10%), was independent both of the Zn-doping density and of the surface preparation. The interface state density distribution Nss as well as the thickness of the oxide layer (2.2±15% nm) unintentionally grown before Au deposition were independent of the Zn-doping concentration in the range 10 16< NA<10 17 cm -3; not so the effective potential barrier χ of the insulator layer and the density of the mid-gap traps. χ was much lower for the highly-doped sample. Our results indicate that at high temperatures, independent of the Zn-doping concentration, the interfacial layer-thermionic (ITE) and interfacial layer-diffusion (ID) mechanisms compete with each other to control the current transport. At intermediate temperatures, however, ITE and ID will no longer be the only dominant mechanisms in the MIS diode fabricated on the highly-doped sample. In this case, the assumption of a generation-recombination current permits a better fit to the experimental data. Analysis of the data suggests that the generation-recombination current, observed only in the highly-doped sample, is associated with an increase in the Zn-doping density. From the forward I- V data for this diode we obtained the energy level (0.60 eV from the conduction band) for the most effective recombination centers.

  14. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  15. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  16. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  17. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  18. Exposing high-energy surfaces by rapid-anneal solid phase epitaxy

    DOE PAGES

    Wang, Y.; Song, Y.; Peng, R.; ...

    2017-08-08

    The functional design of nanoscale transition metal oxide heterostructures depends critically on the growth of atomically flat epitaxial thin films. Much of the time, improved functionality is expected for heterostructures and surfaces with orientations that do not have the lowest surface free energy. For example, crystal faces with a high surface free energy, such as rutile (001) planes, frequently exhibit higher catalytic activities but are correspondingly harder to synthesize due to energy-lowering faceting transitions. We propose a broadly applicable rapid-anneal solid phase epitaxial synthesis approach for the creation of atomically flat, high surface free energy oxide heterostructures. We also demonstratemore » its efficacy via the synthesis of atomically flat, epitaxial RuO 2(001) films with a superior oxygen evolution activity, quantified by their lower onset potential and higher current density, relative to that of more common RuO 2(110) films.« less

  19. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  20. Si{sub 3}N{sub 4} layers for the in-situ passivation of GaN-based HEMT structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yunin, P. A., E-mail: yunin@ipmras.ru; Drozdov, Yu. N.; Drozdov, M. N.

    2015-11-15

    A method for the in situ passivation of GaN-based structures with silicon nitride in the growth chamber of a metal organic vapor phase epitaxy (MOVPE) reactor is described. The structural and electrical properties of the obtained layers are investigated. The in situ and ex situ passivation of transistor structures with silicon nitride in an electron-beam-evaporation device are compared. It is shown that ex situ passivation changes neither the initial carrier concentration nor the mobility. In situ passivation makes it possible to protect the structure surface against uncontrollable degradation upon the finishing of growth and extraction to atmosphere. In the inmore » situ passivated structure, the carrier concentration increases and the mobility decreases. This effect should be taken into account when manufacturing passivated GaN-based transistor structures.« less

  1. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  2. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  3. MOVPE growth of nitrogen- and aluminum-polar AlN on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Lemettinen, J.; Okumura, H.; Kim, I.; Rudzinski, M.; Grzonka, J.; Palacios, T.; Suihkonen, S.

    2018-04-01

    We present a comprehensive study on metal-organic vapor phase epitaxy growth of N-polar and Al -polar AlN on 4H-SiC with 4° miscut using constant growth parameters. At a high temperature of 1165 °C, N-polar AlN layers had high crystalline quality whereas the Al-polar AlN surfaces had a high density of etch pits. For N-polar AlN, the V/III ratio below 1000 forms hexagonal hillocks, while the V/III ratio over 1000 yields step bunching without the hillocks. 1-μm-thick N-polar AlN layer grown in optimal conditions exhibited FWHMs of 307, 330 and 337 arcsec for (0 0 2), (1 0 2) and (2 0 1) reflections, respectively.

  4. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  5. Growth of epitaxial orthorhombic YO{sub 1.5}-substituted HfO{sub 2} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori

    YO{sub 1.5}-substituted HfO{sub 2} thin films with various substitution amounts were grown on (100) YSZ substrates by the pulsed laser deposition method directly from the vapor phase. The epitaxial growth of film with different YO{sub 1.5} amounts was confirmed by the X-ray diffraction method. Wide-area reciprocal lattice mapping measurements were performed to clarify the crystal symmetry of films. The formed phases changed from low-symmetry monoclinic baddeleyite to high-symmetry tetragonal/cubic fluorite phases through an orthorhombic phase as the YO{sub 1.5} amount increased from 0 to 0.15. The additional annular bright-field scanning transmission electron microscopy indicates that the orthorhombic phase has polarmore » structure. This means that the direct growth by vapor is of polar orthorhombic HfO{sub 2}-based film. Moreover, high-temperature X-ray diffraction measurements showed that the film with a YO{sub 1.5} amount of 0.07 with orthorhombic structure at room temperature only exhibited a structural phase transition to tetragonal phase above 450 °C. This temperature is much higher than the reported maximum temperature of 200 °C to obtain ferroelectricity as well as the expected temperature for real device application. The growth of epitaxial orthorhombic HfO{sub 2}-based film helps clarify the nature of ferroelectricity in HfO{sub 2}-based films (186 words/200 words)« less

  6. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  7. Depositing spacing layers on magnetic film with liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sanfort, R. M.

    1975-01-01

    Liquid phase epitaxy spacing layer is compatible with systems which are hard-bubble proofed by use of second magnetic garnet film as capping layer. Composite is superior in that: circuit fabrication time is reduced; adherence is superior; visibility is better; and, good match of thermal expansion coefficients is provided.

  8. Phase transition and epitaxies between hydrated orthorhombic and anhydrous monoclinic uric acid crystals

    NASA Astrophysics Data System (ADS)

    Boistelle, R.; Rinaudo, C.

    1981-05-01

    Anhydrous monoclinic and hydrated orthorhombic uric acid crystals can be nucleated and grown from pure water solutions either separately or together with epitaxial relationships. When crystals of one modification exist in the solution they can act as nucleation substrate for the crystals of the other modification. In both cases the new phase grows epitaxially on the substrate; the mutual orientations are the same but the contact planes are different. In addition, the anhydrous modification grows into the hydrated one which undergoes a phase transition by a dissolution-recrystallization process. It is likely that the same processes occur in human stones made up of uric acids.

  9. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  10. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  11. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of themore » III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.« less

  12. Vapor-liquid phase separator studies

    NASA Technical Reports Server (NTRS)

    Yuan, S. W. K.; Lee, J. M.; Kim, Y. I.; Hepler, W. A.; Frederking, T. H. K.

    1983-01-01

    Porous plugs serve as both entropy rejection devices and phase separation components separating the vapor phase on the downstream side from liquid Helium 2 upstream. The liquid upstream is the cryo-reservoir fluid needed for equipment cooling by means of Helium 2, i.e Helium-4 below its lambda temperature in near-saturated states. The topics outlined are characteristic lengths, transport equations and plug results.

  13. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  14. MOVPE growth studies of Ga(NAsP)/(BGa)(AsP) multi quantum well heterostructures (MQWH) for the monolithic integration of laser structures on (001) Si-substrates

    NASA Astrophysics Data System (ADS)

    Ludewig, P.; Reinhard, S.; Jandieri, K.; Wegele, T.; Beyer, A.; Tapfer, L.; Volz, K.; Stolz, W.

    2016-03-01

    High-quality, pseudomorphically strained Ga(NAsP)/(BGa)(AsP)-multiple quantum well heterostructures (MQWH) have been deposited on exactly oriented (001) Si-substrate by metal organic vapour phase epitaxy (MOVPE) in a wide temperature range between 525 °C and 700 °C. The individual atomic incorporation efficiencies, growth rates as well as nanoscale material properties have been clarified by applying detailed high-resolution X-ray diffraction (HR-XRD), photoluminescence (PL) spectroscopy and high-angle annular dark field (HAADF) scanning transmission electron microscopy (STEM) studies. An almost constant N-incorporation efficiency is obtained for a wide growth temperature range from 550 °C up to 650 °C. The P-incorporation is steadily increasing with increasing growth temperature reaching values at high temperatures in excess of the applied gas phase ratio. While the lower interface from the binary GaP- to the quaternary Ga(NAsP)-material system is very sharp, the upper interface is significantly rougher with a roughness scale of ±0.43 nm in quantum well thickness variation at a growth temperature of 525 °C. This roughness scale increases steadily with increasing growth temperature. No indication of any phase separation effects is detected in the Ga(NAsP)-material system even at the highest growth temperature of 700 °C. The obtained experimental results are briefly discussed with respect to the anticipated metastable character of the novel dilute-nitride Ga(NAsP)-material system grown lattice-matched to (001) Si-substrate.

  15. ZnO nanorods for electronic and photonic device applications

    NASA Astrophysics Data System (ADS)

    Yi, Gyu-Chul; Yoo, Jinkyoung; Park, Won Il; Jung, Sug Woo; An, Sung Jin; Kim, H. J.; Kim, D. W.

    2005-11-01

    We report on catalyst-free growth of ZnO nanorods and their nano-scale electrical and optical device applications. Catalyst-free metalorganic vapor-phase epitaxy (MOVPE) enables fabrication of size-controlled high purity ZnO single crystal nanorods. Various high quality nanorod heterostructures and quantum structures based on ZnO nanorods were also prepared using the MOVPE method and characterized using scanning electron microscopy, transmission electron microscopy, and optical spectroscopy. From the photoluminescence spectra of ZnO/Zn 0.8Mg 0.2O nanorod multi-quantum-well structures, in particular, we observed a systematic blue-shift in their PL peak position due to quantum confinement effect of carriers in nanorod quantum structures. For ZnO/ZnMgO coaxial nanorod heterostructures, photoluminescence intensity was significantly increased presumably due to surface passivation and carrier confinement. In addition to the growth and characterizations of ZnO nanorods and their quantum structures, we fabricated nanoscale electronic devices based on ZnO nanorods. We report on fabrication and device characteristics of metal-oxidesemiconductor field effect transistors (MOSFETs), Schottky diodes, and metal-semiconductor field effect transistors (MESFETs) as examples of the nanodevices. In addition, electroluminescent devices were fabricated using vertically aligned ZnO nanorods grown p-type GaN substrates, exhibiting strong visible electroluminescence.

  16. Chemical beam epitaxy for high efficiency photovoltaic devices

    NASA Technical Reports Server (NTRS)

    Bensaoula, A.; Freundlich, A.; Vilela, M. F.; Medelci, N.; Renaud, P.

    1994-01-01

    InP-based multijunction tandem solar cells show great promise for the conversion efficiency (eta) and high radiation resistance. InP and its related ternary and quanternary compound semiconductors such as InGaAs and InGaAsP offer desirable combinations for energy bandgap values which are very suitable for multijunction tandem solar cell applications. The monolithically integrated InP/In(0.53)Ga(0.47)As tandem solar cells are expected to reach efficiencies above 30 percent. Wanlass, et.al., have reported AMO efficiencies as high as 20.1% for two terminal cells fabricated using atmospheric-pressure metalorganic vapor phase epitaxy (APMOVPE). The main limitations in their technique are first related to the degradation of the intercell ohmic contact (IOC), in this case the In(0.53)Ga(0.47)As tunnel junction during the growth of the top InP subcell structure, and second to the current matching, often limited by the In(0.53)Ga(0.47)As bottom subcell. Chemical beam epitaxy (CBE) has been shown to allow the growth of high quality materials with reproducible complex compositional and doping profiles. The main advantage of CBE compared to metalorganic chemical vapor deposition (MOCVD), the most popular technique for InP-based photovoltaic device fabrication, is the ability to grow high purity epilayers at much lower temperatures (450 C - 530 C). In a recent report it was shown that cost-wise CBE is a breakthrough technology for photovoltaic (PV) solar energy progress in the energy conversion efficiency of InP-based solar cells fabricated using chemical beam epitaxy. This communication summarizes our recent results on PV devices and demonstrates the strength of this new technology.

  17. Structural evolution of epitaxial SrCoO x films near topotactic phase transition

    DOE PAGES

    Jeen, Hyoung Jeen; Lee, Ho Nyung

    2015-12-18

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO x) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO 2.5thin films and performed post-annealing at various temperaturesmore » for topotactic conversion into the perovskite phase (SrCoO 3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Furthermore, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.« less

  18. Continuous-Flow MOVPE of Ga-Polar GaN Column Arrays and Core-Shell LED Structures

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Li, Shunfeng; Mohajerani, Matin Sadat; Ledig, Johannes; Wehmann, Hergo-Heinrich; Mandl, Martin; Strassburg, Martin; Steegmüller, Ulrich; Jahn, Uwe; Lähnemann, Jonas; Riechert, Henning; Griffiths, Ian; Cherns, David; Waag, Andreas

    2013-06-01

    Arrays of dislocation free uniform Ga-polar GaN columns have been realized on patterned SiOx/GaN/sapphire templates by metal organic vapor phase epitaxy using a continuous growth mode. The key parameters and the physical principles of growth of Ga-polar GaN three-dimensional columns are identified, and their potential for manipulating the growth process is discussed. High aspect ratio columns have been achieved using silane during the growth, leading to n-type columns. The vertical growth rate increases with increasing silane flow. In a core-shell columnar LED structure, the shells of InGaN/GaN multi quantum wells and p-GaN have been realized on a core of n-doped GaN column. Cathodoluminescence gives insight into the inner structure of these core-shell LED structures.

  19. Water-Vapor-Mediated Close-Spaced Vapor Transport Growth of Epitaxial Gallium Indium Phosphide Films on Gallium Arsenide Substrates

    DOE PAGES

    Greenaway, Ann L.; Bachman, Benjamin F.; Boucher, Jason W.; ...

    2018-01-12

    Ga 1–xIn xP is a technologically important III–V ternary semiconductor widely utilized in commercial and record-efficiency solar cells. We report the growth of Ga 1–xIn xP by water-vapor-mediated close-spaced vapor transport. Because growth of III–V semiconductors in this system is controlled by diffusion of metal oxide species, we find that congruent transport from the mixed powder source requires complete annealing to form a single alloy phase. Growth from a fully alloyed source at water vapor concentrations of ~7000 ppm in H 2 at 850 °C affords smooth films with electron mobility of 1070 cm 2 V –1 s –1 andmore » peak internal quantum efficiency of ~90% for carrier collection in a nonaqueous photoelectrochemical test cell.« less

  20. Water-Vapor-Mediated Close-Spaced Vapor Transport Growth of Epitaxial Gallium Indium Phosphide Films on Gallium Arsenide Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenaway, Ann L.; Bachman, Benjamin F.; Boucher, Jason W.

    Ga 1–xIn xP is a technologically important III–V ternary semiconductor widely utilized in commercial and record-efficiency solar cells. We report the growth of Ga 1–xIn xP by water-vapor-mediated close-spaced vapor transport. Because growth of III–V semiconductors in this system is controlled by diffusion of metal oxide species, we find that congruent transport from the mixed powder source requires complete annealing to form a single alloy phase. Growth from a fully alloyed source at water vapor concentrations of ~7000 ppm in H 2 at 850 °C affords smooth films with electron mobility of 1070 cm 2 V –1 s –1 andmore » peak internal quantum efficiency of ~90% for carrier collection in a nonaqueous photoelectrochemical test cell.« less

  1. Template assisted strain tuning and phase stabilization in epitaxial BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Saj Mohan M., M.; Ramadurai, Ranjith

    2018-04-01

    Strain engineering is a key to develop novel properties in functional materials. We report a strain mediated phase stabilization and epitaxial growth of bismuth ferrite(BiFeO3) thin films on LaAlO3 (LAO) substrates. The strain in the epitaxial layer is controlled by controlling the thickness of bottom electrode where the thickness of the BFO is kept constant. The thickness of La0.7Sr0.3MnO3(LSMO) template layer was optimized to grow completely strained tetragonal, tetragonal/rhombohedral mixed phase and fully relaxed rhombohedral phase of BFO layers. The results were confirmed with coupled-θ-2θ scan, and small area reciprocal space mapping. The piezoelectric d33 (˜ 45-48 pm/V) coefficient of the mixed phase was relatively larger than the strained tetragonal and relaxed rhombohedral phase for a given thickness.

  2. Water vapor radiometry research and development phase

    NASA Technical Reports Server (NTRS)

    Resch, G. M.; Chavez, M. C.; Yamane, N. L.; Barbier, K. M.; Chandlee, R. C.

    1985-01-01

    This report describes the research and development phase for eight dual-channel water vapor radiometers constructed for the Crustal Dynamics Project at the Goddard Space Flight Center, Greenbelt, Maryland, and for the NASA Deep Space Network. These instruments were developed to demonstrate that the variable path delay imposed on microwave radio transmissions by atmospheric water vapor can be calibrated, particularly as this phenomenon affects very long baseline interferometry measurement systems. Water vapor radiometry technology can also be used in systems that involve moist air meteorology and propagation studies.

  3. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  4. Photon Transport in One-Dimensional Incommensurately Epitaxial CsPbX 3 Arrays

    DOE PAGES

    Wang, Yiping; Sun, Xin; Shivanna, Ravichandran; ...

    2016-11-16

    One-dimensional nanoscale epitaxial arrays serve as a great model in studying fundamental physics and for emerging applications. With an increasing focus laid on the Cs-based inorganic halide perovskite out of its outstanding material stability, we have applied vapor phase epitaxy to grow well aligned horizontal CsPbX 3 (X: Cl, Br, or I or their mixed) nanowire arrays in large scale on mica substrate. The as-grown nanowire features a triangular prism morphology with typical length ranging from a few tens of micrometers to a few millimeters. Structural analysis reveals that the wire arrays follow the symmetry of mica substrate through incommensuratemore » epitaxy, paving a way for a universally applicable method to grow a broad family of halide perovskite materials. We have studied the unique photon transport in the one-dimensional structure in the all-inorganic Cs-based perovskite wires via temperature dependent and spatially resolved photoluminescence. Furthermore, epitaxy of well oriented wire arrays in halide perovskite would be a promising direction for enabling the circuit-level applications of halide perovskite in high-performance electro-optics and optoelectronics.« less

  5. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  6. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  7. Melt-Vapor Phase Diagram of the Te-S System

    NASA Astrophysics Data System (ADS)

    Volodin, V. N.; Trebukhov, S. A.; Kenzhaliyev, B. K.; Nitsenko, A. V.; Burabaeva, N. M.

    2018-03-01

    The values of partial pressure of saturated vapor of the constituents of the Te-S system are determined from boiling points. The boundaries of the melt-vapor phase transition at atmospheric pressure and in vacuum of 2000 and 100 Pa are calculated on the basis of partial pressures. A phase diagram that includes vapor-liquid equilibrium fields whose boundaries allow us to assess the behavior of elements upon distillation fractioning is plotted. It is established that the separation of elements is possible at the first evaporation-condensation cycle. Complications can be caused by crystallization of a sulfur solid solution in tellurium.

  8. Application of Thioether for Vapor Phase Lubrication

    NASA Technical Reports Server (NTRS)

    Graham, E. Earl

    1997-01-01

    The objective of these studies was to identify the optimal conditions for vapor phase lubrication using Thioether for both sliding and rolling wear. The important variable include; (1) The component materials including M50 steel, monel and silicon nitride. (2) The vapor concentration and flow rate. (3) The temperature in the range of 600 F to 1500 F. (4) The loads and rolling and/or sliding speeds.

  9. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    NASA Astrophysics Data System (ADS)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  10. Initial growth processes in the epitaxy of Ge with GeH{sub 4} on oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angermeier, D.; Kuhn, W.S.; Druihle, R.

    1997-02-01

    The heteroepitaxial growth of Ge on (100) Si in a horizontal, atmospheric pressure metallorganic vapor-phase epitaxy reactor is reported using germane GeH{sub 4} (0.1% in H{sub 2}). A particularly crucial parameter for germanium deposition on silicon is the time for the onset of epitaxial growth, the incubation time. The time was measured at substrate temperatures between 450 and 600{degree}C. At a substrate temperature of 450{degree}C an incubation time of 520 s was found and for the subsequent epitaxy growth rates of 50 nm/min were determined by Nomarski microscopy and electron diffraction. The existence of residual oxide in the reactor chambermore » forming an in situ SiO{sub 2} layer was evaluated by x-ray photoemission spectroscopy. To obtain a more thorough understanding of the gas- and solid-phase composition of Ge, Si, and oxygen the Gibbs energy of the system was calculated for various growth temperatures. It was concluded that SiO{sub 2} molecules are reduced by GeH{sub 4} molecules during the incubation period.« less

  11. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  12. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  13. Vapor phase synthesis of compound semiconductors, from thin films to nanoparticles

    NASA Astrophysics Data System (ADS)

    Sarigiannis, Demetrius

    A counterflow jet reactor was developed to study the gas-phase decomposition kinetics of organometallics used in the vapor phase synthesis of compound semiconductors. The reactor minimized wall effects by generating a reaction zone near the stagnation point of two vertically opposed counterflowing jets. Smoke tracing experiments were used to confirm the stability of the flow field and validate the proposed heat, mass and flow models of the counterflow jet reactor. Transport experiments using ethyl acetate confirmed the overall mass balance for the system and verified the ability of the model to predict concentrations at various points in the reactor under different flow conditions. Preliminary kinetic experiments were performed with ethyl acetate and indicated a need to redesign the reactor. The counterflow jet reactor was adapted for the synthesis of ZnSe nanoparticles. Hydrogen selenide was introduced through one jet and dimethylzinc-triethylamine through the other. The two precursors reacted in a region near the stagnation zone and polycrystalline particles of zinc selenide were reproducibly synthesized at room temperature and collected for analysis. Raman spectroscopy confirmed that the particles were crystalline zinc selenide, Morphological analysis using SEM clearly showed the presence of aggregates of particles, 40 to 60 nanometers in diameter. Analysis by TEM showed that the particles were polycrystalline in nature and composed of smaller single crystalline nanocrystallites, five to ten nanometers in diameter. The particles in the aggregate had the appearance of being sintered together. To prevent this sintering, a split inlet lower jet was designed to introduce dimethylzinc through the inner tube and a surface passivator through the outer one. This passivating agent appeared to prevent the particles from agglomerating. An existing MOVPE reactor for II-VI thin film growth was modified to grow III-V semiconductors. A novel new heater was designed and built

  14. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  15. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  16. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  17. High-performance 1.3-μm laser diode by LP-MOVPE

    NASA Astrophysics Data System (ADS)

    Li, TongNing; Ji, Jin-yan; Yan, Xin-min; Liu, Tao; Ning, Zhou; Liu, Jiang; Liu, Zi-li; Huang, Ge-fan

    1996-09-01

    The progress in 1.3 micrometers wavelength InGaAsP/InP lasers for optic fiber communication and subscriber loop applications is reviewed. By using LP-MOVPE/LPE epitaxy techniques, the performance of commercial optical devices is considerably improved. The bandwidth of the 1.3 micrometers uncooled MQW-LD module could be high to 1.6GHz, threshold current Ith < 15mA, maximum fiber output power Pf >= 20mW while uniformity, reproducible, high yield are achieved. Further by growing active layer with compressive strained structure the lowest threshold current Ith equals 3.8mA was achieved with high reflection coating and the temperature performance of the SL-MQW-LD has been greatly improved, the change of slop efficiency at 25 degrees C and 85 degrees C is less than 1 dB. Using the holographic technique a high power 1.31 micrometers InGaAsP/InP multiquantum well distributed feedback laser has also been developed. The fiber output power of butterfly packaged module with optic isolator Pf > 10mW, threshold current Ith < 18mA, slop efficiency Es > 22 percent and side mode suppression ratio SMSR > 40dB. The composite triple beat CTB < -66dBc and the composite second order CSO < -56dBc by test frequencies equals 55.25 to approximately 289.25MHz with 40 NCTA channels, the carrier to noise ration CNR > 50 dB and the relative intensity noise RIN < -160dB/Hz.

  18. Hydride vapor phase GaN films with reduced density of residual electrons and deep traps

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Polyakov, A. Y., E-mail: aypolyakov@gmail.com; Smirnov, N. B.; Govorkov, A. V.

    2014-05-14

    Electrical properties and deep electron and hole traps spectra are compared for undoped n-GaN films grown by hydride vapor phase epitaxy (HVPE) in the regular process (standard HVPE samples) and in HVPE process optimized for decreasing the concentration of residual donor impurities (improved HVPE samples). It is shown that the residual donor density can be reduced by optimization from ∼10{sup 17} cm{sup −3} to (2–5) × 10{sup 14} cm{sup −3}. The density of deep hole traps and deep electron traps decreases with decreased donor density, so that the concentration of deep hole traps in the improved samples is reduced to ∼5 × 10{sup 13} cm{sup −3} versusmore » 2.9 × 10{sup 16} cm{sup −3} in the standard samples, with a similar decrease in the electron traps concentration.« less

  19. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  20. Bacterial chemotaxis along vapor-phase gradients of naphthalene.

    PubMed

    Hanzel, Joanna; Harms, Hauke; Wick, Lukas Y

    2010-12-15

    The role of bacterial growth and translocation for the bioremediation of organic contaminants in the vadose zone is poorly understood. Whereas air-filled pores restrict the mobility of bacteria, diffusion of volatile organic compounds in air is more efficient than in water. Past research, however, has focused on chemotactic swimming of bacteria along gradients of water-dissolved chemicals. In this study we tested if and to what extent Pseudomonas putida PpG7 (NAH7) chemotactically reacts to vapor-phase gradients forming above their swimming medium by the volatilization from a spot source of solid naphthalene. The development of an aqueous naphthalene gradient by air-water partitioning was largely suppressed by means of activated carbon in the agar. Surprisingly, strain PpG7 was repelled by vapor-phase naphthalene although the steady state gaseous concentrations were 50-100 times lower than the aqueous concentrations that result in positive chemotaxis of the same strain. It is thus assumed that the efficient gas-phase diffusion resulting in a steady, and possibly toxic, naphthalene flux to the cells controlled the chemotactic reaction rather than the concentration to which the cells were exposed. To our knowledge this is the first demonstration of apparent chemotactic behavior of bacteria in response to vapor-phase effector gradients.

  1. Vapor-phase exchange of perchloroethene between soil and plants

    USGS Publications Warehouse

    Struckhoff, G.C.; Burken, J.G.; Schumacher, J.G.

    2005-01-01

    Tree core concentrations of tetrachloroethylene (perchloroethene, PCE) at the Riverfront Superfund Site in New Haven, MO, were found to mimic the profile of soil phase concentrations. The observed soil-tree core relationship was stronger than that of groundwater PCE to tree core concentrations at the same site. Earlier research has shown a direct, linear relationship between tree core and groundwater concentrations of chlorinated solvents and other organics. Laboratory-scale experiments were performed to elucidate this phenomenon, including determining partitioning coefficients of PCE between plant tissues and air and between plant tissues and water, measured to be 8.1 and 49 L/kg, respectively. The direct relationship of soil to tree core PCE concentrations was hypothesized to be caused by diffusion between tree roots and the soil vapor phase in the subsurface. The central findings of this research are discovering the importance of subsurface vapor-phase transfer for VOCs and uncovering a direct relationship between soil vapor-phase chlorinated solvents and uptake rates that impact contaminant translocation from the subsurface and transfer into the atmosphere. ?? 2005 American Chemical Society.

  2. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    NASA Astrophysics Data System (ADS)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  3. III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kunert, B.; Guo, W.; Mols, Y.

    We report on an integration approach of III/V nano ridges on patterned silicon (Si) wafers by metal organic vapor phase epitaxy (MOVPE). Trenches of different widths (≤500 nm) were processed in a silicon oxide (SiO{sub 2}) layer on top of a 300 mm (001) Si substrate. The MOVPE growth conditions were chosen in a way to guarantee an efficient defect trapping within narrow trenches and to form a box shaped ridge with increased III/V volume when growing out of the trench. Compressively strained InGaAs/GaAs multi-quantum wells with 19% indium were deposited on top of the fully relaxed GaAs ridges as an activemore » material for optical applications. Transmission electron microcopy investigation shows that very flat quantum well (QW) interfaces were realized. A clear defect trapping inside the trenches is observed whereas the ridge material is free of threading dislocations with only a very low density of planar defects. Pronounced QW photoluminescence (PL) is detected from different ridge sizes at room temperature. The potential of these III/V nano ridges for laser integration on Si substrates is emphasized by the achieved ridge volume which could enable wave guidance and by the high crystal quality in line with the distinct PL.« less

  4. Stand-off detection of vapor phase explosives by resonance enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Ehlerding, Anneli; Johansson, Ida; Wallin, Sara; Östmark, Henric

    2010-10-01

    Stand-off measurements on nitromethane (NM), 2,4-DNT and 2,4,6-TNT in vapor phase using resonance Raman spectroscopy have been performed. The Raman cross sections for NM, DNT and TNT in vapor phase have been measured in the wavelength range 210-300 nm under laboratory conditions, in order to estimate how large resonance enhancement factors can be achieved for these explosives. The measurements show that the signal is greatly enhanced, up to 250.000 times for 2,4-DNT and 60.000 times for 2,4,6-TNT compared to the non-resonant signal at 532 nm. For NM the resonance enhancement enabled realistic outdoor measurements in vapor phase at 13 m distance. This all indicate a potential for resonance Raman spectroscopy as a stand-off technique for detection of vapor phase explosives.

  5. Growth characteristics of (100)HgCdTe layers in low-temperature MOVPE with ditertiarybutyltelluride

    NASA Astrophysics Data System (ADS)

    Yasuda, K.; Hatano, H.; Ferid, T.; Minamide, M.; Maejima, T.; Kawamoto, K.

    1996-09-01

    Low-temperature growth of (100)HgCdTe (MCT) layers in MOVPE has been studied using ditertiarybutyltelluride (DtBTe), dimethylcadmium (DMCd), and elementary mercury as precursors. MCT layers were grown at 275°C on (100)GaAs substrates. Growths were carried out in a vertical growth cell which has a narrow spacing between the substrate and cell ceiling. Using the growth cell, the Cd-composition ( x) of MCT layers was controlled over a wide range from 0 to 0.98 by the DMCd flow. The growth rate of the MCT layers was constant at 5 μm h -1 for the increased DMCd flow. Preferential Cd-incorporation into MCT layers and an increase of the growth rate were observed in the presence of mercury vapor. The growth characteristics were considered to be due to the alkyl-exchange reaction between DMCd and mercury. The electrical properties and crystallinity of grown layers were also evaluated, which showed that layers with high quality can be grown at 275°C.

  6. Superconductivity in epitaxial InN thin films with large critical fields

    NASA Astrophysics Data System (ADS)

    Pal, Buddhadeb; Joshi, Bhanu P.; Chakraborti, Himadri; Jain, Aditya K.; Barick, Barun K.; Ghosh, Kankat; Laha, Apurba; Dhar, Subhabrata; Gupta, Kantimay Das

    2018-04-01

    We report superconductivity in Chemical Vapor Deposition (CVD) and Plasma-Assisted Molecular Beam Epitaxy (PA-MBE) grown epitaxial InN films having carrier density ˜ 1019 - 1020cm-3. The superconducting phase transition starts at temperatures around Tc,onset˜3 K and the resistance goes to zero completely at Tc0 ˜ 1.6 K. The temperature dependence of the critical field HC2(T) does not obey a two fluid Casimir-Gorter (C-G) model rather it is well explained by the 2-D Tinkham model. The extrapolated value of the zero-temperature perpendicular critical field HC2(0) is found to be between 0.25 - 0.9 T, which is ten times greater than that of Indium metal. It may indicate the intrinsic nature of superconductivity in InN films. The angle dependence of critical field is well described by Lawrence-Doniach (L-D) model, which suggest the existence of quasi-2D superconducting layers.

  7. Nonlinear dynamics of confined thin liquid-vapor bilayer systems with phase change

    NASA Astrophysics Data System (ADS)

    Kanatani, Kentaro; Oron, Alexander

    2011-03-01

    We numerically investigate the nonlinear evolution of the interface of a thin liquid-vapor bilayer system confined by rigid horizontal walls from both below and above. The lateral variation of the vapor pressure arising from phase change is taken into account in the present analysis. When the liquid (vapor) is heated (cooled) and gravity acts toward the liquid, the deflection of the interface monotonically grows, leading to a rupture of the vapor layer, whereas nonruptured stationary states are found when the liquid (vapor) is cooled (heated) and gravity acts toward the vapor. In the latter case, vapor-flow-driven convective cells are found in the liquid phase in the stationary state. The average vapor pressure and interface temperature deviate from their equilibrium values once the interface departs from the flat equilibrium state. Thermocapillarity does not have a significant effect near the thermodynamic equilibrium, but becomes important if the system significantly deviates from it.

  8. Vapor phase elemental sulfur amendment for sequestering mercury in contaminated soil

    DOEpatents

    Looney, Brian B.; Denham, Miles E.; Jackson, Dennis G.

    2014-07-08

    The process of treating elemental mercury within the soil is provided by introducing into the soil a heated vapor phase of elemental sulfur. As the vapor phase of elemental sulfur cools, sulfur is precipitated within the soil and then reacts with any elemental mercury thereby producing a reaction product that is less hazardous than elemental mercury.

  9. Vapor Phase Catalytic Ammonia Reduction

    NASA Technical Reports Server (NTRS)

    Flynn, Michael T.; Harper, Lynn D. (Technical Monitor)

    1994-01-01

    This paper discusses the development of a Vapor Phase Catalytic Ammonia Reduction (VPCAR) teststand and the results of an experimental program designed to evaluate the potential of the technology as a water purification process. In the experimental program the technology is evaluated based upon product water purity, water recovery rate, and power consumption. The experimental work demonstrates that the technology produces high purity product water and attains high water recovery rates at a relatively high specific power consumption. The experimental program was conducted in 3 phases. In phase I an Igepon(TM) soap and water mixture was used to evaluate the performance of an innovative Wiped-Film Rotating-Disk evaporator and associated demister. In phase II a phenol-water solution was used to evaluate the performance of the high temperature catalytic oxidation reactor. In phase III a urine analog was used to evaluate the performance of the combined distillation/oxidation functions of the processor.

  10. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  11. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  12. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  13. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  14. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  15. Harmonic surface acoustic waves on gallium nitride thin films.

    PubMed

    Justice, Joshua; Lee, Kyoungnae; Korakakis, D

    2012-08-01

    SAW devices operating at the fundamental frequency and the 5th, 7th, 9th, and 11th harmonics have been designed, fabricated, and measured. Devices were fabricated on GaN thin films on sapphire substrates, which were grown via metal organic vapor phase epitaxy (MOVPE). Operating frequencies of 230, 962, 1338, 1720, and 2100 MHz were achieved with devices that had a fundamental wavelength, lambda0 = 20 μm. Gigahertz operation is realized with relatively large interdigital transducers that do not require complicated submicrometer fabrication techniques. SAW devices fabricated on the GaN/sapphire bilayer have an anisotropic propagation when the wavelength is longer than the GaN film thickness. It is shown that for GaN thin films, where kh(GaN) > 10 (k = 2pi/lambda and h(GaN) = GaN film thickness), effects of the substrate on the SAW propagation are eliminated. Bulk mode suppression at harmonic operation is also demonstrated.

  16. Wafer-scale self-organized InP nanopillars with controlled orientation for photovoltaic devices.

    PubMed

    Sanatinia, Reza; Berrier, Audrey; Dhaka, Veer; Perros, Alexander P; Huhtio, Teppo; Lipsanen, Harri; Anand, Srinivasan

    2015-10-16

    A unique wafer-scale self-organization process for generation of InP nanopillars is demonstrated, which is based on maskless ion-beam etching (IBE) of InP developed to obtain the nanopillars, where the height, shape, and orientation of the nanopillars can be varied by controlling the processing parameters. The fabricated InP nanopillars exhibit broadband suppression of the reflectance, 'black InP,' a property useful for solar cells. The realization of a conformal p-n junction for carrier collection, in the fabricated solar cells, is achieved by a metalorganic vapor phase epitaxy (MOVPE) overgrowth step on the fabricated pillars. The conformal overgrowth retains the broadband anti-reflection property of the InP nanopillars, indicating the feasibility of this technology for solar cells. Surface passivation of the formed InP nanopillars using sulfur-oleylamine solution resulted in improved solar-cell characteristics. An open-circuit voltage of 0.71 V and an increase of 0.13 V compared to the unpassivated device were achieved.

  17. Sporicidal Activity of the KMT reagent in its vapor phase against Geobacillus stearothermophilus Spores.

    PubMed

    Kida, Nori; Mochizuki, Yasushi; Taguchi, Fumiaki

    2007-01-01

    In an investigation of the sporicidal activity of the KMT reagent, a vapor phase study was performed using five kinds of carriers contaminated with Geobacillus stearothermophilus spores. When 25 ml of the KMT reagent was vaporized in a chamber (capacity; approximately 95 liters), the 2-step heating method (vaporization by a combination of low temperature and high temperature) showed the most effective sporicidal activity in comparison with the 1-step heating method (rapid vaporization). The 2-step heating method appeared to be related to the sporicidal activity of vaporized KMT reagent, i.e., ethanol and iodine, which vaporized mainly when heated at a low temperature such as 55 C, and acidic water, which vaporized mainly when heated at a high temperature such as 300 C. We proposed that the KMT reagent can be used as a new disinfectant not only in the liquid phase but also in the vapor phase in the same way as peracetic acid and hydrogen peroxide.

  18. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  19. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  20. Low symmetry phase in Pb(Zr0.52Ti0.48)O3 epitaxial thin films with enhanced ferroelectric properties

    NASA Astrophysics Data System (ADS)

    Yan, Li; Li, Jiefang; Cao, Hu; Viehland, D.

    2006-12-01

    The authors report the structural and ferroelectric properties of Pb(Zr0.52Ti0.48)O3 (PZT) epitaxial thin films grown on (001), (110), and (111) SrRuO3/SrTiO3 substrates by pulsed laser deposition. A monoclinic C (Mc) phase has been found for (101) films, whereas (001) and (111) ones were tetragonal (T ) and rhombohedral (R), respectively. The authors find that the ferroelectric polarization of the Mc phase is higher than that in either the T or R ones. These results are consistent with predictions (i) of epitaxial phase diagrams and (ii) that the enhanced ferroelectric properties of morphotropic phase boundary PZT are related to a low symmetry monoclinic phase.

  1. Investigation of local evaporation flux and vapor-phase pressure at an evaporative droplet interface.

    PubMed

    Duan, Fei; Ward, C A

    2009-07-07

    In the steady-state experiments of water droplet evaporation, when the throat was heating at a stainless steel conical funnel, the interfacial liquid temperature was found to increase parabolically from the center line to the rim of the funnel with the global vapor-phase pressure at around 600 Pa. The energy conservation analysis at the interface indicates that the energy required for evaporation is maintained by thermal conduction to the interface from the liquid and vapor phases, thermocapillary convection at interface, and the viscous dissipation globally and locally. The local evaporation flux increases from the center line to the periphery as a result of multiple effects of energy transport at the interface. The local vapor-phase pressure predicted from statistical rate theory (SRT) is also found to increase monotonically toward the interface edge from the center line. However, the average value of the local vapor-phase pressures is in agreement with the measured global vapor-phase pressure within the measured error bar.

  2. Speciation and quantification of vapor phases in soy biodiesel and waste cooking oil biodiesel.

    PubMed

    Peng, Chiung-Yu; Lan, Cheng-Hang; Dai, Yu-Tung

    2006-12-01

    This study characterizes the compositions of two biodiesel vapors, soy biodiesel and waste cooking oil biodiesel, to provide a comprehensive understanding of biodiesels. Vapor phases were sampled by purging oil vapors through thermal desorption tubes which were then analyzed by the thermal desorption/GC/MS system. The results show that the compounds of biodiesel vapors can be divided into four groups. They include methyl esters (the main biodiesel components), oxygenated chemicals, alkanes and alkenes, and aromatics. The first two chemical groups are only found in biodiesel vapors, not in the diesel vapor emissions. The percentages of mean concentrations for methyl esters, oxygenated chemicals, alkanes and alkenes, and aromatics are 66.1%, 22.8%, 4.8% and 6.4%, respectively for soy biodiesel, and 35.8%, 35.9%, 27.9% and 0.3%, respectively for waste cooking oil biodiesel at a temperature of 25+/-2 degrees C. These results show that biodiesels have fewer chemicals and lower concentrations in vapor phase than petroleum diesel, and the total emission rates are between one-sixteenth and one-sixth of that of diesel emission, corresponding to fuel evaporative emissions of loading losses of between 106 microg l(-1) and 283 microg l(-1). Although diesels generate more vapor phase emissions, biodiesels still generate considerable amount of vapor emissions, particularly the emissions from methyl esters and oxygenated chemicals. These two chemical groups are more reactive than alkanes and aromatics. Therefore, speciation and quantification of biodiesel vapor phases are important.

  3. Vapor-liquid phase separator permeability results

    NASA Technical Reports Server (NTRS)

    Yuan, S. W. K.; Frederking, T. H. K.

    1981-01-01

    Continued studies are described in the area of vapor-liquid phase separator work with emphasis on permeabilities of porous sintered plugs (stainless steel, nominal pore size 2 micrometer). The temperature dependence of the permeability has been evaluated in classical fluid using He-4 gas at atmospheric pressure and in He-2 on the basis of a modified, thermosmotic permeability of the normal fluid.

  4. Development of Vapor-Phase Catalytic Ammonia Removal System

    NASA Technical Reports Server (NTRS)

    Flynn, Michael; Fisher, John; Kiss, Mark; Borchers, Bruce; Tleimat, Badawi; Tleimat, Maher; Quinn, Gregory; Fort, James; Nalette, Tim; Baker, Gale; hide

    2007-01-01

    A report describes recent accomplishments of a continuing effort to develop the vapor-phase catalytic ammonia removal (VPCAR) process for recycling wastewater for consumption by humans aboard a spacecraft in transit to Mars.

  5. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  6. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  7. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  8. Long wavelength emitting GaInN quantum wells on metamorphic GaInN buffer layers with enlarged in-plane lattice parameter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Däubler, J., E-mail: juergen.daeubler@iaf.fraunhofer.de; Passow, T.; Aidam, R.

    Metamorphic (i.e., linear composition graded) GaInN buffer layers with an increased in-plane lattice parameter, grown by plasma-assisted molecular beam epitaxy, were used as templates for metal organic vapor phase epitaxy (MOVPE) grown GaInN/GaInN quantum wells (QWs), emitting in the green to red spectral region. A composition pulling effect was observed allowing considerable higher growth temperatures for the QWs for a given In composition. The internal quantum efficiency (IQE) of the QWs was determined by temperature and excitation power density dependent photoluminescence (PL) spectroscopy. An increase in IQE by a factor of two was found for green emitting QWs grown onmore » metamorphic GaInN buffer compared to reference samples grown on standard GaN buffer layers. The ratio of room temperature to low temperature intensity PL of the red emitting QWs were found to be comparable to the PL efficiency of green emitting QWs, both grown on metamorphic GaInN buffers. The excitation density and well width dependence of the IQE indicate a reduction of the quantum confined Stark effect upon growth on GaInN buffer layers with increased in-plane lattice parameter.« less

  9. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  10. Heterogeneous Two-Phase Pillars in Epitaxial NiFe 2 O 4 -LaFeO 3 Nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Comes, Ryan B.; Perea, Daniel E.; Spurgeon, Steven R.

    2017-07-10

    Self-assembled epitaxial oxide nanocomposites have been explored for a wide range of applications, including multiferroic and magnetoelectric properties, plasmonics, and catalysis. These so-called “vertically aligned nanocomposites” form spontaneously during the deposition process when segregation into two phases is energetically favorable as compared to a solid solution. However, there has been surprisingly little work understanding the driving forces that govern the synthesis of these materials, which can include point defect energetics, surface diffusion, and interfacial energies. To explore these factors, La-Ni-Fe-O films have been synthesized by molecular beam epitaxy and it is shown that these phase segregate into spinel-perovskite nanocomposites. Usingmore » complementary scanning transmission electron microscopy and atom-probe tomography, the elemental composition of each phase is examined and found that Ni ions are exclusively found in the spinel phase. From correlative analysis, a model for the relative favorability of the Ni2+ and Ni3+ valences under the growth conditions is developed. It is shown that multidimensional characterization techniques provide previously unobserved insight into the growth process and complex driving forces for phase segregation.« less

  11. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  12. AlN/GaN heterostructures grown by metal organic vapor phase epitaxy with in situ Si 3N 4 passivation

    NASA Astrophysics Data System (ADS)

    Cheng, Kai; Degroote, S.; Leys, M.; Medjdoub, F.; Derluyn, J.; Sijmus, B.; Germain, M.; Borghs, G.

    2011-01-01

    AlN/GaN heterostructures are very attractive because their theoretical two-dimensional electron gas (2DEG) density may exceed 5×10 13/cm 2[1]. However, there are very few reports on AlN/GaN heterostructures grown by MOVPE. In this work, we show that good quality AlN layers can be grown on GaN at a relatively low growth temperature when TMIn is added to the carrier gas flow as a surfactant. Analysis by RBS revealed that at a growth temperature of 900 °C or higher no Indium is actually incorporated. Various thicknesses of AlN are grown, from 2 to 8 nm. Finally, 2-3 nm in situ Si 3N 4 is deposited in order to protect the AlN surface and thus prevent stress relaxation. AFM revealed that the root-mean-square (RMS) roughness in a 1×1 μm 2 area is 0.25 nm. When the AlN thickness reaches 8 nm, the sheet resistance can be as low as 186±3 Ω/□. Van der Pauw-Hall measurements show that the electron density is about 2.5×10 13/cm 2 with electron mobility exceeding 1140 cm 2/V s when extra 50 nm PECVD SiN is deposited.

  13. An Indirect Method for Vapor Pressure and Phase Change Enthalpy Determination by Thermogravimetry

    NASA Astrophysics Data System (ADS)

    Giani, Samuele; Riesen, Rudolf; Schawe, Jürgen E. K.

    2018-07-01

    Vapor pressure is a fundamental property of a pure substance. This property is the pressure of a compound's vapor in thermodynamic equilibrium with its condensed phase (solid or liquid). When phase equilibrium condition is met, phase coexistence of a pure substance involves a continuum interplay of vaporization or sublimation to gas and condensation back to their liquid or solid form, respectively. Thermogravimetric analysis (TGA) techniques are based on mass loss determination and are well suited for the study of such phenomena. In this work, it is shown that TGA method using a reference substance is a suitable technique for vapor pressure determination. This method is easy and fast because it involves a series of isothermal segments. In contrast to original Knudsen's approach, where the use of high vacuum is mandatory, adopting the proposed method a given experimental setup is calibrated under ambient pressure conditions. The theoretical framework of this method is based on a generalization of Langmuir equation of free evaporation: The real strength of the proposed method is the ability to determine the vapor pressure independently of the molecular mass of the vapor. A demonstration of this method has been performed using the Clausius-Clapeyron equation of state to derive the working equation. This algorithm, however, is adaptive and admits the use of other equations of state. The results of a series of experiments with organic molecules indicate that the average difference of the measured and the literature vapor pressure amounts to about 5 %. Vapor pressure determined in this study spans from few mPa up to several kPa. Once the p versus T diagram is obtained, phase transition enthalpy can additionally be calculated from the data.

  14. FIELD TRAPPING OF SUBSURFACE VAPOR PHASE PETROLEUM HYDROCARBONS

    EPA Science Inventory

    Soil gas samples from intact soil cores were collected on adsorbents at a field site, then thermally desorbed and analyzed by laboratory gas chromatography (GC). ertical concentration profiles of predominant vapor phase petroleum hydrocarbons under ambient conditions were obtaine...

  15. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  16. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  17. Low-cost Engineering of Laser Rods and Slabs with Liquid Phase Epitaxy

    DTIC Science & Technology

    2011-09-01

    SUPPLEMENTARY NOTES 14. ABSTRACT We investigated the use of a liquid phase epitaxial ( LPE ) coating to improve the performance of a rod or slab laser. A...single crystal erbium-doped yttrium aluminum garnet (Er:YAG) rod coated with undoped YAG, and an uncoated sample were procured, then compared on the...the whispering gallery modes, which otherwise would deplete the gain in ~50% of the rod volume. We also investigated LPE growth on a ceramic sample

  18. Vapor-Phase Stoichiometry and Heat Treatment of CdTe Starting Material for Physical Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Sha, Yi-Gao; Lehoczky, S. L.; Liu, Hao-Chieh; Fang, Rei; Brebrick, R. F.

    1998-01-01

    Six batches of CdTe, having total amounts of material from 99 to 203 g and gross mole fraction of Te, X(sub Te), 0.499954-0.500138, were synthesized from pure Cd and Te elements. The vapor-phase stoichiometry of the assynthesized CdTe batches was determined from the partial pressure of Te2, P(sub Te2) using an optical absorption technique. The measured vapor compositions at 870 C were Te-rich for all of the batches with partial pressure ratios of Cd to Te2, P(sub Cd)/P(sub Te2), ranging from 0.00742 to 1.92. After the heat treatment of baking under dynamic vacuum at 870 C for 8 min, the vapor-phase compositions moved toward that of the congruent sublimation, i.e. P(sub Cd)/P(sub Te2) = 2.0, with the measured P(sub Cd)/P(sub Te2) varying from 1.84 to 3.47. The partial pressure measurements on one of the heat-treated samples also showed that the sample remained close to the congruent sublimation condition over the temperature range 800-880 C.

  19. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  20. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysismore » also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.« less

  1. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  2. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  3. Investigation of Electrical and Optical Properties of Bulk III-V Ternary Semiconductors

    DTIC Science & Technology

    2009-03-01

    metalorganic vapour phase epitaxial grown (MOVPE) InxGa1-xSb with indium mole fractions less than 0.06. [28] They observed that GaSb and InxGa1-xSb had...Treideris, A. Krotkus, and K. Grigoras, “Picosecond GaAs and InGaAs photoconductive switches obtained by low-temperature metal-organic chemical vapour ...Time Dependent Annealing Study of Silicon Implanted Aluminum Gallium Nitride,” Master’s Thesis, Air Force Institute of Technology (AU), Wright

  4. Nitrogen Incorporation Effects On Site-Controlled Quantum Dots

    NASA Astrophysics Data System (ADS)

    Juska, G.; Dimastrodonato, V.; Mereni, L. O.; Pelucchi, E.

    2011-12-01

    We report here on the optical properties of site-controlled diluted nitride In0.25Ga0.75As1-xNx quantum dots grown by metalorganic vapour phase epitaxy (MOVPE). We show photoluminescence energy shift as a function of nitrogen precursor U-dimethylhydrazine, with a maximum value of 35 meV achieved. Optical features, substantially different from the counterpart nitrogen-free dots, are presented: an antibinding biexciton, a large distribution of lifetimes, significantly reduced fine structure splitting.

  5. Phase-field model of vapor-liquid-solid nanowire growth

    NASA Astrophysics Data System (ADS)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  6. A Preliminary Study on the Vapor/Mist Phase Lubrication of a Spur Gearbox

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Handschuh, Robert F.

    1999-01-01

    Organophosphates have been the primary compounds used in vapor/mist phase lubrication studies involving ferrous bearing material. Experimental results have indicated that the initial formation of an iron phosphate film on a rubbing ferrous surface, followed by the growth (by cationic diffusion) of a lubricious pyrophosphate-type coating over the iron phosphate, is the reason organophosphates work well as vapor/mist phase lubricants. Recent work, however, has shown that this mechanism leads to the depletion of surface iron atoms and to eventual lubrication failure. A new organophosphate formulation was developed which circumvents surface iron depletion. This formulation was tested by generating an iron phosphate coating on an aluminum surface. The new formulation was then used to vapor/mist phase lubricate a spur gearbox in a preliminary study.

  7. Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process

    DOEpatents

    Iwancizko, Eugene; Jones, Kim M.; Crandall, Richard S.; Nelson, Brent P.; Mahan, Archie Harvin

    2001-01-01

    The invention provides a process for depositing an epitaxial layer on a crystalline substrate, comprising the steps of providing a chamber having an element capable of heating, introducing the substrate into the chamber, heating the element at a temperature sufficient to decompose a source gas, passing the source gas in contact with the element; and forming an epitaxial layer on the substrate.

  8. Epitaxial growth and chemical vapor transport of ZnTe by closed-tube method

    NASA Astrophysics Data System (ADS)

    Ogawa, H.; Nishio, M.; Arizumi, T.

    1981-04-01

    The epitaxial growth of ZnTe in a ZnTe- I2 system by a closed tube method is investigated by varying the charged iodine concentration ( MI2) or the temperature difference ( ΔT) between the high and low temperature zones. The transport rate is a function of MI2 and ΔT and has a minimum value increasing monotonically at higher and lower iodine concentration, and it increases with increasing ΔT. This experimental result can be explained well by thermodynamical calculations. The growth rate of ZnTe has the same tendency as the transport rate. The surface morphology of epitaxial layer on (110)ZnTe is not sinificantly affected by MI2 but becomes smoother with increasing temperature. The surface morphology and the growth rate of ZnTe layers also depend upon the orientation of substrate. The epitaxial layer can be obtained at temperature as low as 623°C.

  9. Phase-transitional Fe3O4/perfluorohexane Microspheres for Magnetic Droplet Vaporization.

    PubMed

    Wang, Ronghui; Zhou, Yang; Zhang, Ping; Chen, Yu; Gao, Wei; Xu, Jinshun; Chen, Hangrong; Cai, Xiaojun; Zhang, Kun; Li, Pan; Wang, Zhigang; Hu, Bing; Ying, Tao; Zheng, Yuanyi

    2017-01-01

    Activating droplets vaporization has become an attractive strategy for ultrasound imaging and physical therapy due to the significant increase in ultrasound backscatter signals and its ability to physically damage the tumor cells. However, the current two types of transitional droplets named after their activation methods have their respective limitations. To circumvent the limitations of these activation methods, here we report the concept of magnetic droplet vaporization (MDV) for stimuli-responsive cancer theranostics by a magnetic-responsive phase-transitional agent. This magnetic-sensitive phase-transitional agent-perfluorohexane (PFH)-loaded porous magnetic microspheres (PFH-PMMs), with high magnetic-thermal energy-transfer capability, could quickly respond to external alternating current (AC) magnetic fields to produce thermal energy and trigger the vaporization of the liquid PFH. We systematically demonstrated MDV both in vitro and in vivo. This novel trigger method with deep penetration can penetrate the air-filled viscera and trigger the vaporization of the phase-transitional agent without the need of pre-focusing lesion. This unique MDV strategy is expected to substantially broaden the biomedical applications of nanotechnology and promote the clinical treatment of tumors that are not responsive to chemical therapies.

  10. Hybrid vapor phase-solution phase growth techniques for improved CZT(S,Se) photovoltaic device performance

    DOEpatents

    Chang, Liang-Yi; Gershon, Talia S.; Haight, Richard A.; Lee, Yun Seog

    2016-12-27

    A hybrid vapor phase-solution phase CZT(S,Se) growth technique is provided. In one aspect, a method of forming a kesterite absorber material on a substrate includes the steps of: depositing a layer of a first kesterite material on the substrate using a vapor phase deposition process, wherein the first kesterite material includes Cu, Zn, Sn, and at least one of S and Se; annealing the first kesterite material to crystallize the first kesterite material; and depositing a layer of a second kesterite material on a side of the first kesterite material opposite the substrate using a solution phase deposition process, wherein the second kesterite material includes Cu, Zn, Sn, and at least one of S and Se, wherein the first kesterite material and the second kesterite material form a multi-layer stack of the absorber material on the substrate. A photovoltaic device and method of formation thereof are also provided.

  11. Thermodynamic analysis of vapor-phase epitaxy of CdTe using a metallic Cd source

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Murakami, Hisashi; Koukitu, Akinori

    2017-07-01

    Thermodynamic analysis of CdTe growth using cost-effective metallic Cd and dialkyl telluride was performed. The major vapor species at source zone in equilibrium were gaseous Cd for the group-II precursor, and Te2 and H2Te for the group-VI precursors. The driving force for the CdTe deposition was still positive even at 650 °C. This indicates that CdTe formation from gaseous Cd can proceed thermodynamically. Furthermore, the calculations showed that CdTe decomposes at higher temperature and increasing the II/VI ratio increases the limit of the growth temperature, which coincides with the experimental results.

  12. Large-Area Direct Hetero-Epitaxial Growth of 1550-nm InGaAsP Multi-Quantum-Well Structures on Patterned Exact-Oriented (001) Silicon Substrates by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico; Cabinian, Brian C.; Zhao, Hongwei; Oakley, Douglas C.; Bowers, John E.; Klamkin, Jonathan

    2018-02-01

    We employ a simple two-step growth technique to grow large-area 1550-nm laser structures by direct hetero-epitaxy of III-V compounds on patterned exact-oriented (001) silicon (Si) substrates by metal organic chemical vapor deposition. Densely-packed, highly uniform, flat and millimeter-long indium phosphide (InP) nanowires were grown from Si v-grooves separated by silicon dioxide (SiO2) stripes with various widths and pitches. Following removal of the SiO2 patterns, the InP nanowires were coalesced and, subsequently, 1550-nm laser structures were grown in a single overgrowth without performing any polishing for planarization. X-ray diffraction, photoluminescence, atomic force microscopy and transmission electron microscopy analyses were used to characterize the epitaxial material. PIN diodes were fabricated and diode-rectifying behavior was observed.

  13. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  14. Iron incorporation in InP layers using a ferrocene source in atmospheric pressure MOVPE

    NASA Astrophysics Data System (ADS)

    Robein, D.; Kazmierski, C.; Pougnet, A. M.; Rose, B.

    1991-02-01

    Iron incorporation into InP has been studied using an AP MOVPE method. A very good control of the iron doping has been obtained with a ferrocene diffusion cell source. Semi-insulating material with a resistivity as a high as 5 × 10 8 Ω cm has been measured on n-SI-n diodes with iron-doped 1 mum thick layers. A compensation activity of iron near 100% has been found. An iron incorporation activition energy of 2.5 eV has been determined below the solubility limit. The iron concentration was found to be proportional to the gas-phase ferrocene concentration and to follow an inverse square-root law under increasing phosphine flow. In order to explain the observed phenomena, an incorporation mechanism model is developed assuming a two-phosphorus vacancy— substitutional iron complex as the incorporated species.

  15. Strain-induced modification of magnetic structure and new magnetic phases in rare-earth epitaxial films

    NASA Astrophysics Data System (ADS)

    Dufour, C.; Dumesnil, K.; Mangin, Ph

    2006-07-01

    Rare earths exhibit complex magnetic phase diagrams resulting from the competition between various contributions to the magnetic energy: exchange, anisotropy and magnetostriction. The epitaxy of a rare-earth film on a substrate induces (i) a clamping to the substrate and (ii) pseudomorphic strains. Both these effects are shown to lead to modifications of the magnetic properties in (0 0 1)Dy, (0 0 1)Tb and (1 1 0)Eu films. In Dy and Tb films, spectacular variations of the Curie temperature have been evidenced. Additionally, Tb films exhibit a new large wavelength magnetic modulation. In Eu films, one of the helical magnetic domains disappears at low temperature whereas the propagation vectors of the other helices are tilted. The link between structural and magnetic properties is underlined via magnetoelastic models. Moreover, molecular beam epitaxy permits the growth of Sm in a metastable dhcp phase. The magnetic structure of dhcp Sm has been elucidated for the first time. In this review, neutron scattering is shown to be a powerful technique to reveal the magnetic structures of rare-earth films.

  16. Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications

    DTIC Science & Technology

    2006-11-01

    pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to

  17. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  18. Impact of Mg-ion implantation with various fluence ranges on optical properties of n-type GaN

    NASA Astrophysics Data System (ADS)

    Tsuge, Hirofumi; Ikeda, Kiyoji; Kato, Shigeki; Nishimura, Tomoaki; Nakamura, Tohru; Kuriyama, Kazuo; Mishima, Tomoyoshi

    2017-10-01

    Optical characteristics of Mg-ion implanted GaN layers with various fluence ranges were evaluated. Mg ion implantation was performed twice at energies of 30 and 60 keV on n-GaN layers. The first implantation at 30 keV was performed with three different fluence ranges of 1.0 × 1014, 1.0 × 1015 and 5.0 × 1015 cm-2. The second implantation at an energy of 60 keV was performed with a fluence of 6.5 × 1013 cm-2. After implantation, samples were annealed at 1250 °C for 1 min under N2 atmosphere. Photoluminescence (PL) spectrum of the GaN layer with the Mg ion implantation at the fluence range of 1.0 × 1014 cm-2 at 30 keV was similar to the one of Mg-doped p-GaN layers grown by MOVPE (Metal-Organic Vapor Phase Epitaxy) on free-standing GaN substrates and those at the fluence ranges over 1.0 × 1015 cm-2 were largely degraded.

  19. Highly doped InP as a low loss plasmonic material for mid-IR region.

    PubMed

    Panah, M E Aryaee; Takayama, O; Morozov, S V; Kudryavtsev, K E; Semenova, E S; Lavrinenko, A V

    2016-12-12

    We study plasmonic properties of highly doped InP in the mid-infrared (IR) range. InP was grown by metal-organic vapor phase epitaxy (MOVPE) with the growth conditions optimized to achieve high free electron concentrations by doping with silicon. The permittivity of the grown material was found by fitting the calculated infrared reflectance spectra to the measured ones. The retrieved permittivity was then used to simulate surface plasmon polaritons (SPPs) propagation on flat and structured surfaces, and the simulation results were verified in direct experiments. SPPs at the top and bottom interfaces of the grown epilayer were excited by the prism coupling. A high-index Ge hemispherical prism provides efficient coupling conditions of SPPs on flat surfaces and facilitates acquiring their dispersion diagrams. We observed diffraction into symmetry-prohibited diffraction orders stimulated by the excitation of surface plasmon-polaritons in a periodically structured epilayer. Characterization shows good agreement between the theory and experimental results and confirms that highly doped InP is an effective plasmonic material aiming it for applications in the mid-IR wavelength range.

  20. Continuous Determination of High-Vapor Phase Concentrations of Tetrachloroethylene Using On-Line Mass Spectrometry

    EPA Science Inventory

    A method was developed to determine the vapor concentration of tetrachloroethylene (PCE) at and below its equilibrium vapor phase concentration, 168,000 μg/L (25°C). Vapor samples were drawn by vacuum into a six-port sampling valve and injected through a jet separator into an io...

  1. Epitaxial Garnet Investigation; Technical Report, Foreign Travel

    DTIC Science & Technology

    1988-10-25

    Pure yttrium iron garnet (YIG) films are grown on GGG substrates by * liquid phase epitaxy ( LPE ) in production lots. In addition, one or two...epitaxial garnet films for Philips Dr. Krumme * Dr. Doormann 3-6-87 Thomson - CSF Research Center, Orsay, France Dr. J. P. Castera Dr. P. L. Meunier all...research physicists who grow, characterize, Dr. J. Y. Beguin or use epitaxial garnet films for Thomson CSF. Dr. J. L. Rolland Dr. P. Friez The

  2. 2D scaling behavior of nanotextured GaN surfaces: A case study of hillocked and terraced surfaces

    NASA Astrophysics Data System (ADS)

    Mutta, Geeta Rani; Carapezzi, Stefania

    2018-07-01

    The 2D scaling properties of GaN surfaces have been studied by means of the 2D height-height correlation function (HHCF). The GaN layers under investigation presented exemplar morphologies, generated by distinct growth methods: a molecular beam epitaxy (MBE) grown surface decorated by hillocks and a metal organic vapor phase epitaxy (MOVPE) grown surface with terraced structure. The 2D statistical analysis of these surfaces has allowed assessing quantitatively the degree of morphological variability along all the different directions across each surface, their corresponding roughness exponents and correlation lengths. A scaling anisotropy as well as correlation length anisotropy has been detected for both hillocked and terraced surfaces. Especially, a marked dependence of correlation length from the direction across the terraced surface has been observed. Additionally, the terraced surfaces showed the lower root mean square (RMS) roughness value and at the same time, the lower roughness exponent value. This could appear as a contradiction, given that a low RMS value is associated to a smooth surface, and usually the roughness exponent is interpreted as a "measure" of the smoothness of the surface, the smoother the surface, the higher (approaching the unity) is the roughness exponent. Our case study is an experimental demonstration in which the roughness exponent should be, more appropriately, interpreted as a quantification of how the roughness changes with length scale.

  3. Vapor-crystal phase transition in synthesis of paracetamol films by vacuum evaporation and condensation

    NASA Astrophysics Data System (ADS)

    Belyaev, A. P.; Rubets, V. P.; Antipov, V. V.; Bordei, N. S.; Zarembo, V. I.

    2014-03-01

    We report on the structural and technological investigations of the vapor-crystal phase transition during synthesis of paracetamol films of the monoclinic system by vacuum evaporation and condensation in the temperature range 220-320 K. The complex nature of the transformation accompanied by the formation of a gel-like phase is revealed. The results are interpreted using a model according to which the vapor-crystal phase transition is not a simple first-order phase transition, but is a nonlinear superposition of two phase transitions: a first-order transition with a change in density and a second-order phase transition with a change in ordering. Micrographs of the surface of the films are obtained at different phases of formation.

  4. Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition

    PubMed Central

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin

    2017-01-01

    Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964

  5. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  6. HgCdTe liquid phase epitaxy - An overview

    NASA Astrophysics Data System (ADS)

    Castro, C. A.; Korenstein, R.

    1982-08-01

    Techniques and results of using liquid phase epitaxy (LPE) to form crystalline thin HgCdTe films for industrial-scale applications in IR detectors and focal plane arrays are discussed. Varying the mole fraction of CdTe in HgCdTe is noted to permit control of the bandwidth. LPE-grown films are noted to have a low carrier concentration, on the order of 4 x 10 to the 14th to 5 x 10 to the 15th/cu cm, a good surface morphology and be amenable to production scale-up. Details of the isothermal, equilibrium cooling, and supersaturation cooling LPE growth modes are reviewed, noting the necessity of developing a reliable method for determining the liquidus temperature for all modes to maintain uniformity of film growth from batch to batch. Mechanical steps can be either dipping the substrate into the melt or the slider boat approach, which is used in the production of compound semiconductors.

  7. Ordered arrays of multiferroic epitaxial nanostructures.

    PubMed

    Vrejoiu, Ionela; Morelli, Alessio; Biggemann, Daniel; Pippel, Eckhard

    2011-01-01

    Epitaxial heterostructures combining ferroelectric (FE) and ferromagnetic (FiM) oxides are a possible route to explore coupling mechanisms between the two independent order parameters, polarization and magnetization of the component phases. We report on the fabrication and properties of arrays of hybrid epitaxial nanostructures of FiM NiFe(2)O(4) (NFO) and FE PbZr(0.52)Ti(0.48)O(3) or PbZr(0.2)Ti(0.8)O(3), with large range order and lateral dimensions from 200 nm to 1 micron. The structures were fabricated by pulsed-laser deposition. High resolution transmission electron microscopy and high angle annular dark-field scanning transmission electron microscopy were employed to investigate the microstructure and the epitaxial growth of the structures. Room temperature ferroelectric and ferrimagnetic domains of the heterostructures were imaged by piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM), respectively. PFM and MFM investigations proved that the hybrid epitaxial nanostructures show ferroelectric and magnetic order at room temperature. Dielectric effects occurring after repeated switching of the polarization in large planar capacitors, comprising ferrimagnetic NiFe2O4 dots embedded in ferroelectric PbZr0.52Ti0.48O3 matrix, were studied. These hybrid multiferroic structures with clean and well defined epitaxial interfaces hold promise for reliable investigations of magnetoelectric coupling between the ferrimagnetic / magnetostrictive and ferroelectric / piezoelectric phases.

  8. Electrochemical Liquid Phase Epitaxy (ec-LPE): A New Methodology for the Synthesis of Crystalline Group IV Semiconductor Epifilms.

    PubMed

    Demuth, Joshua; Fahrenkrug, Eli; Ma, Luyao; Shodiya, Titilayo; Deitz, Julia I; Grassman, Tyler J; Maldonado, Stephen

    2017-05-24

    Deposition of epitaxial germanium (Ge) thin films on silicon (Si) wafers has been achieved over large areas with aqueous feedstock solutions using electrochemical liquid phase epitaxy (ec-LPE) at low temperatures (T ≤ 90 °C). The ec-LPE method uniquely blends the simplicity and control of traditional electrodeposition with the material quality of melt growth. A new electrochemical cell design based on the compression of a liquid metal electrode into a thin cavity that enables ec-LPE is described. The epitaxial nature, low strain character, and crystallographic defect content of the resultant solid Ge films were analyzed by electron backscatter diffraction, scanning transmission electron microscopy, high resolution X-ray diffraction, and electron channeling contrast imaging. The results here show the first step toward a manufacturing infrastructure for traditional crystalline inorganic semiconductor epifilms that does not require high temperature, gaseous precursors, or complex apparatus.

  9. Transient-pressure analysis in geothermal steam reservoirs with an immobile vaporizing liquid phase

    USGS Publications Warehouse

    Moench, A.F.; Atkinson, P.G.

    1978-01-01

    A finite-difference model for the radial horizontal flow of steam through a porous medium is used to evaluate transient-pressure behavior in the presence of an immobile vaporizing or condensing liquid phase. Graphs of pressure drawdown and buildup in terms of dimensionless pressure and time are obtained for a well discharging steam at a constant mass flow rate for a specified time. The assumptions are made that the steam is in local thermal equilibrium with the reservoir rocks, that temperature changes are due only to phase change, and that effects of vapor-pressure lowering are negligible. Computations show that when a vaporizing liquid phase is present the pressure drawdown exhibits behavior similar to that observed in noncondensable gas reservoirs, but delayed in time. A theoretical analysis allows for the computation of this delay and demonstrates that it is independent of flow geometry. The response that occurs upon pressure buildup is markedly different from that in a noncondensable gas system. This result may provide a diagnostic tool for establishing the existence of phase-change phenomena within a reservoir. ?? 1979.

  10. Strain-induced phase variation and dielectric constant enhancement of epitaxial Gd{sub 2}O{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekhter, P., E-mail: Pini@tx.technion.ac.il; Amouyal, Y.; Eizenberg, M.

    2016-07-07

    One of the approaches for realizing advanced high k insulators for metal oxide semiconductor field effect transistors based devices is the use of rare earth oxides. When these oxides are deposited as epitaxial thin films, they demonstrate dielectric properties that differ greatly from those that are known for bulk oxides. Using structural and spectroscopic techniques, as well as first-principles calculations, Gd{sub 2}O{sub 3} films deposited on Si (111) and Ge (111) were characterized. It was seen that the same 4 nm thick film, grown simultaneously on Ge and Si, presents an unstrained lattice on Ge while showing a metastable phase onmore » Si. This change from the cubic lattice to the distorted metastable phase is characterized by an increase in the dielectric constant of more than 30% and a change in band gap. The case in study shows that extreme structural changes can occur in ultra-thin epitaxial rare earth oxide films and modify their dielectric properties when the underlying substrate is altered.« less

  11. Improved thermal lattice Boltzmann model for simulation of liquid-vapor phase change

    NASA Astrophysics Data System (ADS)

    Li, Qing; Zhou, P.; Yan, H. J.

    2017-12-01

    In this paper, an improved thermal lattice Boltzmann (LB) model is proposed for simulating liquid-vapor phase change, which is aimed at improving an existing thermal LB model for liquid-vapor phase change [S. Gong and P. Cheng, Int. J. Heat Mass Transfer 55, 4923 (2012), 10.1016/j.ijheatmasstransfer.2012.04.037]. First, we emphasize that the replacement of ∇ .(λ ∇ T ) /∇.(λ ∇ T ) ρ cV ρ cV with ∇ .(χ ∇ T ) is an inappropriate treatment for diffuse interface modeling of liquid-vapor phase change. Furthermore, the error terms ∂t 0(T v ) +∇ .(T vv ) , which exist in the macroscopic temperature equation recovered from the previous model, are eliminated in the present model through a way that is consistent with the philosophy of the LB method. Moreover, the discrete effect of the source term is also eliminated in the present model. Numerical simulations are performed for droplet evaporation and bubble nucleation to validate the capability of the model for simulating liquid-vapor phase change. It is shown that the numerical results of the improved model agree well with those of a finite-difference scheme. Meanwhile, it is found that the replacement of ∇ .(λ ∇ T ) /∇ .(λ ∇ T ) ρ cV ρ cV with ∇ .(χ ∇ T ) leads to significant numerical errors and the error terms in the recovered macroscopic temperature equation also result in considerable errors.

  12. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1983-12-01

    operating at frequencies between 1 GHz and 25 GHz. 2. Investigate LPE growth of lithium ferrite with the objective of preparing low-loss, large area films ...and hexagonal ferrites when the series of contracts began in 1975. At that time the liquid phase epitaxy method for growth of magnetic garnet films ...principal interest in epitaxial garnets was for magnetic bubble memories. For this Uapplication the films had to be about 3pm thick with low defect density

  13. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1980-02-28

    shaped LPE garnet samples with 31.5um film thickness. We were informed that initial evalu- ation showed acceptably low insertion loss and that the material...frequencies above 25 GHz. c. Furnish up to eight (8) liquid phase epitaxy yttrium iron garnet films to RADC/EEA for testing and evaluation. These tasks...a "Method for Controlling Resonance Frequency of Yttrium Iron Garnet Films ." A patent, "Epitaxial Growth of M-type Hexagonal Ferrite Films on Spinel

  14. Irradiation of fish fillets: Relation of vapor phase reactions to storage quality

    USGS Publications Warehouse

    Spinelli, J.; Dollar, A.M.; Wedemeyer, G.A.; Gallagher, E.C.

    1969-01-01

    Fish fillets irradiated under air, nitrogen, oxygen, or carbon dioxide atmospheres developed rancidlike flavors when they were stored at refrigerated temperatures. Packing and irradiating under vacuum or helium prevented development of off-flavors during storage.Significant quantities of nitrate and oxidizing substances were formed when oxygen, nitrogen, or air were present in the vapor or liquid phases contained in a Pyrex glass model system exposed to ionizing radiation supplied by a 60Co source. It was demonstrated that the delayed flavor changes that occur in stored fish fillets result from the reaction of vapor phase radiolysis products and the fish tissue substrates.

  15. Epitaxial CoSi2 on MOS devices

    DOEpatents

    Lim, Chong Wee; Shin, Chan Soo; Petrov, Ivan Georgiev; Greene, Joseph E.

    2005-01-25

    An Si.sub.x N.sub.y or SiO.sub.x N.sub.y liner is formed on a MOS device. Cobalt is then deposited and reacts to form an epitaxial CoSi.sub.2 layer underneath the liner. The CoSi.sub.2 layer may be formed through a solid phase epitaxy or reactive deposition epitaxy salicide process. In addition to high quality epitaxial CoSi.sub.2 layers, the liner formed during the invention can protect device portions during etching processes used to form device contacts. The liner can act as an etch stop layer to prevent excessive removal of the shallow trench isolation, and protect against excessive loss of the CoSi.sub.2 layer.

  16. Evidence for extreme partitioning of copper into a magmatic vapor phase.

    PubMed

    Lowenstern, J B; Mahood, G A; Rivers, M L; Sutton, S R

    1991-06-07

    The discovery of copper sulfides in carbon dioxide- and chlorine-bearing bubbles in phenocryst-hosted melt inclusions shows that copper resides in a vapor phase in some shallow magma chambers. Copper is several hundred times more concentrated in magmatic vapor than in coexisting pantellerite melt. The volatile behavior of copper should be considered when modeling the volcanogenic contribution of metals to the atmosphere and may be important in the formation of copper porphyry ore deposits.

  17. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  18. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  19. Predicting vapor-liquid phase equilibria with augmented ab initio interatomic potentials

    NASA Astrophysics Data System (ADS)

    Vlasiuk, Maryna; Sadus, Richard J.

    2017-06-01

    The ability of ab initio interatomic potentials to accurately predict vapor-liquid phase equilibria is investigated. Monte Carlo simulations are reported for the vapor-liquid equilibria of argon and krypton using recently developed accurate ab initio interatomic potentials. Seventeen interatomic potentials are studied, formulated from different combinations of two-body plus three-body terms. The simulation results are compared to either experimental or reference data for conditions ranging from the triple point to the critical point. It is demonstrated that the use of ab initio potentials enables systematic improvements to the accuracy of predictions via the addition of theoretically based terms. The contribution of three-body interactions is accounted for using the Axilrod-Teller-Muto plus other multipole contributions and the effective Marcelli-Wang-Sadus potentials. The results indicate that the predictive ability of recent interatomic potentials, obtained from quantum chemical calculations, is comparable to that of accurate empirical models. It is demonstrated that the Marcelli-Wang-Sadus potential can be used in combination with accurate two-body ab initio models for the computationally inexpensive and accurate estimation of vapor-liquid phase equilibria.

  20. Predicting vapor-liquid phase equilibria with augmented ab initio interatomic potentials.

    PubMed

    Vlasiuk, Maryna; Sadus, Richard J

    2017-06-28

    The ability of ab initio interatomic potentials to accurately predict vapor-liquid phase equilibria is investigated. Monte Carlo simulations are reported for the vapor-liquid equilibria of argon and krypton using recently developed accurate ab initio interatomic potentials. Seventeen interatomic potentials are studied, formulated from different combinations of two-body plus three-body terms. The simulation results are compared to either experimental or reference data for conditions ranging from the triple point to the critical point. It is demonstrated that the use of ab initio potentials enables systematic improvements to the accuracy of predictions via the addition of theoretically based terms. The contribution of three-body interactions is accounted for using the Axilrod-Teller-Muto plus other multipole contributions and the effective Marcelli-Wang-Sadus potentials. The results indicate that the predictive ability of recent interatomic potentials, obtained from quantum chemical calculations, is comparable to that of accurate empirical models. It is demonstrated that the Marcelli-Wang-Sadus potential can be used in combination with accurate two-body ab initio models for the computationally inexpensive and accurate estimation of vapor-liquid phase equilibria.

  1. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  2. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    The dynamics of low temperature Si homoepitaxial and heteroepitaxial growth, by remote plasma enhanced chemical vapor deposition, RPECVD, have been investigated. For the critical step of pre-deposition surface preparation of Si(100) surfaces, the attributes of remote plasma generated atomic H are compared to results obtained with a rapid thermal desorption, RTD, technique and a hybrid H-plasma/RTD technique. Auger electron spectroscopy, AES, and electron diffraction analysis indicate the hybrid technique to be very effective at surface passivation, while the RTD process promotes the formation of SiC precipitates, which induce defective epitaxial growth. For GaP and GaAs substrates, the use of atomic H exposure is investigated as a surface passivation technique. AES shows this technique to be effective at producing atomically clean surfaces. For processing at 400^circrm C, the GaAs(100) surface is observed to reconstruct to a c(8 x 2)Ga symmetry while, at 530^ circrm C the vicinal GaP(100) surface, miscut 10^circ , is observed to reconstruct to a (1 x n) type symmetry; an unreconstructed (1 x 1) symmetry is observed for GaP(111). Differences in the efficiency with which native oxides are removed from the surface are attributed to variations in the local atomic bonding order of group V oxides. The microstructure of homoepitaxial Si films, deposited at temperatures of 25-450^circ rm C and pressures of 50-500 mTorr, is catalogued. Optimized conditions for the deposition of low defect, single crystal films are identified. The existence of two pressure dependent regimes for process activation are observed. In-situ mass spectral analysis indicates that the plasma afterglow is dominated by monosilane ions below 200 mTorr, while above 200 mTorr, low mass rm H_{x} ^+ (x = 1,2,3) and rm HHe^+ ions dominate. Consideration of the growth rate data indicates that downstream dissociative silane ionization, in the lower pressure regime, is responsible for an enhanced surface H

  3. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  4. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  5. Optimization Problem of Thermal Field on Surface of Revolving Susceptor in Vapor-Phase Epitaxy Reactor

    NASA Astrophysics Data System (ADS)

    Zhilenkov, A. A.; Chernyi, S. G.; Nyrkov, A. P.; Sokolov, S. S.

    2017-10-01

    Nitrides of group III elements are a very suitable basis for deriving light-emitting devices with the radiating modes lengths of 200-600 nm. The use of such semiconductors allows obtaining full-color RGB light sources, increasing record density of a digital data storage device, getting high-capacity and efficient sources of white light. Electronic properties of such semi-conductors allow using them as a basis for high-power and high-frequency transistors and other electronic devices, the specifications of which are competitive with those of SiC-based devices. Only since 2000, the technology of cultivation of crystals III-N of group has come to the level of wide recognition by both abstract science, and the industry that has led to the creation of the multi-billion dollar market. And this is despite a rather low level of development of the production technology of devices on the basis of III-N of materials. The progress that has happened in the last decade requires the solution of the main problem, constraining further development of this technology today - ensuring cultivation of III-N structures of necessary quality. For this purpose, it is necessary to solve problems of the analysis and optimization of processes in installations of epitaxial growth, and, as a result, optimization of its constructions.

  6. Investigation of the growth of garnet films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sandfort, R. M.

    1974-01-01

    Liquid phase expitaxy was investigated to determine its applicability to fabricating magnetic rare earth garnet films for spacecraft data recording systems. Two mixed garnet systems were investigated in detail: (1) Gd-Y and (2) Eu-Yb-Y. All films were deposited on Gd3Ga5012 substrates. The uniaxial anisotropy of the Gd-Y garnets is primarily stress-induced. These garnets are characterized by high-domain wall mobility, low coercivity and modest anisotropy. Characteristic length was found to be relatively sensitive to temperature. The Eu-Yb-Y garnets exhibit acceptable mobilities, good temperature stability and reasonable quality factors. The uniaxial anisotropy of these garnets is primarily growth-induced. The system is well suited for compositional "tailoring" to optimize specific desirable properties. Liquid phase epitaxy can be used to deposit Gd3Ga5012 spacing layers on magnetic garnet films and this arrangement possesses certain advantages over more conventional magnetic filmspacing layer combinations. However, it cannot be used if the magnetic film is to be ion implanted.

  7. Growth of high-quality InGaN/GaN LED structures on (1 1 1) Si substrates with internal quantum efficiency exceeding 50%

    NASA Astrophysics Data System (ADS)

    Lee, JaeWon; Tak, Youngjo; Kim, Jun-Youn; Hong, Hyun-Gi; Chae, Suhee; Min, Bokki; Jeong, Hyungsu; Yoo, Jinwoo; Kim, Jong-Ryeol; Park, Youngsoo

    2011-01-01

    GaN-based light-emitting-diodes (LEDs) on (1 1 1) Si substrates with internal quantum efficiency (IQE) exceeding 50% have been successfully grown by metal organic vapor phase epitaxy (MOVPE). 3.5 μm thick crack-free GaN epitaxial layers were grown on the Si substrates by the re-growth method on patterned templates. Series of step-graded Al xGa 1- xN epitaxial layers were used as the buffer layers to compensate thermal tensile stresses produced during the post-growth cooling process as well as to reduce the density of threading dislocations (TDs) generated due to the lattice mismatches between III-nitride layers and the silicon substrates. The light-emitting region consisted of 1.8 μm thick n-GaN, 3 periods of InGaN/GaN superlattice, InGaN/GaN multiple quantum wells (MQWs) designed for a peak wavelength of about 455 nm, an electron blocking layer (EBL), and p-GaN. The full-widths at half-maximum (FWHM) of (0 0 0 2) and (1 0 -1 2) ω-rocking curves of the GaN epitaxial layers were 410 and 560 arcsec, respectively. Cross-sectional transmission electron microscopy (TEM) investigation revealed that the propagation of the threading dislocations was mostly limited to the interface between the last Al xGa 1- xN buffer and n-GaN layers. The density of the threading dislocations induced pits of n-GaN, as estimated by atomic force microscopy (AFM), was about 5.5×10 8 cm -2. Temperature dependent photoluminescence (PL) measurements with a relative intensity integration method were carried out to estimate the internal quantum efficiency (IQE) of the light-emitting structures grown on Si, which reached up to 55%.

  8. Liquid-phase epitaxy grown PbSnTe distributed feedback laser diodes with broad continuous single-mode tuning range

    NASA Technical Reports Server (NTRS)

    Hsieh, H.-H.; Fonstad, C. G.

    1980-01-01

    Distributed feedback (DFB) pulsed laser operation has been demonstrated in stripe geometry Pb(1-x)Sn(x)Te double-heterostructures grown by liquid-phase epitaxy. The grating structure of 0.79 micron periodicity operates in first order near 12.8 microns and was fabricated prior to the liquid-phase epitaxial growth using holographic exposure techniques. These DFB lasers had moderate thresholds, 3.6 kA/sq cm, and the output power versus current curves exhibited a sharp turn-on free of kinks. Clean, single-mode emission spectra, continuously tunable over a range in excess of 20 per cm, centered about 780 per cm (12.8 microns), and at an average rate of 1.2 per cm-K from 9 to 26 K, were observed. While weaker modes could at times be seen in the spectrum, substantially single-mode operation was obtained over the entire operating range and to over 10 times threshold.

  9. The influence of liquid/vapor phase change onto the Nusselt number

    NASA Astrophysics Data System (ADS)

    Popescu, Elena-Roxana; Colin, Catherine; Tanguy, Sebastien

    2017-11-01

    In spite of its significant interest in various fields, there is currently a very few information on how an external flow will modify the evaporation or the condensation of a liquid surface. Although most applications involve turbulent flows, the simpler configuration where a laminar superheated or subcooled vapor flow is shearing a saturated liquid interface has still never been solved. Based on a numerical approach, we propose to characterize the interaction between a laminar boundary layer of a superheated or subcooled vapor flow and a static liquid pool at saturation temperature. By performing a full set of simulations sweeping the parameters space, correlations are proposed for the first time on the Nusselt number depending on the dimensionless numbers that characterize both vaporization and condensation. As attended, the Nusselt number decreases or increases in the configurations involving respectively vaporization or condensation. More unexpected is the behaviour of the friction of the vapor flow on the liquid pool, for which we report that it is weakly affected by the phase change, despite the important variation of the local flow structure due to evaporation or condensation.

  10. Suppression of Structural Phase Transition in VO2 by Epitaxial Strain in Vicinity of Metal-insulator Transition

    PubMed Central

    Yang, Mengmeng; Yang, Yuanjun; Bin Hong; Wang, Liangxin; Hu, Kai; Dong, Yongqi; Xu, Han; Huang, Haoliang; Zhao, Jiangtao; Chen, Haiping; Song, Li; Ju, Huanxin; Zhu, Junfa; Bao, Jun; Li, Xiaoguang; Gu, Yueliang; Yang, Tieying; Gao, Xingyu; Luo, Zhenlin; Gao, Chen

    2016-01-01

    Mechanism of metal-insulator transition (MIT) in strained VO2 thin films is very complicated and incompletely understood despite three scenarios with potential explanations including electronic correlation (Mott mechanism), structural transformation (Peierls theory) and collaborative Mott-Peierls transition. Herein, we have decoupled coactions of structural and electronic phase transitions across the MIT by implementing epitaxial strain on 13-nm-thick (001)-VO2 films in comparison to thicker films. The structural evolution during MIT characterized by temperature-dependent synchrotron radiation high-resolution X-ray diffraction reciprocal space mapping and Raman spectroscopy suggested that the structural phase transition in the temperature range of vicinity of the MIT is suppressed by epitaxial strain. Furthermore, temperature-dependent Ultraviolet Photoelectron Spectroscopy (UPS) revealed the changes in electron occupancy near the Fermi energy EF of V 3d orbital, implying that the electronic transition triggers the MIT in the strained films. Thus the MIT in the bi-axially strained VO2 thin films should be only driven by electronic transition without assistance of structural phase transition. Density functional theoretical calculations further confirmed that the tetragonal phase across the MIT can be both in insulating and metallic states in the strained (001)-VO2/TiO2 thin films. This work offers a better understanding of the mechanism of MIT in the strained VO2 films. PMID:26975328

  11. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  12. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  13. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn; State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024; Ma, Xuefu

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarizationmore » components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.« less

  14. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-07-01

    The synthesis of a 50 unit cell thick n = 4 Srn+1TinO3n+1 (Sr5Ti4O13) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  15. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.

  16. Phase-separated, epitaxial composite cap layers for electronic device applications and method of making the same

    DOEpatents

    Aytug, Tolga [Knoxville, TN; Paranthaman, Mariappan Parans [Knoxville, TN; Polat, Ozgur [Knoxville, TN

    2012-07-17

    An electronic component that includes a substrate and a phase-separated layer supported on the substrate and a method of forming the same are disclosed. The phase-separated layer includes a first phase comprising lanthanum manganate (LMO) and a second phase selected from a metal oxide (MO), metal nitride (MN), a metal (Me), and combinations thereof. The phase-separated material can be an epitaxial layer and an upper surface of the phase-separated layer can include interfaces between the first phase and the second phase. The phase-separated layer can be supported on a buffer layer comprising a composition selected from the group consisting of IBAD MgO, LMO/IBAD-MgO, homoepi-IBAD MgO and LMO/homoepi-MgO. The electronic component can also include an electronically active layer supported on the phase-separated layer. The electronically active layer can be a superconducting material, a ferroelectric material, a multiferroic material, a magnetic material, a photovoltaic material, an electrical storage material, and a semiconductor material.

  17. A novel series of isoreticular metal organic frameworks: realizing metastable structures by liquid phase epitaxy

    PubMed Central

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Bräse, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Müllen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wöll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 × 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++)2- carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process. PMID:23213357

  18. Superfluid helium 2 liquid-vapor phase separation: Technology assessment

    NASA Technical Reports Server (NTRS)

    Lee, J. M.

    1984-01-01

    A literature survey of helium 2 liquid vapor phase separation is presented. Currently, two types of He 2 phase separators are being investigated: porous, sintered metal plugs and the active phase separator. The permeability K(P) shows consistency in porous plug geometric characterization. Both the heat and mass fluxes increase with K(P). Downstream pressure regulation to adjust for varying heat loads and both temperatures is possible. For large dynamic heat loads, the active phase separator shows a maximum heat rejection rate of up to 2 W and bath temperature stability of 0.1 mK. Porous plug phase separation performance should be investigated for application to SIRTF and, in particular, that plugs of from 10 to the minus ninth square centimeters to 10 to the minus eighth square centimeters in conjunction with downstream pressure regulation be studied.

  19. Atomic transport during solid-phase epitaxial recrystallization of amorphous germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Radek, M.; Bracht, H., E-mail: bracht@uni-muenster.de; Johnson, B. C.

    2015-08-24

    The atomic mixing of matrix atoms during solid-phase epitaxy (SPE) is studied by means of isotopically enriched germanium (Ge) multilayer structures that were amorphized by Ge ion implantation up to a depth of 1.5 μm. Recrystallization of the amorphous structure is performed at temperatures between 350 °C and 450 °C. Secondary-ion-mass-spectrometry is used to determine the concentration-depth profiles of the Ge isotope before and after SPE. An upper limit of 0.5 nm is deduced for the displacement length of the Ge matrix atoms by the SPE process. This small displacement length is consistent with theoretical models and atomistic simulations of SPE, indicating that themore » SPE mechanism consists of bond-switching with nearest-neighbours across the amorphous-crystalline (a/c) interface.« less

  20. Role of microstructures on the M1-M2 phase transition in epitaxial VO2 thin films

    PubMed Central

    Ji, Yanda; Zhang, Yin; Gao, Min; Yuan, Zhen; Xia, Yudong; Jin, Changqing; Tao, Bowan; Chen, Chonglin; Jia, Quanxi; Lin, Yuan

    2014-01-01

    Vanadium dioxide (VO2) with its unique sharp resistivity change at the metal-insulator transition (MIT) has been extensively considered for the near-future terahertz/infrared devices and energy harvesting systems. Controlling the epitaxial quality and microstructures of vanadium dioxide thin films and understanding the metal-insulator transition behaviors are therefore critical to novel device development. The metal-insulator transition behaviors of the epitaxial vanadium dioxide thin films deposited on Al2O3 (0001) substrates were systematically studied by characterizing the temperature dependency of both Raman spectrum and Fourier transform infrared spectroscopy. Our findings on the correlation between the nucleation dynamics of intermediate monoclinic (M2) phase with microstructures will open a new avenue for the design and integration of advanced heterostructures with controllable multifunctionalities for sensing and imaging system applications. PMID:24798056

  1. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  2. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  3. Wetting phenomenon in the liquid-vapor phase coexistence of a partially miscible Lennard-Jones binary mixture

    NASA Astrophysics Data System (ADS)

    Ramírez-Santiago, Guillermo; Díaz-Herrera, Enrique; Moreno Razo, José A.

    2004-03-01

    We have carried out extensive equilibrium MD simulations to study wetting phenomena in the liquid-vapor phase coexistence of a partially miscible binary LJ mixture. We find that in the temperature range 0.60 ≤ T^* < 0.80, the system separates forming a liquid A-liquid B interface in coexistence with the vapor phase. At higher temperatures, 0.80 ≤ T^* < 1.25 the liquid phases are wet by the vapor phase. By studying the behavior of the surface tension as a function of temperature we estimate the wetting transition temperature (WTT) to be T^*_w≃ 0.80. The adsorption of molecules at the liquid-liquid interface shows a discontinuity at about T^*≃ 0.79 suggesting that the wetting transition is a first order phase transition. These results are in agreement with some experiments carried out in fluid binary mixtures. In addition, we estimated the consolute temperature to be T^* _cons≃ 1.25. The calculated phase diagram of the mixture suggest the existence of a tricritical point.

  4. Comparison of cryopreserved human sperm in vapor and liquid phases of liquid nitrogen: effect on motility parameters, morphology, and sperm function.

    PubMed

    Punyatanasakchai, Piyaphan; Sophonsritsuk, Areephan; Weerakiet, Sawaek; Wansumrit, Surapee; Chompurat, Deonthip

    2008-11-01

    To compare the effects of cryopreserved sperm in vapor and liquid phases of liquid nitrogen on sperm motility, morphology, and sperm function. Experimental study. Andrology laboratory at Ramathibodi Hospital, Thailand. Thirty-eight semen samples with normal motility and sperm count were collected from 38 men who were either patients of an infertility clinic or had donated sperm for research. Each semen sample was divided into two aliquots. Samples were frozen with static-phase vapor cooling. One aliquot was plunged into liquid nitrogen (-196 degrees C), and the other was stored in vapor-phase nitrogen (-179 degrees C) for 3 days. Thawing was performed at room temperature. Motility was determined by using computer-assisted semen analysis, sperm morphology was determined by using eosin-methylene blue staining, and sperm function was determined by using a hemizona binding test. Most of the motility parameters of sperm stored in the vapor phase were not significantly different from those stored in the liquid phase of liquid nitrogen, except in amplitude of lateral head displacement. The percentages of normal sperm morphology in both vapor and liquid phases also were not significantly different. There was no significant difference in the number of bound sperm in hemizona between sperm cryopreserved in both vapor and liquid phases of liquid nitrogen. Cryopreservation of human sperm in a vapor phase of liquid nitrogen was comparable to cryopreservation in a liquid phase of liquid nitrogen.

  5. Sol–gel synthesis of MCM-41 silicas and selective vapor-phase modification of their surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roik, N.V., E-mail: roik_nadya@ukr.net; Belyakova, L.A.

    2013-11-15

    Silica particles with uniform hexagonal mesopore architecture were synthesized by template directed sol–gel condensation of tetraethoxysilane or mixture of tetraethoxysilane and (3-chloropropyl)triethoxysilane in a water–ethanol–ammonia solution. Selective functionalization of exterior surface of parent materials was carried out by postsynthetic treatment of template-filled MCM-41 and Cl-MCM-41 with vapors of (3-chloropropyl)triethoxysilane and 1,2-ethylenediamine in vacuum. The chemical composition of obtained mesoporous silicas was estimated by IR spectroscopy and chemical analysis of surface products of reactions. Characteristics of porous structure of resulting materials were determined from the data of X-ray, low-temperature nitrogen ad-desorption and transmission electron microscopy measurements. Obtained results confirm invariability ofmore » highly ordered mesoporous structure of MCM-41 and Cl-MCM-41 after their selective postsynthetic modification in vapor phase. It was proved that proposed method of vapor-phase functionalization of template-filled starting materials is not accompanied by dissolution of the template and chemical modification of pores surface. This provides preferential localization of grafted functional groups onto the exterior surface of mesoporous silicas. - Graphical abstract: Sol–gel synthesis and postsynthetic chemical modification of template-filled MCM-41 and Cl-MCM-41 with (3-chloropropyl)triethoxysilane and 1,2-ethylenediamine in vapor phase. Display Omitted - Highlights: • Synthesis of MCM-41 silica by template directed sol–gel condensation. • Selective vapor-phase functionalization of template-filled silica particles. • Preferential localization of grafted groups onto the exterior surface of mesoporous silicas.« less

  6. GaIn(N)As/GaAs VCSELs emitting in the 1.1-1.3 μm range

    NASA Astrophysics Data System (ADS)

    Grenouillet, L.; Duvaut, P.; Olivier, N.; Gilet, P.; Grosse, P.; Poncet, S.; Philippe, P.; Pougeoise, E.; Fulbert, L.; Chelnokov, A.

    2006-07-01

    In the field of datacom, 10 Gbit/s sources with a good coupling in monomode silica fibers, whose dispersion minimum occurs at 1.3 μm, are required. Vertical Cavity Surface Emitting Lasers (VCSELs) emitting at 1.3 μm are key components in this field thanks to their compactness, their ability of being operated at high frequencies, their low threshold current and their low beam divergence. Such devices emitting in this wavelength range have been demonstrated using different materials such as strained GaInAs/GaAs quantum wells [1-3], GaInNAs/GaAs quantum wells [4-7], InAs/GaAs quantum dots [8, 9], and antimonides [10], using either molecular beam epitaxy (MBE) or metalorganic vapor phase epitaxy (MOVPE). In the emerging field of photonics on CMOS, there is a need to bond efficient III-V laser sources on SOI wafers. These components should operate at small voltage and current, have a small footprint, and be efficiently couple to Si waveguides, these latter being transparent above 1.1 μm. Since these requirements resemble VCSEL properties, the development of VCSEL emitting above 1.1 μm could therefore benefit to future new sources for photonics on silicon applications. In this context we developed GaAs-based VCSELs emitting in the 1.1 μm - 1.3 μm range with GaInAs/GaAs or GaInNAs/GaAs quantum wells (QWs) as the active materials.

  7. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  8. Synthesis and properties of ultra-long InP nanowires on glass.

    PubMed

    Dhaka, Veer; Pale, Ville; Khayrudinov, Vladislav; Kakko, Joona-Pekko; Haggren, Tuomas; Jiang, Hua; Kauppinen, Esko; Lipsanen, Harri

    2016-12-16

    We report on the synthesis of Au-catalyzed InP nanowires (NWs) on low-cost glass substrates. Ultra-dense and ultra-long (up to ∼250 μm) InP NWs, with an exceptionally high growth rate of ∼25 μm min -1 , were grown directly on glass using metal organic vapor phase epitaxy (MOVPE). Structural properties of InP NWs grown on glass were similar to the ones grown typically on Si substrates showing many structural twin faults but the NWs on glass always exhibited a stronger photoluminescence (PL) intensity at room temperature. The PL measurements of NWs grown on glass reveal two additional prominent impurity related emission peaks at low temperature (10 K). In particular, the strongest unusual emission peak with an activation energy of 23.8 ± 2 meV was observed at 928 nm. Different possibilities including the role of native defects (phosphorus and/or indium vacancies) are discussed but most likely the origin of this PL peak is related to the impurity incorporation from the glass substrate. Furthermore, despite the presence of suspected impurities, the NWs on glass show outstanding light absorption in a wide spectral range (60%-95% for λ = 300-1600 nm). The optical properties and the NW growth mechanism on glass is discussed qualitatively. We attribute the exceptionally high growth rate mostly to the atmospheric pressure growth conditions of our MOVPE reactor and stronger PL intensity on glass due to the impurity doping. Overall, the III-V NWs grown on glass are similar to the ones grown on semiconductor substrates but offer additional advantages such as low-cost and light transparency.

  9. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried outmore » over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.« less

  10. Effect of annealing on the structural and optical properties of heavily carbon-doped ZnO

    NASA Astrophysics Data System (ADS)

    Huang, He; Deng, Z. W.; Li, D. C.; Barbir, E.; Y Jiang, W.; Chen, M. X.; Kavanagh, K. L.; Mooney, P. M.; Watkins, S. P.

    2010-04-01

    ZnO films grown by metalorganic vapor phase epitaxy (MOVPE) at low temperatures (~500 °C) exhibit very high levels of carbon incorporation in the range of up to several percent. Such large levels of carbon incorporation significantly affect the structural properties of the thin films resulting in broadening of symmetric (0 0 2) rocking curves as well as broadened (1 0 1) pole figures compared with films grown at high temperature. Annealing of the films under air ambient at temperatures between 800 and 1100 °C results in dramatic sharpening of symmetric (0 0 2) rocking curves, indicating improved crystal alignment along the c-axes. (1 0 1) pole figure scans also show significant sharpening in the azimuthal axis, indicating similar improvements in the in-plane crystal alignment perpendicular to the c-axis. Raman spectra for as-grown ZnO at 500 °C show strong D and G peaks at 1381 and 1578 cm-1 due to sp2 carbon clusters. Annealing at 1000 °C results in the elimination of these bands, indicating that post-growth annealing treatment is a useful method to reduce the concentration of sp2 carbon clusters.

  11. Vertical-cavity surface-emitting lasers come of age

    NASA Astrophysics Data System (ADS)

    Morgan, Robert A.; Lehman, John A.; Hibbs-Brenner, Mary K.

    1996-04-01

    This manuscript reviews our efforts in demonstrating state-of-the-art planar, batch-fabricable, high-performance vertical-cavity surface-emitting lasers (VCSELs). All performance requirements for short-haul data communication applications are clearly established. We concentrate on the flexibility of the established proton-implanted AlGaAs-based (emitting near 850 nm) technology platform, focusing on a standard device design. This structure is shown to meet or exceed performance and producibility requirements. These include > 99% device yield across 3-in-dia. metal-organic vapor phase epitaxy (MOVPE)-grown wafers and wavelength operation across a > 100-nm range. Recent progress in device performance [low threshold voltage (Vth equals 1.53 V); threshold current (Ith equals 0.68 mA); continuous wave (CW) power (Pcw equals 59 mW); maximum and minimum CW lasing temperature (T equals 200 degree(s)C, 10 K); and wall-plug efficiencies ((eta) wp equals 28%)] should enable great advances in VCSEL-based technologies. We also discuss the viability of VCSELs in cryogenic and avionic/military environments. Also reviewed is a novel technique, modifying this established platform, to engineer low-threshold, high-speed, single- mode VCSELs.

  12. Study of the pulse characteristics of semiconductor lasers with a broadened waveguide at low temperatures (110–120 K)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Veselov, D. A.; Shashkin, I. S.; Bobretsova, Yu. K.

    2016-10-15

    Pulse-pumped MOVPE-fabricated (metal-organic vapor-phase epitaxy) semiconductor lasers emitting in the spectral ranges 1000–1100 and 1400–1600 nm at temperatures of 110–120 K are studied. It is found that cooling the lasers for both spectral ranges to low temperature results in their light–current curves approaching linearity, and an optical power of, respectively, 110 and 20 W can be attained. The low-temperature effect is reduced for lasers emitting in the spectral range 1400–1600 nm. The processes affecting a rise in the internal optical loss in semiconductor lasers are considered. It is shown that an increase in the carrier concentration in the waveguide ofmore » a laser structure greatly depends on temperature and is determined by the noninstantaneous capture (capture rate) of carriers from the waveguide into the active region. It is demonstrated that, upon lowering the temperature to 115K, the concentration of electrons and holes in the waveguide becomes lower, which leads to a significant decrease in the internal optical loss and to an increase in the output optical power of the semiconductor laser.« less

  13. Estimation of free carrier concentrations in high-quality heavily doped GaN:Si micro-rods by photoluminescence and Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Mohajerani, M. S.; Khachadorian, S.; Nenstiel, C.; Schimpke, T.; Avramescu, A.; Strassburg, M.; Hoffmann, A.; Waag, A.

    2016-03-01

    The controlled growth of highly n-doped GaN micro rods is one of the major challenges in the fabrication of recently developed three-dimensional (3D) core-shell light emitting diodes (LEDs). In such structures with a large active area, higher electrical conductivity is needed to achieve higher current density. In this contribution, we introduce high quality heavily-doped GaN:Si micro-rods which are key elements of the newly developed 3D core-shell LEDs. These structures were grown by metal-organic vapor phase epitaxy (MOVPE) using selective area growth (SAG). We employed spatially resolved micro-Raman and micro-photoluminescence (PL) in order to directly determine a free-carrier concentration profile in individual GaN micro-rods. By Raman spectroscopy, we analyze the low-frequency branch of the longitudinal optical (LO)-phonon-plasmon coupled modes and estimate free carrier concentrations from ≍ 2.4 × 1019 cm-3 up to ≍ 1.5 × 1020 cm-3. Furthermore, free carrier concentrations are determined by estimating Fermi energy level from the near band edge emission measured by low-temperature PL. The results from both methods reveal a good consistency.

  14. Sensitivity of heterointerfaces on emission wavelength of quantum cascade lasers

    NASA Astrophysics Data System (ADS)

    Wang, C. A.; Schwarz, B.; Siriani, D. F.; Connors, M. K.; Missaggia, L. J.; Calawa, D. R.; McNulty, D.; Akey, A.; Zheng, M. C.; Donnelly, J. P.; Mansuripur, T. S.; Capasso, F.

    2017-04-01

    The measured emission wavelengths of AlInAs/GaInAs/InP quantum cascade lasers (QCLs) grown by metal organic vapor phase epitaxy (MOVPE) have been reported to be 0.5-1 μm longer than the designed QCL wavelength. This work clarifies the origin of the red-shifted wavelength. It was found that AlInAs/GaInAs heterointerfaces are compositionally graded over 2.5-4.5 nm, and indium accumulates at the AlInAs-to-GaInAs interface. Thus, the as-grown QCLs are far from the ideal abrupt interfaces used in QCL modeling. When graded layers are incorporated in QCL band structure and wavefunction calculations, the emission wavelengths are red shifted. Furthermore, we demonstrate that QCLs with graded interfaces can be designed without compromising performance and show greatly improved correlation between designed and measured emission wavelength. QCLs were designed for emission between 7.5 and 8.5 μm. These structures were grown and wet-etched ridge devices were fabricated. The QCLs exhibit room temperature peak powers exceeding 900 mW and pulsed efficiencies of 8 to 10%.

  15. Overview: Homogeneous nucleation from the vapor phase-The experimental science.

    PubMed

    Wyslouzil, Barbara E; Wölk, Judith

    2016-12-07

    Homogeneous nucleation from the vapor phase has been a well-defined area of research for ∼120 yr. In this paper, we present an overview of the key experimental and theoretical developments that have made it possible to address some of the fundamental questions first delineated and investigated in C. T. R. Wilson's pioneering paper of 1897 [C. T. R. Wilson, Philos. Trans. R. Soc., A 189, 265-307 (1897)]. We review the principles behind the standard experimental techniques currently used to measure isothermal nucleation rates, and discuss the molecular level information that can be extracted from these measurements. We then highlight recent approaches that interrogate the vapor and intermediate clusters leading to particle formation, more directly.

  16. Efficient nitrogen incorporation in GaAs using novel metal organic As-N precursor di-tertiary-butyl-arsano-amine (DTBAA)

    NASA Astrophysics Data System (ADS)

    Sterzer, E.; Beyer, A.; Duschek, L.; Nattermann, L.; Ringler, B.; Leube, B.; Stegmüller, A.; Tonner, R.; von Hänisch, C.; Stolz, W.; Volz, K.

    2016-04-01

    III/V semiconductors containing small amounts of nitrogen (N; dilute nitrides) are discussed in the context of different solar cell and laser applications. The efficiency of these devices is negatively affected by carbon (C) incorporation, which comes either from the direct C-N bond in the N precursor unsymmetrical 1,1-dimethylhydrazine (UDMHy) used conventionally or from the alkyl groups of the conventional precursors for gallium (Ga), indium and arsenic (As) containing carbon. This C is incorporated together with the N due to the strength of the C-N bond. A further important issue in dilute nitride growth is the very low N incorporation efficiency in the crystal from UDMHy, which can be as little as 1% of the N supplied in the gas phase. Therefore, new metal organic chemicals have to be synthesized and their growth characteristics and suitability for dilute nitride growth have to be explored. This work presents the chemical di-tertiary-butyl-arsano-amine (DTBAA), which was synthesized, purified and tested as an N precursor for metal organic vapor phase epitaxy (MOVPE). Computational investigations show β-hydrogen and isobutane elimination to be the main reaction channel in the gas phase with high reaction barriers and absence of small fragments containing C as products. The loss of N via N2, as in UDMHy, can be excluded for unimolecular reactions of DTBAA. The Ga(NAs)/GaAs heterostructures were grown by MOVPE as initial test material and a systematic N incorporation study is presented in this paper. It is shown that high quality Ga(NAs) can be grown using DTBAA. The N incorporation was confirmed by high resolution X-ray diffraction and photoluminescence studies. All samples grown exhibit as grown room temperature photoluminescence and smooth surface morphologies. Furthermore, DTBAA shows extremely high N incorporation efficiency, which makes this molecule a very promising candidate for further research into dilute nitride material growth.

  17. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jeomoh, E-mail: jkim610@gatech.edu; Ji, Mi-Hee; Detchprohm, Theeradetch

    2015-09-28

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effectivemore » partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.« less

  18. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.; Ryou, Jae-Hyun

    2015-09-01

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effective partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.

  19. Enhanced Vapor-Phase Diffusion in Porous Media - LDRD Final Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ho, C.K.; Webb, S.W.

    1999-01-01

    As part of the Laboratory-Directed Research and Development (LDRD) Program at Sandia National Laboratories, an investigation into the existence of enhanced vapor-phase diffusion (EVD) in porous media has been conducted. A thorough literature review was initially performed across multiple disciplines (soil science and engineering), and based on this review, the existence of EVD was found to be questionable. As a result, modeling and experiments were initiated to investigate the existence of EVD. In this LDRD, the first mechanistic model of EVD was developed which demonstrated the mechanisms responsible for EVD. The first direct measurements of EVD have also been conductedmore » at multiple scales. Measurements have been made at the pore scale, in a two- dimensional network as represented by a fracture aperture, and in a porous medium. Significant enhancement of vapor-phase transport relative to Fickian diffusion was measured in all cases. The modeling and experimental results provide additional mechanisms for EVD beyond those presented by the generally accepted model of Philip and deVries (1957), which required a thermal gradient for EVD to exist. Modeling and experimental results show significant enhancement under isothermal conditions. Application of EVD to vapor transport in the near-surface vadose zone show a significant variation between no enhancement, the model of Philip and deVries, and the present results. Based on this information, the model of Philip and deVries may need to be modified, and additional studies are recommended.« less

  20. Structural phase diagram for ultra-thin epitaxial Fe 3O 4 / MgO(0 01) films: thickness and oxygen pressure dependence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alraddadi, S.; Hines, W.; Yilmaz, T.

    2016-02-19

    A systematic investigation of the thickness and oxygen pressure dependence for the structural properties of ultra-thin epitaxial magnetite (Fe 3O 4) films has been carried out; for such films, the structural properties generally differ from those for the bulk when the thickness ≤10 nm. Iron oxide ultra-thin films with thicknesses varying from 3 nm to 20 nm were grown on MgO (001) substrates using molecular beam epitaxy under different oxygen pressures ranging from 1 × 10 -7 torr to 1 × 10 -5 torr. The crystallographic and electronic structures of the films were characterized using low energy electron diffraction (LEED)more » and x-ray photoemission spectroscopy (XPS), respectively. Moreover, the quality of the epitaxial Fe 3O 4 ultra-thin films was judged by magnetic measurements of the Verwey transition, along with complementary XPS spectra. We observed that under the same growth conditions the stoichiometry of ultra-thin films under 10 nm transforms from the Fe 3O 4 phase to the FeO phase. In this work, a phase diagram based on thickness and oxygen pressure has been constructed to explain the structural phase transformation. It was found that high-quality magnetite films with thicknesses ≤20 nm formed within a narrow range of oxygen pressure. An optimal and controlled growth process is a crucial requirement for the accurate study of the magnetic and electronic properties for ultra-thin Fe 3O 4 films. Furthermore, these results are significant because they may indicate a general trend in the growth of other oxide films, which has not been previously observed or considered.« less

  1. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  2. Space cryogenics components based on the thermomechanical effect - Vapor-liquid phase separation

    NASA Technical Reports Server (NTRS)

    Yuan, S. W. K.; Frederking, T. H. K.

    1989-01-01

    Applications of the thermomechanical effect has been qualified including incorporation in large-scale space systems in the area of vapor-liquid phase separation (VLPS). The theory of the porous-plug phase separator is developed for the limit of a high thermal impedance of the solid-state grains. Extensions of the theory of nonlinear turbulent flow are presented based on experimental results.

  3. Coexisting nanoscale inverse spinel and rock salt crystallographic phases in NiCo2O4 epitaxial thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sharona, H.; Loukya, B.; Bhat, U.; Sahu, R.; Vishal, B.; Silwal, P.; Gupta, A.; Datta, R.

    2017-12-01

    The origin of alternating wavy dark-bright stripe-like contrast in strain contrast transmission electron microscopy images of NiCo2O4 (NCO) epitaxial thin films grown by pulsed laser deposition has been investigated. The nanoscale stripe-like pattern is determined to be associated with coexisting rock salt (RS) and inverse spinel crystal phases. The presence of two different phases, not addressed in previous reports, is experimentally confirmed by both electron diffraction and high resolution transmission electron microscopy imaging. First principles based calculations, together with compressive strain present in the films, support the formation of such coexisting crystallographic phases in NCO. Similar microstructural patterns and RS structure are not observed in epitaxial films of two other oxides of the spinel family, namely, NiFe2O4 and CoFe2O4. A correlation between the coexisting structures and the macroscopic physical properties of NCO is discussed.

  4. Vapors-liquid phase separator. [infrared telescope heat sink

    NASA Technical Reports Server (NTRS)

    Frederking, T. H. K.; Brown, G. S.; Chuang, C.; Kamioka, Y.; Kim, Y. I.; Lee, J. M.; Yuan, S. W. K.

    1980-01-01

    The use of porous plugs, mostly with in the form of passive devices with constant area were considered as vapor-liquid phase separators for helium 2 storage vessels under reduced gravity. The incorporation of components with variable cross sectional area as a method of flow rate modification was also investigated. A particular device which uses a shutter-type system for area variation was designed and constructed. This system successfully permitted flor rate changes of up to plus or minus 60% from its mean value.

  5. Biodegradation of vapor-phase toluene in unsaturated porous media: Column experiments.

    PubMed

    Khan, Ali M; Wick, Lukas Y; Harms, Hauke; Thullner, Martin

    2016-04-01

    Biodegradation of organic chemicals in the vapor phase of soils and vertical flow filters has gained attention as promising approach to clean up volatile organic compounds (VOC). The drivers of VOC biodegradation in unsaturated systems however still remain poorly understood. Here, we analyzed the processes controlling aerobic VOC biodegradation in a laboratory setup mimicking the unsaturated zone above a shallow aquifer. The setup allowed for diffusive vapor-phase transport and biodegradation of three VOC: non-deuterated and deuterated toluene as two compounds of highly differing biodegradability but (nearly) identical physical and chemical properties, and MTBE as (at the applied experimental conditions) non-biodegradable tracer and internal control. Our results showed for toluene an effective microbial degradation within centimeter VOC transport distances despite high gas-phase diffusivity. Degradation rates were controlled by the reactivity of the compounds while oxic conditions were found everywhere in the system. This confirms hypotheses that vadose zone biodegradation rates can be extremely high and are able to prevent the outgassing of VOC to the atmosphere within a centimeter range if compound properties and site conditions allow for sufficiently high degradation rates. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. High power ultraviolet light emitting diodes based on GaN /AlGaN quantum wells produced by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-11-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN /AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN /AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800×800μm2) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340nm, the measured differential on-series resistance is 3Ω with electroluminescence spectrum full width at half maximum of 18nm. The output power under dc bias saturates at 0.5mW, while under pulsed operation it saturates at approximately 700mA to a value of 3mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350nm were investigated under dc operation and the output power saturates at 4.5mW under 200mA drive current.

  7. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  8. Advanced in-situ control for III-nitride RF power device epitaxy

    NASA Astrophysics Data System (ADS)

    Brunner, F.; Zettler, J.-T.; Weyers, M.

    2018-04-01

    In this contribution, the latest improvements regarding wafer temperature measurement on 4H-SiC substrates and, based on this, of film thickness and composition control of GaN and AlGaN layers in power electronic device structures are presented. Simultaneous pyrometry at different wavelengths (950 nm and 405 nm) reveal the advantages and limits of the different temperature measurement approaches. Near-UV pyrometry gives a very stable wafer temperature signal without oscillations during GaN growth since the semi-insulating 4H-SiC substrate material becomes opaque at temperatures above 550 °C at the wavelength of 405 nm. A flat wafer temperature profile across the 100 mm substrate diameter is demonstrated despite a convex wafer shape at AlGaN growth conditions. Based on the precise assignment of wafer temperature during MOVPE we were able to improve the accuracy of the high-temperature n-k database for the materials involved. Consequently, the measurement accuracy of all film thicknesses grown under fixed temperature conditions improved. Comparison of in situ and ex situ determined layer thicknessess indicate an unintended etching of the topmost layer during cool-down. The details and limitations of real-time composition analysis for lower Al-content AlGaN barrier layers during transistor device epitaxy are shown.

  9. Epitaxial Graphene: A New Material for Electronics

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  10. The effect of heated vapor-phase acidification on organic carbon concentrations and isotopic values in geologic rock samples

    NASA Astrophysics Data System (ADS)

    Wang, R. Z.; West, A. J.; Yager, J. A.; Rollins, N.; Li, G.; Berelson, W.

    2016-12-01

    Carbon signatures recorded in the modern and geologic rock record can give insight on the Earth's carbon cycle through time. This is especially true for organic carbon (OC), which can help us understand how the biosphere has evolved over Earth's history. However, carbon recorded in rocks is a combination of OC and inorganic carbon (IC) mostly in the form of carbonate minerals. To measure OC, IC must therefore first be removed through a process called "decarbonation." This is often done through a leaching process with hydrochloric acid (HCl). However, three well known problems exist for the decarbonation process: 1) Incomplete removal of IC, 2) Unintentional removal of OC, and 3) Addition of false carbon blank. Currently, vapor (gas) phase removal of OC is preferred to liquid phase treatment because it has been shown that OC is lost to solubilization during liquid phase acidification. Vapor phase treatment is largely thought to avoid the problem of OC loss, but this has not yet been rigorously investigated. This study investigates that assumption and shows that vapor phase treatment can cause unintentional OC loss. We show that vapor phase treatment must be sensitive to rock type and treatment length to produce robust OC isotopic measurements and concentrations.

  11. Liquid-vapor phase equilibria and the thermodynamic properties of 2-methylpropanol- n-alkyl propanoate solutions

    NASA Astrophysics Data System (ADS)

    Suntsov, Yu. K.; Goryunov, V. A.; Chuikov, A. M.; Meshcheryakov, A. V.

    2016-08-01

    The boiling points of solutions of five binary systems are measured via ebulliometry in the pressure range of 2.05-103.3 kPa. Equilibrium vapor phase compositions, the values of the excess Gibbs energies, enthalpies, and entropies of solution of these systems are calculated. Patterns in the changes of phase equilibria and thermodynamic properties of solutions are established, depending on the compositions and temperatures of the systems. Liquid-vapor equilibria in the systems are described using the equations of Wilson and the NRTL (Non-Random Two-Liquid Model).

  12. A new model for in situ nitrogen incorporation into 4H-SiC during epitaxy

    PubMed Central

    Ferro, Gabriel; Chaussende, Didier

    2017-01-01

    Nitrogen doping of 4H-SiC during vapor phase epitaxy is still lacking of a general model explaining the apparently contradictory trends obtained by different teams. In this paper, the evolutions of nitrogen incorporation (on both polar Si and C faces) as a function of the main growth parameters (C/Si ratio, temperature, pressure and growth rate) are reviewed and explained using a model based on surface exchanges between the gas phase and the uppermost 4H-SiC atomic layers. In this model, N incorporation is driven mainly by the transient formation of C vacancies, due to H2 etching, at the surface or near the surface. It is shown that all the growth parameters are influencing the probability of C vacancies formation in a similar manner as they do for N incorporation. The surface exchange model proposes a new framework for explaining the experimental results even beyond the commonly accepted reactor type dependency. PMID:28211528

  13. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  14. Vapor-phase infrared laser spectroscopy: from gas sensing to forensic urinalysis.

    PubMed

    Bartlome, Richard; Rey, Julien M; Sigrist, Markus W

    2008-07-15

    Numerous gas-sensing devices are based on infrared laser spectroscopy. In this paper, the technique is further developed and, for the first time, applied to forensic urinalysis. For this purpose, a difference frequency generation laser was coupled to an in-house-built, high-temperature multipass cell (HTMC). The continuous tuning range of the laser was extended to 329 cm(-1) in the fingerprint C-H stretching region between 3 and 4 microm. The HTMC is a long-path absorption cell designed to withstand organic samples in the vapor phase (Bartlome, R.; Baer, M.; Sigrist, M. W. Rev. Sci. Instrum. 2007, 78, 013110). Quantitative measurements were taken on pure ephedrine and pseudoephedrine vapors. Despite featuring similarities, the vapor-phase infrared spectra of these diastereoisomers are clearly distinguishable with respect to a vibrational band centered at 2970.5 and 2980.1 cm(-1), respectively. Ephedrine-positive and pseudoephedrine-positive urine samples were prepared by means of liquid-liquid extraction and directly evaporated in the HTMC without any preliminary chromatographic separation. When 10 or 20 mL of ephedrine-positive human urine is prepared, the detection limit of ephedrine, prohibited in sports as of 10 microg/mL, is 50 or 25 microg/mL, respectively. The laser spectrometer has room for much improvement; its potential is discussed with respect to doping agents detection.

  15. External fuel vaporization study, phase 1

    NASA Technical Reports Server (NTRS)

    Szetela, E. J.; Chiappetta, L.

    1980-01-01

    A conceptual design study was conducted to devise and evaluate techniques for the external vaporization of fuel for use in an aircraft gas turbine with characteristics similar to the Energy Efficient Engine (E(3)). Three vaporizer concepts were selected and they were analyzed from the standpoint of fuel thermal stability, integration of the vaporizer system into the aircraft engine, engine and vaporizer dynamic response, startup and altitude restart, engine performance, control requirements, safety, and maintenance. One of the concepts was found to improve the performance of the baseline E(3) engine without seriously compromising engine startup and power change response. Increased maintenance is required because of the need for frequent pyrolytic cleaning of the surfaces in contact with hot fuel.

  16. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  17. Phase-transition thresholds and vaporization phenomena for ultrasound phase-change nanoemulsions assessed via high speed optical microscopy

    PubMed Central

    Sheeran, Paul S.; Matsunaga, Terry O.; Dayton, Paul A.

    2015-01-01

    Ultrasonically activated phase-change contrast agents (PCCAs) based on perfluorocarbon droplets have been proposed for a variety of therapeutic and diagnostic clinical applications. When generated at the nanoscale, droplets may be small enough to exit the vascular space and then be induced to vaporize with high spatial and temporal specificity by externally-applied ultrasound. The use of acoustical techniques for optimizing ultrasound parameters for given applications can be a significant challenge for nanoscale PCCAs due to the contributions of larger outlier droplets. Similarly, optical techniques can be a challenge due to the sub-micron size of nanodroplet agents and resolution limits of optical microscopy. In this study, an optical method for determining activation thresholds of nanoscale emulsions based on the in vitro distribution of bubbles resulting from vaporization of PCCAs after single, short (<10 cycles) ultrasound pulses is evaluated. Through ultra-high-speed microscopy it is shown that the bubbles produced early in the pulse from vaporized droplets are strongly affected by subsequent cycles of the vaporization pulse, and these effects increase with pulse length. Results show that decafluorobutane nanoemulsions with peak diameters on the order of 200 nm can be optimally vaporized with short pulses using pressures amenable to clinical diagnostic ultrasound machines. PMID:23760161

  18. Growth kinetics and island evolution during double-pulsed molecular beam epitaxy of InN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kraus, A.; Hein, C.; Bremers, H.

    The kinetic processes of InN growth using alternating source fluxes with sub-monolayer In pulses in plasma-assisted molecular beam epitaxy have been investigated. Growth at various temperatures reveals the existence of two growth regimes. While growth at low temperatures is solely governed by surface diffusion, a combination of decomposition, desorption, and diffusion becomes decisive at growth temperatures of 470 °C and above. At this critical temperature, the surface morphology changes from a grainy structure to a structure made of huge islands. The formation of those islands is attributed to the development of an indium adlayer, which can be observed via reflection highmore » energy electron diffraction monitoring. Based on the growth experiments conducted at temperatures below T{sub Growth} = 470 °C, an activation energy for diffusion of 0.54 ± 0.02 eV has been determined from the decreasing InN island density. A comparison between growth on metalorganic vapor phase epitaxy GaN templates and pseudo bulk GaN indicates that step edges and dislocations are favorable nucleation sites. Based on the results, we developed a growth model, which describes the main mechanisms of the growth.« less

  19. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    PubMed

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  1. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  2. Comparative study on the roles of anisotropic epitaxial strain and chemical doping in inducing the antiferromagnetic insulator phase in manganite films

    NASA Astrophysics Data System (ADS)

    Jin, Feng; Feng, Qiyuan; Guo, Zhuang; Lan, Da; Wang, Lingfei; Gao, Guanyin; Xu, Haoran; Chen, Binbin; Chen, Feng; Lu, Qingyou; Wu, Wenbin

    2017-11-01

    Epitaxial strain and chemical doping are two different methods that are commonly used to tune the physical properties of epitaxial perovskite oxide films, but their cooperative effects are less addressed. Here we try to tune the phase separation (PS) in (La1-xP rx) 2 /3C a1 /3Mn O3 (0 ≤x ≤0.4 , LPCMO) films via cooperatively controlling the anisotropic epitaxial strain (AES) and the Pr doping. These films are grown simultaneously on NdGa O3(110 ) ,(LaAlO3) 0.3(SrAl0.5Ta0.5O3 ) 0.7(001 ) , and NdGa O3(001 ) substrates with progressively increased in-plane AES, and probed by x-ray diffraction, magnetotransport, and magnetic force microscopy (MFM) measurements. Although it is known that for x =0 the AES can enhance the orthorhombicity of the films yielding a phase diagram with the antiferromagnetic charge-ordered insulator (AF-COI) state induced, which is quite different from the bulk one, we illustrate that the Pr doping can further drive the films towards a more robust COI state. This cooperative effect is reflected by the increasing magnetic fields needed to melt the COI phase as a function of AES and the doping level. More strikingly, by directly imaging the phase competition morphology of the LPCMO /NdGa O3(001 ) films via MFM, we find that during COI melting the PS domain structure is subject to both AES and the quenched disorder. However, in the reverse process, as the magnetic field is decreased, the COI phase reappears and the AES dominates leaving a crystalline-orientation determined self-organized microstructure. This finding suggests that the PS states and the domain configurations can be selectively controlled by the AES and/or the quenched disorder, which may shed some light on the engineering of PS domains for device fabrications.

  3. Crystal growth from the vapor phase experiment MA-085

    NASA Technical Reports Server (NTRS)

    Wiedemeir, H.; Sadeek, H.; Klaessig, F. C.; Norek, M.

    1976-01-01

    Three vapor transport experiments on multicomponent systems were performed during the Apollo Soyuz mission to determine the effects of microgravity forces on crystal morphology and mass transport rates. The mixed systems used germanium selenide, tellurium, germanium tetraiodide (transport agent), germanium monosulfide, germanium tetrachloride (transport agent), and argon (inert atmosphere). The materials were enclosed in evacuated sealed ampoules of fused silica and were transported in a temperature gradient of the multipurpose electric furnace onboard the Apollo Soyuz spacecraft. Preliminary evaluation of 2 systems shows improved quality of space grown crystals in terms of growth morphology and bulk perfection. This conclusion is based on a direct comparison of space grown and ground based crystals by means of X-ray diffraction, microscopic, and chemical etching techniques. The observation of greater mass transport rates than predicted for a microgravity environment by existing vapor transport models indicates the existence of nongravity caused transport effects in a reactive solid/gas phase system.

  4. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  5. Lattice strain measurements of deuteride phase formation in epitaxial niobium on sapphire

    NASA Astrophysics Data System (ADS)

    Allain, Monica Marie Cortez

    Deuteride phase formation in epitaxial niobium on sapphire was investigated for two film thicknesses (200 and 1200A). A palladium cap of approximately 40A facilitated deuterium absorption from the gas phase and each exposure condition ensured that the film passed through the miscibility gap. In situ resistivity and x-ray diffraction (XRD) provided a correlation between the film resistance and each of the phases. This correlation was used during helium-3 nuclear reaction analysis to determine the deuterium concentration at the beginning and end of the miscibility gap providing a closer look at the strain behavior vs. deuterium concentration within the single and two-phase region. Three orthogonal reciprocal lattice points, the out-of-plane (1--10), the in-plane (002), and the in-plane (110), were monitored with XRD during deuterium absorption to saturation. Cycling effects on the 1200A Nb film were analyzed and found not to influence the strain behavior. The strain was anisotropic for both films, giving an enhanced out-of-plane expansion relative to the two in-plane directions. This is consistent with a clamping force inhibiting in-plane expansion. The observed out-of-plane strain can be used to estimate the in-plane clamping stress; the result is approximately 1 and 2 GPa for the 1200 and 200A Nb films respectively. The volumetric expansion determined from in situ XRD measurements demonstrate that the know value of the specific volume of deuterium, Deltanu/O, in bulk Nb (Deltanu/O = 0.174) does not hold for thin-film, epitaxial geometry (Deltanu/O ≈ 1). Further, the behavior of the specific volume shows a discontinuity at the phase boundary that does not exist in bulk. Lattice strain and overall film expansion from simultaneous XRD and x-ray reflectivity (XRR) measurements, respectively, were performed on both films. These results demonstrate a larger out-of-plane film expansion compared to the out-of-plane lattice strain for the 1200A Nb film compared to the 200

  6. Leonardo (formerly Selex ES) infrared sensors for astronomy: present and future

    NASA Astrophysics Data System (ADS)

    Baker, Ian; Maxey, Chris; Hipwood, Les; Barnes, Keith

    2016-07-01

    Many branches of science require infrared detectors sensitive to individual photons. Applications range from low background astronomy to high speed imaging. Leonardo in Southampton, UK, has been developing HgCdTe avalanche photodiode (APD) sensors for astronomy in collaboration with European Southern Observatory (ESO) since 2008 and more recently the University of Hawaii. The devices utilise Metal Organic Vapour Phase Epitaxy, MOVPE, grown on low-cost GaAs substrates and in combination with a mesa device structure achieve very low dark current and near-ideal MTF. MOVPE provides the ability to grow complex HgCdTe heterostructures and these have proved crucial to suppress breakdown currents and allow high avalanche gain in low background situations. A custom device called Saphira (320x256/24μm) has been developed for wavefront sensors, interferometry and transient event imaging. This device has achieved read noise as low as 0.26 electrons rms and single photon imaging with avalanche gain up to x450. It is used in the ESO Gravity program for adaptive optics and fringe tracking and has been successfully trialled on the 3m NASA IRTF, 8.2m Subaru and 60 inch Mt Palomar for lucky imaging and wavefront sensing. In future the technology offers much shorter observation times for read-noise limited instruments, particularly spectroscopy. The paper will describe the MOVPE APD technology and current performance status.

  7. APTS and rGO co-functionalized pyrenated fluorescent nanonets for representative vapor phase nitroaromatic explosive detection

    NASA Astrophysics Data System (ADS)

    Guo, Linjuan; Zu, Baiyi; Yang, Zheng; Cao, Hongyu; Zheng, Xuefang; Dou, Xincun

    2014-01-01

    For the first time, flexible PVP/pyrene/APTS/rGO fluorescent nanonets were designed and synthesized via a one-step electrospinning method to detect representative subsaturated nitroaromatic explosive vapor. The functional fluorescent nanonets, which were highly stable in air, showed an 81% quenching efficiency towards TNT vapor (~10 ppb) with an exposure time of 540 s at room temperature. The nice performance of the nanonets was ascribed to the synergistic effects induced by the specific adsorption properties of APTS, the fast charge transfer properties and the effective π-π interaction with pyrene and TNT of rGO. Compared to the analogues of TNT, the PVP/pyrene/APTS/rGO nanonets showed notable selectivity towards TNT and DNT vapors. The explored functionalization method opens up brand new insight into sensitive and selective detection of vapor phase nitroaromatic explosives.For the first time, flexible PVP/pyrene/APTS/rGO fluorescent nanonets were designed and synthesized via a one-step electrospinning method to detect representative subsaturated nitroaromatic explosive vapor. The functional fluorescent nanonets, which were highly stable in air, showed an 81% quenching efficiency towards TNT vapor (~10 ppb) with an exposure time of 540 s at room temperature. The nice performance of the nanonets was ascribed to the synergistic effects induced by the specific adsorption properties of APTS, the fast charge transfer properties and the effective π-π interaction with pyrene and TNT of rGO. Compared to the analogues of TNT, the PVP/pyrene/APTS/rGO nanonets showed notable selectivity towards TNT and DNT vapors. The explored functionalization method opens up brand new insight into sensitive and selective detection of vapor phase nitroaromatic explosives. Electronic supplementary information (ESI) available: Vapor pressure of TNT and its analogues, fluorescence quenching kinetics, fluorescence quenching efficiencies and additional SEM images. See DOI: 10.1039/c3nr04960d

  8. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  9. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  10. Elimination of double position domains (DPDs) in epitaxial 〈111〉-3C-SiC on Si(111) by laser CVD

    NASA Astrophysics Data System (ADS)

    Xu, Qingfang; Zhu, Peipei; Sun, Qingyun; Tu, Rong; Yang, Meijun; Zhang, Song; Zhang, Lianmeng; Goto, Takashi; Yan, Jiasheng; Li, Shusen

    2017-12-01

    Elimination of double position domains (DPDs) in epitaxial 〈111〉-3C-SiC film on Si(111) substrate was conducted by laser chemical vapor deposition (LCVD) without carbonization. Transmission electron microscopy and pole figure were employed to investigate the microstructure and volume fraction of DPDs in the epitaxial layers, respectively. DPDs significantly decreased with decreasing deposition temperature (Tdep) and vanished at Tdep = 1273 K. The mechanism of the elimination of DPDs by LCVD also has been discussed.

  11. Interface morphology studies of liquid phase epitaxy grown HgCdTe films by atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Azoulay, M.; George, M. A.; Burger, A.; Collins, W. E.; Silberman, E.

    1994-04-01

    In this paper we report an investigation of the morphology of the interfaces of liquid phase epitaxy (LPE) grown HgCdTe thin films on CdTe and CdZnTe substrates by atomic force microscopy (AFM) on freshly cleaved (110) crystallographic planes. An empirical observation which may be linked to lattice mismatch was indicated by an angle between the cleavage steps of the substrate to those of the film. The precipitates with size ranging from 5 nm to 20 nm were found to be most apparent near the interface.

  12. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  13. Composition dependence of solid-phase epitaxy in silicon-germanium alloys: Experiment and theory

    NASA Astrophysics Data System (ADS)

    Haynes, T. E.; Antonell, M. J.; Lee, C. Archie; Jones, K. S.

    1995-03-01

    The rates of solid-phase epitaxy (SPE) in unstrained Si1-xGex alloys have been measured by time-resolved reflectivity for eight different alloy compositions, including both Si-rich and Ge-rich layers. Amorphous layers 300-400 nm thick were first formed in 8-μm-thick, relaxed, epitaxial Si1-xGex layers (0.02<=x<=0.87) by ion implantation of Si+. For each composition, the measured SPE rates spanned approximately two orders of magnitude. The alloy SPE rates are shown to be related to the regrowth rates of the two pure elements by a simple equation expressed in terms of the composition parameter x and having no adjustable parameters. The form of this equation implies that crystallization occurs by a serial attachment process at the amorphous-crystal interface and that the rate of attachment of each individual atom is determined by the identities of its four nearest neighbors. Such a process is consistent with the dangling-bond model proposed by Spaepen and Turnbull [in Laser-Solid Interactions and Laser Processing, edited by S. D. Ferris, H. J. Leamy, and J. M. Poate, AIP Conf. Proc. No. 50 (AIP, New York, 1979)] if the SPE rate is limited by the migration rate of dangling bonds rather than by their formation rate. Based on this analysis, an interpretation is proposed for the anomalously large activation energies that have been measured for SPE in some Si-rich compositions.

  14. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  15. Removal of Oxygen from Electronic Materials by Vapor-Phase Processes

    NASA Technical Reports Server (NTRS)

    Palosz, Witold

    1997-01-01

    Thermochemical analyses of equilibrium partial pressures over oxides with and without the presence of the respective element condensed phase, and hydrogen, chalcogens, hydrogen chalcogenides, and graphite are presented. Theoretical calculations are supplemented with experimental results on the rate of decomposition and/or sublimation/vaporization of the oxides under dynamic vacuum, and on the rate of reaction with hydrogen, graphite, and chalcogens. Procedures of removal of a number of oxides under different conditions are discussed.

  16. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  17. Growth and characterization of III-V epitaxial films

    NASA Astrophysics Data System (ADS)

    Tripathi, A.; Adamski, J.

    1991-11-01

    Investigations were conducted on the growth of epitaxial layers using an Organo Metallic Chemical Vapor Deposition technique of selected III-V materials which are potentially useful for photonics and microwave devices. RL/ERX's MOCVD machine was leak checked for safety. The whole gas handling plumbing system has been leak checked and the problems were reported to the manufacturer, CVD Equipment Corporation of Dear Park, NY. CVD Equipment Corporation is making an effort to correct these problems and also supply the part according to our redesign specifications. One of the main emphasis during this contract period was understanding the operating procedure and writing an operating manual for this MOCVD machine. To study the dynamic fluid flow in the vertical reactor of this MOCVD machine, an experimental apparatus was designed, tested, and put together. This study gave very important information on the turbulent gas flow patterns in this vertical reactor. The turbulent flow affects the epitaxial growth adversely. This study will also help in redesigning a vertical reactor so that the turbulent gas flow can be eliminated.

  18. Condensed phase conversion and growth of nanorods and other materials instead of from vapor

    DOEpatents

    Geohegan, David B.; Seals, Roland D.; Puretzky, Alex A.; Fan, Xudong

    2010-10-19

    Compositions, systems and methods are described for condensed phase conversion and growth of nanorods and other materials. A method includes providing a condensed phase matrix material; and activating the condensed phase matrix material to produce a plurality of nanorods by condensed phase conversion and growth from the condensed phase matrix material instead of from vapor. The compositions are very strong. The compositions and methods provide advantages because they allow (1) formation rates of nanostructures necessary for reasonable production rates, and (2) the near net shaped production of component structures.

  19. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  20. A three-dimensional phase field model for nanowire growth by the vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Wang, Yanming; Ryu, Seunghwa; McIntyre, Paul C.; Cai, Wei

    2014-07-01

    We present a three-dimensional multi-phase field model for catalyzed nanowire (NW) growth by the vapor-liquid-solid (VLS) mechanism. The equation of motion contains both a Ginzburg-Landau term for deposition and a diffusion (Cahn-Hilliard) term for interface relaxation without deposition. Direct deposition from vapor to solid, which competes with NW crystal growth through the molten catalyst droplet, is suppressed by assigning a very small kinetic coefficient at the solid-vapor interface. The thermodynamic self-consistency of the model is demonstrated by its ability to reproduce the equilibrium contact angles at the VLS junction. The incorporation of orientation dependent gradient energy leads to faceting of the solid-liquid and solid-vapor interfaces. The model successfully captures the curved shape of the NW base and the Gibbs-Thomson effect on growth velocity.

  1. Epitaxial growth of 6H silicon carbide in the temperature range 1320 C to 1390 C

    NASA Technical Reports Server (NTRS)

    Will, H. A.; Powell, J. A.

    1974-01-01

    High-quality epitaxial layers of 6H SiC have been grown on 6H SiC substrates with the grown direction perpendicular to the crystal c-axis. The growth was by chemical vapor deposition from methyltrichlorosilane (CH3SiCl3) in hydrogen at temperatures in the range of 1320 to 1390 C. Epitaxial layers up to 80 microns thick were grown at rates of 0.4 microns/min. Attempts at growth on the (0001) plane of 6H SiC substrates under similar conditions resulted in polycrystalline cubic SiC layers. Optical and X-ray diffraction techniques were used to characterize the grown layers.

  2. Mid-infrared laser-absorption diagnostic for vapor-phase fuel mole fraction and liquid fuel film thickness

    NASA Astrophysics Data System (ADS)

    Porter, J. M.; Jeffries, J. B.; Hanson, R. K.

    2011-02-01

    A novel two-wavelength mid-infrared laser-absorption diagnostic has been developed for simultaneous measurements of vapor-phase fuel mole fraction and liquid fuel film thickness. The diagnostic was demonstrated for time-resolved measurements of n-dodecane liquid films in the absence and presence of n-decane vapor at 25°C and 1 atm. Laser wavelengths were selected from FTIR measurements of the C-H stretching band of vapor n-decane and liquid n-dodecane near 3.4 μm (3000 cm-1). n-Dodecane film thicknesses <20 μm were accurately measured in the absence of vapor, and simultaneous measurements of n-dodecane liquid film thickness and n-decane vapor mole fraction (300 ppm) were measured with <10% uncertainty for film thicknesses <10 μm. A potential application of the measurement technique is to provide accurate values of vapor mole fraction in combustion environments where strong absorption by liquid fuel or oil films on windows make conventional direct absorption measurements of the gas problematic.

  3. MOVPE growth of (GaIn)As/Ga(AsSb)/(GaIn)As type-II heterostructures on GaAs substrate for near infrared laser applications

    NASA Astrophysics Data System (ADS)

    Fuchs, C.; Beyer, A.; Volz, K.; Stolz, W.

    2017-04-01

    The growth of high quality (GaIn)As/Ga(AsSb)/(GaIn)As "W"-quantum well heterostructures is discussed with respect to their application in 1300 nm laser devices. The structures are grown using metal organic vapor phase epitaxy and characterized using high-resolution X-ray diffraction, scanning transmission electron microscopy and photoluminescence measurements. The agreement between experimental high-resolution X-ray diffraction patterns and full dynamical simulations is verified for these structurally challenging heterostructures. Scanning transmission electron microscopy is used to demonstrate that the structure consists of well-defined quantum wells and forms the basis for future improvements of the optoelectronic quality of this materials system. By altering the group-V gas phase ratio, it is possible to cover a large spectral range between 1200 nm and 1470 nm using a growth temperature of 550 °C and a V/III ratio of 7.5. A comparison of a sample with a photoluminescence emission wavelength at 1360 nm with single quantum well material reference samples proves the type-II character of the emission. A further optimization of these structures for application in 1300 nm lasers by applying different V/III ratios yields a stable behavior of the photoluminescence intensity using a growth temperature of 550 °C.

  4. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jie; Morrow, Darien J.; Fu, Yongping

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  5. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE PAGES

    Chen, Jie; Morrow, Darien J.; Fu, Yongping; ...

    2017-09-05

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  6. Ferroelectric domain structure of anisotropically strained NaNbO3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Schwarzkopf, J.; Braun, D.; Schmidbauer, M.; Duk, A.; Wördenweber, R.

    2014-05-01

    NaNbO3 thin films have been grown under anisotropic biaxial strain on several oxide substrates by liquid-delivery spin metalorganic chemical vapor deposition. Compressive lattice strain of different magnitude, induced by the deposition of NaNbO3 films with varying film thickness on NdGaO3 single crystalline substrates, leads to modifications of film orientation and phase symmetry, which are similar to the phase transitions in Pb-containing oxides near the morphotropic phase boundary. Piezoresponse force microscopy measurements exhibit large out-of-plane polarization components, but no distinctive domain structure, while C-V measurements indicate relaxor properties in these films. When tensile strain is provoked by the epitaxial growth on DyScO3, TbScO3, and GdScO3 single crystalline substrates, NaNbO3 films behave rather like a normal ferroelectric. The application of these rare-earth scandate substrates yields well-ordered ferroelectric stripe domains of the type a1/a2 with coherent domain walls aligned along the [001] substrate direction as long as the films are fully strained. With increasing plastic lattice relaxation, initially, a 2D domain pattern with still exclusively in-plane electric polarization, and finally, domains with in-plane and out-of-plane polar components evolve.

  7. External fuel vaporization study, phase 2

    NASA Technical Reports Server (NTRS)

    Szetela, E. J.; Chiappetta, L.

    1981-01-01

    An analytical study was conducted to evaluate the effect of variations in fuel properties on the design of an external fuel vaporizaton system. The fuel properties that were considered included thermal stability, critical temperature, enthalpy a critical conditions, volatility, and viscosity. The design parameters that were evaluated included vaporizer weight and the impact on engine requirement such as maintenance, transient response, performance, and altitude relight. The baseline fuel properties were those of Jet A. The variation in thermal stability was taken as the thermal stability variation for Experimental Referee Broad Specification (ERBS) fuel. The results of the analysis indicate that a change in thermal stability equivalent to that of ERBS would increase the vaporization system weight by 20 percent, decrease oprating time between cleaning by 40 percent and make altitude relight more difficult. An increase in fuel critical temperature of 39 K would require a 40 percent increase in vaporization system weight. The assumed increase in enthalpy and volatility would also increase vaporizer weight by 40 percent and make altitude relight extremely difficult. The variation in fuel viscosity would have a negligible effect on the design parameters.

  8. Water Sorption and Vapor-Phase Deuterium Exchange Studies on Methemoglobin CC, SC, SS, AS, and AA

    PubMed Central

    Killion, Philip J.; Cameron, Bruce F.

    1972-01-01

    Five hemoglobins whose genetic relationship to one another involves one set of alleles, hemoglobins CC, SC, SS, AS, and AA, were studied in the Met form. Two different investigations were conducted at 28°C on these methemoglobins within a McBain gravimetric sorption system: sorption of H2O vapor and vapor-phase deuterium-hydrogen exchange. For each of the five samples there was close agreement between the per cent hydration of polar sites as determined from sorption studies and the maximum per cent of labile hydrogens that were exchanged during the vapor-phase deuterium exchange study. Both studies measured a slight increase in the number of polar sites accessible to H2O or D2O vapor for those samples in which the substituent in the sixth position from the N-terminus of the two β-chains had a positively charged side chain and a slight decrease for those in which the substituent had a negatively charged side chain. The in-exchange of deuterium for hydrogen occurred at a faster observed rate than the out-exchange of hydrogen for deuterium. PMID:5030563

  9. Modeling and Real-Time Process Monitoring of Organometallic Chemical Vapor Deposition of III-V Phosphides and Nitrides at Low and High Pressure

    NASA Technical Reports Server (NTRS)

    Bachmann, K. J.; Cardelino, B. H.; Moore, C. E.; Cardelino, C. A.; Sukidi, N.; McCall, S.

    1999-01-01

    The purpose of this paper is to review modeling and real-time monitoring by robust methods of reflectance spectroscopy of organometallic chemical vapor deposition (OMCVD) processes in extreme regimes of pressure. The merits of p-polarized reflectance spectroscopy under the conditions of chemical beam epitaxy (CBE) and of internal transmission spectroscopy and principal angle spectroscopy at high pressure are assessed. In order to extend OMCVD to materials that exhibit large thermal decomposition pressure at their optimum growth temperature we have designed and built a differentially-pressure-controlled (DCP) OMCVD reactor for use at pressures greater than or equal to 6 atm. We also describe a compact hard-shell (CHS) reactor for extending the pressure range to 100 atm. At such very high pressure the decomposition of source vapors occurs in the vapor phase, and is coupled to flow dynamics and transport. Rate constants for homogeneous gas phase reactions can be predicted based on a combination of first principles and semi-empirical calculations. The pressure dependence of unimolecular rate constants is described by RRKM theory, but requires variational and anharmonicity corrections not included in presently available calculations with the exception of ammonia decomposition. Commercial codes that include chemical reactions and transport exist, but do not adequately cover at present the kinetics of heteroepitaxial crystal growth.

  10. Simplified thermodynamic functions for vapor-liquid phase separation and fountain effect pumps

    NASA Technical Reports Server (NTRS)

    Yuan, S. W. K.; Hepler, W. A.; Frederking, T. H. K.

    1984-01-01

    He-4 fluid handling devices near 2 K require novel components for non-Newtonian fluid transport in He II. Related sizing of devices has to be based on appropriate thermophysical property functions. The present paper presents simplified equilibrium state functions for porous media components which serve as vapor-liquid phase separators and fountain effect pumps.

  11. Determination of composition of non-homogeneous GaInNAs layers

    NASA Astrophysics Data System (ADS)

    Pucicki, D.; Bielak, K.; Ściana, B.; Radziewicz, D.; Latkowska-Baranowska, M.; Kováč, J.; Vincze, A.; Tłaczała, M.

    2016-01-01

    Dilute nitride GaInNAs alloys grown on GaAs have become perspective materials for so called low-cost GaAs-based devices working within the optical wavelength range up to 1.6 μm. The multilayer structures of GaInNAs/GaAs multi-quantum well (MQW) samples usually are analyzed by using high resolution X-ray diffraction (HRXRD) measurements. However, demands for precise structural characterization of the GaInNAs containing heterostructures requires taking into consideration all inhomogeneities of such structures. This paper describes some of the material challenges and progress in structural characterization of GaInNAs layers. A new algorithm for structural characterization of dilute nitrides which bounds contactless electro-reflectance (CER) or photo-reflectance (PR) measurements and HRXRD analysis results together with GaInNAs quantum well band diagram calculation is presented. The triple quantum well (3QW) GaInNAs/GaAs structures grown by atmospheric-pressure metalorganic vapor-phase epitaxy (AP-MOVPE) were investigated according to the proposed algorithm. Thanks to presented algorithm, more precise structural data including the nonuniformity in the growth direction of GaInNAs/GaAs QWs were achieved. Therefore, the proposed algorithm is mentioned as a nondestructive method for characterization of multicomponent inhomogeneous semiconductor structures with quantum wells.

  12. The influence of AlN interlayers on the microstructural and electrical properties of p-type AlGaN/GaN superlattices grown on GaN/sapphire templates

    NASA Astrophysics Data System (ADS)

    Li, Lei; Liu, Lei; Wang, Lei; Li, Ding; Song, Jie; Liu, Ningyang; Chen, Weihua; Wang, Yuzhou; Yang, Zhijian; Hu, Xiaodong

    2012-09-01

    AlN with different thicknesses were grown as interlayers (ILs) between GaN and p-type Al0.15Ga0.85N/GaN superlattices (SLs) by metal organic vapor phase epitaxy (MOVPE). It was found that the edge-type threading dislocation density (TDD) increased gradually from the minimum of 2.5×109 cm-2 without AlN IL to the maximum of 1×1010 cm-2 at an AlN thickness of 20 nm, while the screw-type TDD remained almost unchanged due to the interface-related TD suppression and regeneration mechanism. We obtained that the edge-type dislocations acted as acceptors in p-type Al x Ga1- x N/GaN SLs, through the comparison of the edge-type TDD and hole concentration with different thicknesses of AlN IL. The Mg activation energy was significantly decreased from 153 to 70 meV with a 10-nm AlN IL, which was attributed to the strain modulation between AlGaN barrier and GaN well. The large activation efficiency, together with the TDs, led to the enhanced hole concentration. The variation trend of Hall mobility was also observed, which originated from the scattering at TDs.

  13. Influence of phase transition on the instability of a liquid-vapor interface in a gravitational field

    NASA Astrophysics Data System (ADS)

    Konovalov, V. V.; Lyubimov, D. V.; Lyubimova, T. P.

    2017-06-01

    This study is concerned with the linear stability of the horizontal interface between thick layers of a viscous heat-conducting liquid and its vapor in a gravitational field subject to phase transition. We consider the case when the hydrostatic base state is consistent with a balanced heat flux at the liquid-vapor interface. The corrections to the growth rate of the most dangerous perturbations and cutoff wave number, characterizing the influence of phase transition on the Rayleigh-Taylor instability, are found to be different from the data in the literature. Most of the previous results were obtained in the framework of a quasiequilibrium approximation, which had been shown to conform to the limit of thin media layers under equality of the interface temperature to a saturation temperature. The main difference from the results obtained with the quasiequilibrium approach is new values of the proportionality coefficients that correlate our corrections with the intensity of weak heating. Moreover, at large values of the heat flux rate, when deviations from the approximate linear law are important, the effect of phase transition is limited and does not exceed the size of the vapor viscosity effect.

  14. The mechanism of vapor phase hydration of calcium oxide: implications for CO2 capture.

    PubMed

    Kudłacz, Krzysztof; Rodriguez-Navarro, Carlos

    2014-10-21

    Lime-based sorbents are used for fuel- and flue-gas capture, thereby representing an economic and effective way to reduce CO2 emissions. Their use involves cyclic carbonation/calcination which results in a significant conversion reduction with increasing number of cycles. To reactivate spent CaO, vapor phase hydration is typically performed. However, little is known about the ultimate mechanism of such a hydration process. Here, we show that the vapor phase hydration of CaO formed after calcination of calcite (CaCO3) single crystals is a pseudomorphic, topotactic process, which progresses via an intermediate disordered phase prior to the final formation of oriented Ca(OH)2 nanocrystals. The strong structural control during this solid-state phase transition implies that the microstructural features of the CaO parent phase predetermine the final structural and physicochemical (reactivity and attrition) features of the product hydroxide. The higher molar volume of the product can create an impervious shell around unreacted CaO, thereby limiting the efficiency of the reactivation process. However, in the case of compact, sintered CaO structures, volume expansion cannot be accommodated in the reduced pore volume, and stress generation leads to pervasive cracking. This favors complete hydration but also detrimental attrition. Implications of these results in carbon capture and storage (CCS) are discussed.

  15. Epitaxial Growth of beta-Silicon Carbide (SiC) on a Compliant Substrate via Chemical Vapor Deposition (CVD)

    NASA Technical Reports Server (NTRS)

    Mitchell, Sharanda L.

    1996-01-01

    Many lattice defects have been attributed to the lattice mismatch and the difference in the thermal coefficient of expansion between SiC and silicon (Si). Stacking faults, twins and antiphase boundaries are some of the lattice defects found in these SiC films. These defects may be a partial cause of the disappointing performance reported for the prototype devices fabricated from beta-SiC films. The objective of this research is to relieve some of the thermal stress due to lattice mismatch when SiC is epitaxially grown on Si. The compliant substrate is a silicon membrane 2-4 microns thick. The CVD process includes the buffer layer which is grown at 1360 C followed by a very thin epitaxial growth of SiC. Then the temperature is raised to 1500 C for the subsequent growth of SiC. Since silicon melts at 1415 C, the SiC will be grown on molten Silicon which is absorbed by a porous graphite susceptor eliminating the SiC/Si interface. We suspect that this buffer layer will yield less stressed material to help in the epitaxial growth of SiC.

  16. Compositions of Mg and Se, surface morphology, roughness and Raman property of Zn1-xMgxSeyTe1-y layers grown at various substrate temperatures or dopant transport rates by MOVPE

    NASA Astrophysics Data System (ADS)

    Nishio, Mitsuhiro; Saito, Katsuhiko; Urata, Kensuke; Okamoto, Yasuhiro; Tanaka, Daichi; Araki, Yasuhiro; Abiru, Masakatsu; Mori, Eiichiro; Tanaka, Tooru; Guo, Qixin

    2015-03-01

    The growth of undoped and phosphorus (P)-doped Zn1-xMgxSeyTe1-y layers on (100) ZnTe substrates by metalorganic vapor phase epitaxy was carried out. The compositions of Mg and Se, surface morphology, roughness and Raman property were characterized as a function of substrate temperature. Not only the compositions of Mg and Se but also the crystal quality of undoped Zn1-xMgxSeyTe1-y layer strongly depended upon the substrate temperature. Furthermore, the growth of Zn1-xMgxSeyTe1-y layer nearly-lattice-matched to ZnTe substrate was achieved independent of the transport rate of trisdimethylaminophosphorus. Undoped Zn1-xMgxSeyTe1-y layer nearly-lattice-matched to ZnTe led to improvement of surface roughness. On the other hand, P doping brought about deterioration of crystalline quality.

  17. Adsorptive Water Removal from Dichloromethane and Vapor-Phase Regeneration of a Molecular Sieve 3A Packed Bed

    PubMed Central

    2017-01-01

    The drying of dichloromethane with a molecular sieve 3A packed bed process is modeled and experimentally verified. In the process, the dichloromethane is dried in the liquid phase and the adsorbent is regenerated by water desorption with dried dichloromethane product in the vapor phase. Adsorption equilibrium experiments show that dichloromethane does not compete with water adsorption, because of size exclusion; the pure water vapor isotherm from literature provides an accurate representation of the experiments. The breakthrough curves are adequately described by a mathematical model that includes external mass transfer, pore diffusion, and surface diffusion. During the desorption step, the main heat transfer mechanism is the condensation of the superheated dichloromethane vapor. The regeneration time is shortened significantly by external bed heating. Cyclic steady-state experiments demonstrate the feasibility of this novel, zero-emission drying process. PMID:28539701

  18. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Y. T., E-mail: yasun@kth.se; Omanakuttan, G.; Lourdudoss, S.

    2015-05-25

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reductionmore » effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm{sup 2} at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm{sup 2}, an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon.« less

  19. Quantum dots grown in the InSb/GaSb system by liquid-phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parkhomenko, Ya. A.; Dement’ev, P. A.; Moiseev, K. D., E-mail: mkd@iropt2.ioffe.rssi.ru

    2016-07-15

    The first results of the liquid-phase epitaxial growth of quantum dots in the InSb/GaSb system and atomic-force microscopy data on the structural characteristics of the quantum dots are reported. It is shown that the surface density, shape, and size of nanoislands depend on the deposition temperature and the chemical properties of the matrix surface. Arrays of InSb quantum dots on GaSb (001) substrates are produced in the temperature range T = 450–465°C. The average dimensions of the quantum dots correspond to a height of h = 3 nm and a base dimension of D = 30 nm; the surface densitymore » is 3 × 10{sup 9} cm{sup –2}.« less

  20. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  1. Experimental study of flash boiling spray vaporization through quantitative vapor concentration and liquid temperature measurements

    NASA Astrophysics Data System (ADS)

    Zhang, Gaoming; Hung, David L. S.; Xu, Min

    2014-08-01

    Flash boiling sprays of liquid injection under superheated conditions provide the novel solutions of fast vaporization and better air-fuel mixture formation for internal combustion engines. However, the physical mechanisms of flash boiling spray vaporization are more complicated than the droplet surface vaporization due to the unique bubble generation and boiling process inside a superheated bulk liquid, which are not well understood. In this study, the vaporization of flash boiling sprays was investigated experimentally through the quantitative measurements of vapor concentration and liquid temperature. Specifically, the laser-induced exciplex fluorescence technique was applied to distinguish the liquid and vapor distributions. Quantitative vapor concentration was obtained by correlating the intensity of vapor-phase fluorescence with vapor concentration through systematic corrections and calibrations. The intensities of two wavelengths were captured simultaneously from the liquid-phase fluorescence spectra, and their intensity ratios were correlated with liquid temperature. The results show that both liquid and vapor phase of multi-hole sprays collapse toward the centerline of the spray with different mass distributions under the flash boiling conditions. Large amount of vapor aggregates along the centerline of the spray to form a "gas jet" structure, whereas the liquid distributes more uniformly with large vortexes formed in the vicinity of the spray tip. The vaporization process under the flash boiling condition is greatly enhanced due to the intense bubble generation and burst. The liquid temperature measurements show strong temperature variations inside the flash boiling sprays with hot zones present in the "gas jet" structure and vortex region. In addition, high vapor concentration and closed vortex motion seem to have inhibited the heat and mass transfer in these regions. In summary, the vapor concentration and liquid temperature provide detailed information

  2. Mid-infrared laser-absorption diagnostic for vapor-phase measurements in an evaporating n-decane aerosol

    NASA Astrophysics Data System (ADS)

    Porter, J. M.; Jeffries, J. B.; Hanson, R. K.

    2009-09-01

    A novel three-wavelength mid-infrared laser-based absorption/extinction diagnostic has been developed for simultaneous measurement of temperature and vapor-phase mole fraction in an evaporating hydrocarbon fuel aerosol (vapor and liquid droplets). The measurement technique was demonstrated for an n-decane aerosol with D 50˜3 μ m in steady and shock-heated flows with a measurement bandwidth of 125 kHz. Laser wavelengths were selected from FTIR measurements of the C-H stretching band of vapor and liquid n-decane near 3.4 μm (3000 cm -1), and from modeled light scattering from droplets. Measurements were made for vapor mole fractions below 2.3 percent with errors less than 10 percent, and simultaneous temperature measurements over the range 300 K< T<900 K were made with errors less than 3 percent. The measurement technique is designed to provide accurate values of temperature and vapor mole fraction in evaporating polydispersed aerosols with small mean diameters ( D 50<10 μ m), where near-infrared laser-based scattering corrections are prone to error.

  3. Substrate-induced phase of a [1]benzothieno[3,2-b]benzothiophene derivative and phase evolution by aging and solvent vapor annealing.

    PubMed

    Jones, Andrew O F; Geerts, Yves H; Karpinska, Jolanta; Kennedy, Alan R; Resel, Roland; Röthel, Christian; Ruzié, Christian; Werzer, Oliver; Sferrazza, Michele

    2015-01-28

    Substrate-induced phases (SIPs) are polymorphic phases that are found in thin films of a material and are different from the single crystal or "bulk" structure of a material. In this work, we investigate the presence of a SIP in the family of [1]benzothieno[3,2-b]benzothiophene (BTBT) organic semiconductors and the effect of aging and solvent vapor annealing on the film structure. Through extensive X-ray structural investigations of spin coated films, we find a SIP with a significantly different structure to that found in single crystals of the same material forms; the SIP has a herringbone motif while single crystals display layered π-π stacking. Over time, the structure of the film is found to slowly convert to the single crystal structure. Solvent vapor annealing initiates the same structural evolution process but at a greatly increased rate, and near complete conversion can be achieved in a short period of time. As properties such as charge transport capability are determined by the molecular structure, this work highlights the importance of understanding and controlling the structure of organic semiconductor films and presents a simple method to control the film structure by solvent vapor annealing.

  4. EFFECT OF VAPOR-PHASE BIOREACTOR OPERATION ON BIOMASS ACCUMULATION, DISTRIBUTION, AND ACTIVITY. (R826168)

    EPA Science Inventory

    Excess biomass accumulation and activity loss in vapor-phase bioreactors (VPBs) can lead to unreliable long-term operation. In this study, temporal and spatial variations in biomass accumulation, distribution and activity in VPBs treating toluene-contaminated air were monitored o...

  5. Non-Ballistic Vapor-Driven Ejecta

    NASA Technical Reports Server (NTRS)

    Wrobel, K. E.; Schultz, P. H.; Heineck, J. T.

    2004-01-01

    Impact-induced vaporization is a key component of early-time cratering mechanics. Previous experimental [1,2] and computational [e.g., 3] studies focused on the generation and expansion of vapor clouds in an attempt to better understand vaporization in hypervelocity impacts. Presented here is a new experimental approach to the study of impact-induced vaporization. The three-dimensional particle image velocimetry (3D PIV) system captures interactions between expanding vapor phases and fine particulates. Particles ejected early in the cratering process may be entrained in expanding gas phases generated at impact, altering their otherwise ballistic path of flight. 3D PIV allows identifying the presence of such non-ballistic ejecta from very early times in the cratering process.

  6. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  7. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  8. Delivery of Epinephrine in the Vapor Phase for the Treatment of Croup.

    PubMed

    Leung, Kitty; Newth, Christopher J L; Hotz, Justin C; O'Brien, Kevin C; Fink, James B; Coates, Allan L

    2016-04-01

    The Vapotherm system delivers high humidity to the airway of patients by using semipermeable tubules where heated liquid water is in contact with air. The humidified air is conducted to the patient via a heated tube. Preliminary clinical observations in infants with croup suggested that epinephrine added to the water supplying the humidity was delivered successfully in the vapor phase. The purpose of this study was to evaluate the efficiency of the delivery of epinephrine in the vapor phase and to develop the feasibility criteria for a clinical pilot study. Thirty milligrams of epinephrine in a 1-L bag of sterile water was used as the humidification source for a Vapotherm 2000i. The output of the heated circuit was condensed and collected into a small Erlenmeyer flask via a metal coil while the whole collection system was submerged in an ice slurry to maintain the outflow temperature from the flask between 0°C and 2°C. The in vitro system was tested at 40°C with flows of 5, 10, and 15 L/min and L-epinephrine concentrations of 15, 30, and 60 mg/L. Each test was duplicated at each of the six conditions. Academic children's hospital research laboratory. None. None. The system recovered more than 90% of the water vapor from the fully saturated air at 40°C. The epinephrine concentration recovery quantified by ultraviolet-visible spectrophotometry was 23.9% (27.5-20.4%) (mean and range) of the initial concentration. At flows of 5, 10, and 15 L/min, the delivery of epinephrine would be 1.8, 3.6, and 4.2 μg/min, respectively, which is in the therapeutic range used for parenteral infusion in young children. The Vapotherm system can be used to deliver epinephrine in pharmacological doses to the respiratory system as a vapor and thus as an alternative to droplets by conventional nebulization.

  9. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  10. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    NASA Astrophysics Data System (ADS)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  11. Feasibility Study of Vapor-Mist Phase Reaction Lubrication Using a Thioether Liquid

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Handschuh, Robert F.; Krantz, Timothy L.

    2007-01-01

    A primary technology barrier preventing the operation of gas turbine engines and aircraft gearboxes at higher temperatures is the inability of currently used liquid lubricants to survive at the desired operating conditions over an extended time period. Current state-of-the-art organic liquid lubricants rapidly degrade at temperatures above 300 C; hence, another form of lubrication is necessary. Vapor or mist phase reaction lubrication is a unique, alternative technology for high temperature lubrication. The majority of past studies have employed a liquid phosphate ester that was vaporized or misted, and delivered to bearings or gears where the phosphate ester reacted with the metal surfaces generating a solid lubricious film. This method resulted in acceptable operating temperatures suggesting some good lubrication properties, but the continuous reaction between the phosphate ester and the iron surfaces led to wear rates unacceptable for gas turbine engine or aircraft gearbox applications. In this study, an alternative non-phosphate liquid was used to mist phase lubricate a spur gearbox rig operating at 10,000 rpm under highly loaded conditions. After 21 million shaft revolutions of operation the gears exhibited only minor wear.

  12. Epitaxial phase diagrams of SrTiO3, CaTiO3, and SrHfO3: Computational investigation including the role of antiferrodistortive and A -site displacement modes

    NASA Astrophysics Data System (ADS)

    Angsten, Thomas; Asta, Mark

    2018-04-01

    Ground-state epitaxial phase diagrams are calculated by density functional theory (DFT) for SrTiO3, CaTiO3, and SrHfO3 perovskite-based compounds, accounting for the effects of antiferrodistortive and A -site displacement modes. Biaxial strain states corresponding to epitaxial growth of (001)-oriented films are considered, with misfit strains ranging between -4 % and 4%. Ground-state structures are determined using a computational procedure in which input structures for DFT optimizations are identified as local minima in expansions of the total energy with respect to strain and soft-mode degrees of freedom. Comparison to results of previous DFT studies demonstrates the effectiveness of the computational approach in predicting ground-state phases. The calculated results show that antiferrodistortive octahedral rotations and associated A -site displacement modes act to suppress polarization and reduce the epitaxial strain energy. A projection of calculated atomic displacements in the ground-state epitaxial structures onto soft-mode eigenvectors shows that three ferroelectric and six antiferrodistortive displacement modes are dominant at all misfit strains considered, with the relative contributions from each varying systematically with the strain. Additional A -site displacement modes contribute to the atomic displacements in CaTiO3 and SrHfO3, which serve to optimize the coordination of the undersized A -site cation.

  13. Melting and Vaporization of the 1223 Phase in the System (Tl-Pb-Ba-Sr-Ca-Cu-O)

    PubMed Central

    Cook, L. P.; Wong-Ng, W.; Paranthaman, P.

    1996-01-01

    The melting and vaporization of the 1223 [(Tl,Pb):(Ba,Sr):Ca:Cu] oxide phase in the system (Tl-Pb-Ba-Sr-Ca-Cu-O) have been investigated using a combination of dynamic methods (differential thermal analysis, thermogravimetry, effusion) and post-quenching characterization techniques (powder x-ray diffraction, scanning electron microscopy, energy dispersive x-ray spectrometry). Vaporization rates, thermal events, and melt compositions were followed as a function of thallia loss from a 1223 stoichiometry. Melting and vaporization equilibria of the 1223 phase are complex, with as many as seven phases participating simultaneously. At a total pressure of 0.1 MPa the 1223 phase was found to melt completely at (980 ± 5) °C in oxygen, at a thallia partial pressure (pTl2O) of (4.6 ± 0.5) kPa, where the quoted uncertainties are standard uncertainties, i.e., 1 estimated standard deviation. The melting reaction involves five other solids and a liquid, nominally as follows: 1223→1212+(Ca,Sr)2CuO3+(Sr,Ca)CuO2+BaPbO3+(Ca,Sr)O+Liquid Stoichiometries of the participating phases have been determined from microchemical analysis, and substantial elemental substitution on the 1212 and 1223 crystallographic sites is indicated. The 1223 phase occurs in equilibrium with liquids from its melting point down to at least 935 °C. The composition of the lowest melting liquid detected for the bulk compositions of this study has been measured using microchemical analysis. Applications to the processing of superconducting wires and tapes are discussed. PMID:27805086

  14. Conductive Textiles via Vapor-Phase Polymerization of 3,4-Ethylenedioxythiophene.

    PubMed

    Ala, Okan; Hu, Bin; Li, Dapeng; Yang, Chen-Lu; Calvert, Paul; Fan, Qinguo

    2017-08-30

    We fabricated electrically conductive textiles via vapor-phase polymerization of poly(3,4-ethylenedioxythiophene) (PEDOT) layers on cotton, cotton/poly(ethylene terephthalate) (PET), cotton/Lycra, and PET fabrics. We then measured the electrical resistivity values of such PEDOT-coated textiles and analyzed the effect of water treatment on the electrical resistivity. Additionally, we tested the change in the electrical resistance of the conductive textiles under cyclic stretching and relaxation. Last, we characterized the uniformity and morphology of the conductive layer formed on the fabrics using scanning electron microscopy and electron-dispersive X-ray spectroscopy.

  15. CRYOCHEM, Thermodynamic Model for Cryogenic Chemical Systems: Solid-Vapor and Solid-Liquid-Vapor Phase Equilibria Toward Applications on Titan and Pluto

    NASA Astrophysics Data System (ADS)

    Tan, S. P.; Kargel, J. S.; Adidharma, H.; Marion, G. M.

    2014-12-01

    Until in-situ measurements can be made regularly on extraterrestrial bodies, thermodynamic models are the only tools to investigate the properties and behavior of chemical systems on those bodies. The resulting findings are often critical in describing physicochemical processes in the atmosphere, surface, and subsurface in planetary geochemistry and climate studies. The extremely cold conditions on Triton, Pluto and other Kuiper Belt Objects, and Titan introduce huge non-ideality that prevents conventional models from performing adequately. At such conditions, atmospheres as a whole—not components individually—are subject to phase equilibria with their equilibrium solid phases or liquid phases or both. A molecular-based thermodynamic model for cryogenic chemical systems, referred to as CRYOCHEM, the development of which is still in progress, was shown to reproduce the vertical composition profile of Titan's atmospheric methane measured by the Huygens probe (Tan et al., Icarus 2013, 222, 53). Recently, the model was also used to describe Titan's global circulation where the calculated composition of liquid in Ligeia Mare is consistent with the bathymetry and microwave absorption analysis of T91 Cassini fly-by data (Tan et al., 2014, submitted). Its capability to deal with equilibria involving solid phases has also been demonstrated (Tan et al., Fluid Phase Equilib. 2013, 360, 320). With all those previous works done, our attention is now shifting to the lower temperatures in Titan's tropopause and on Pluto's surface, where much technical development remains for CRYOCHEM to assure adequate performance at low temperatures. In these conditions, solid-vapor equilibrium (SVE) is the dominant phase behavior that determines the composition of the atmosphere and the existing ices. Another potential application is for the subsurface phase equilibrium, which also involves liquid, thus three-phase equilibrium: solid-liquid-vapor (SLV). This presentation will discuss the

  16. APTS and rGO co-functionalized pyrenated fluorescent nanonets for representative vapor phase nitroaromatic explosive detection.

    PubMed

    Guo, Linjuan; Zu, Baiyi; Yang, Zheng; Cao, Hongyu; Zheng, Xuefang; Dou, Xincun

    2014-01-01

    For the first time, flexible PVP/pyrene/APTS/rGO fluorescent nanonets were designed and synthesized via a one-step electrospinning method to detect representative subsaturated nitroaromatic explosive vapor. The functional fluorescent nanonets, which were highly stable in air, showed an 81% quenching efficiency towards TNT vapor (∼10 ppb) with an exposure time of 540 s at room temperature. The nice performance of the nanonets was ascribed to the synergistic effects induced by the specific adsorption properties of APTS, the fast charge transfer properties and the effective π-π interaction with pyrene and TNT of rGO. Compared to the analogues of TNT, the PVP/pyrene/APTS/rGO nanonets showed notable selectivity towards TNT and DNT vapors. The explored functionalization method opens up brand new insight into sensitive and selective detection of vapor phase nitroaromatic explosives.

  17. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  18. Monitoring of vapor phase polycyclic aromatic hydrocarbons

    DOEpatents

    Vo-Dinh, Tuan; Hajaligol, Mohammad R.

    2004-06-01

    An apparatus for monitoring vapor phase polycyclic aromatic hydrocarbons in a high-temperature environment has an excitation source producing electromagnetic radiation, an optical path having an optical probe optically communicating the electromagnetic radiation received at a proximal end to a distal end, a spectrometer or polychromator, a detector, and a positioner coupled to the first optical path. The positioner can slidably move the distal end of the optical probe to maintain the distal end position with respect to an area of a material undergoing combustion. The emitted wavelength can be directed to a detector in a single optical probe 180.degree. backscattered configuration, in a dual optical probe 180.degree. backscattered configuration or in a dual optical probe 90.degree. side scattered configuration. The apparatus can be used to monitor an emitted wavelength of energy from a polycyclic aromatic hydrocarbon as it fluoresces in a high temperature environment.

  19. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    NASA Astrophysics Data System (ADS)

    Yang, Y.-B.; Seewald, L.; Mohanty, Dibyajyoti; Wang, Y.; Zhang, L. H.; Kisslinger, K.; Xie, Weiyu; Shi, J.; Bhat, I.; Zhang, Shengbai; Lu, T.-M.; Wang, G.-C.

    2017-08-01

    Single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (∼21-55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [1 bar2 1 bar]CdTe//[ 1 bar100]CdS//[010]mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. The use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.

  20. Substantiation of Epitaxial Growth of Diamond Crystals on the Surface of Carbide Fe3AlC0.66 Phase Nanoparticles.

    PubMed

    Dzevin, Ievgenij M; Mekhed, Alexander A

    2017-12-01

    Samples of Fe-Al-C alloys of varying composition were synthesized under high pressures and temperatures. From X-ray analysis data, only K-phase with usual for it average parameter of elemental lattice cell, a = 0.376 nm, carbide Fe 3 C and cubic diamond reflexes were present before and after cooling to the temperature of liquid nitrogen.Calculations were made of the parameters of unit cells, the enthalpy of formation of the Fe 3 AlC, Fe 3.125 Al 0.825 C 0.5 , Fe 3.5 Al 0.5 C 0.5 , Fe 3.5 Al 0.5 C, Fe 3 Al 0.66 C 0.66 , and Fe 3 AlC 0.66 unit cells and crystallographic planes were identified on which epitaxial growth of the diamond phase was possible, using density functional theory as implemented in the WIEN2k package.The possibility of epitaxial growth of diamond crystals on Fe 3 AlC 0.66 (K-phase) nanoparticles was, therefore, demonstrated. The [200] plane was established to be the most suitable plane for diamond growth, having four carbon atoms arranged in a square and a central vacancy which can be occupied by carbon during thermal-and-pressure treatment. Distances between carbon atoms in the [200] plane differ by only 5% from distances between the carbon atoms of a diamond. The electronic structure and energetic parameters of the substrate were also investigated. It was shown that the substrate with at least four intermediate layers of K-phase exhibits signs of stability such as negative enthalpy of formation and the Fermi level falling to minimum densities of states.

  1. Enhancement of lower critical field by reducing the thickness of epitaxial and polycrystalline MgB₂ thin films

    DOE PAGES

    Tan, Teng; Wolak, M. A.; Acharya, Narendra; ...

    2015-04-01

    For potential applications in superconducting RF cavities, we have investigated the properties of polycrystalline MgB₂ films, including the thickness dependence of the lower critical field Hc₁. MgB₂ thin films were fabricated by hybrid physical-chemical vapor deposition on (0001) SiC substrate either directly (for epitaxial films) or with a MgO buffer layer (for polycrystalline films). When the film thickness decreased from 300 nm to 100 nm, Hc₁ at 5 K increased from around 600 Oe to 1880 Oe in epitaxial films and to 1520 Oe in polycrystalline films. The result is promising for using MgB₂/MgO multilayers to enhance the vortex penetrationmore » field.« less

  2. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  3. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  4. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  5. Low-Cost Approaches to III–V Semiconductor Growth for Photovoltaic Applications

    DOE PAGES

    Greenaway, Ann L.; Boucher, Jason W.; Oener, Sebastian Z.; ...

    2017-08-31

    III–V semiconductors form the most efficient single- and multijunction photovoltaics. Metal–organic vapor-phase epitaxy, which uses toxic and pyrophoric gas-phase precursors, is the primary commercial growth method for these materials. In order for the use of highly efficient III–V-based devices to be expanded as the demand for renewable electricity grows, a lower-cost approach to the growth of these materials is needed. This Review focuses on three deposition techniques compatible with current device architectures: hydride vapor-phase epitaxy, close-spaced vapor transport, and thin-film vapor–liquid–solid growth. Here, we consider recent advances in each technique, including the available materials space, before providing an in-depth comparisonmore » of growth technology advantages and limitations and considering the impact of modifications to the method of production on the cost of the final photovoltaics.« less

  6. CdZnTe substrate impurities and their effects on liquid phase epitaxy HgCdTe

    NASA Astrophysics Data System (ADS)

    Tower, J. P.; Tobin, S. P.; Kestigian, M.; Norton, P. W.; Bollong, A. B.; Schaake, H. F.; Ard, C. K.

    1995-05-01

    Impurity levels were tracked through the stages of substrate and liquid phase epitaxy (LPE) layer processing to identify sources of elements which degrade infrared photodetector performance. Chemical analysis by glow discharge mass spectrometry and Zeeman corrected graphite furnace atomic absorption effectively showed the levels of impurities introduced into CdZnTe substrate material from the raw materials and the crystal growth processes. A new purification process (in situ distillation zone refining) for raw materials was developed, resulting in improved CdZnTe substrate purity. Substrate copper contamination was found to degrade the LPE layer and device electrical properties, in the case of lightly doped HgCdTe. Anomalous HgCdTe carrier type conversion was correlated to certain CdZnTe and CdTe substrate ingots.

  7. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  8. Assessment of the Vapor Phase Catalytic Ammonia Removal (VPCAR) Technology at the MSFC ECLS Test Facility

    NASA Technical Reports Server (NTRS)

    Tomes, Kristin; Long, David; Carter, Layne; Flynn, Michael

    2007-01-01

    The Vapor Phase Catalytic Ammonia. Removal (VPCAR) technology has been previously discussed as a viable option for. the Exploration Water Recovery System. This technology integrates a phase change process with catalytic oxidation in the vapor phase to produce potable water from exploration mission wastewaters. A developmental prototype VPCAR was designed, built and tested under funding provided by a National Research. Announcement (NRA) project. The core technology, a Wiped Film Rotating Device (WFRD) was provided by Water Reuse Technologies under the NRA, whereas Hamilton Sundstrand Space Systems International performed the hardware integration and acceptance test. of the system. Personnel at the-Ames Research Center performed initial systems test of the VPCAR using ersatz solutions. To assess the viability of this hardware for Exploration. Life Support (ELS) applications, the hardware has been modified and tested at the MSFC ECLS Test facility. This paper summarizes the hardware modifications and test results and provides an assessment of this technology for the ELS application.

  9. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  10. Direct calculation of liquid-vapor phase equilibria from transition matrix Monte Carlo simulation

    NASA Astrophysics Data System (ADS)

    Errington, Jeffrey R.

    2003-06-01

    An approach for directly determining the liquid-vapor phase equilibrium of a model system at any temperature along the coexistence line is described. The method relies on transition matrix Monte Carlo ideas developed by Fitzgerald, Picard, and Silver [Europhys. Lett. 46, 282 (1999)]. During a Monte Carlo simulation attempted transitions between states along the Markov chain are monitored as opposed to tracking the number of times the chain visits a given state as is done in conventional simulations. Data collection is highly efficient and very precise results are obtained. The method is implemented in both the grand canonical and isothermal-isobaric ensemble. The main result from a simulation conducted at a given temperature is a density probability distribution for a range of densities that includes both liquid and vapor states. Vapor pressures and coexisting densities are calculated in a straightforward manner from the probability distribution. The approach is demonstrated with the Lennard-Jones fluid. Coexistence properties are directly calculated at temperatures spanning from the triple point to the critical point.

  11. Linear thermal expansion coefficient determination using in situ curvature and temperature dependent X-ray diffraction measurements applied to metalorganic vapor phase epitaxy-grown AlGaAs

    NASA Astrophysics Data System (ADS)

    Maaßdorf, A.; Zeimer, U.; Grenzer, J.; Weyers, M.

    2013-07-01

    AlxGa1-xAs grown on GaAs is known to be almost perfectly lattice matched with a maximum lattice mismatch of 0.14% at room temperature and even less at temperatures of 700 °C-800 °C. However, as layer structures for edge-emitting diode lasers exhibit an increasing overall thickness of several microns of AlxGa1-xAs, e.g., diode lasers comprising a super-large optical cavity, the accumulated elastic strain energy increases as well. Depending on the growth temperature the formation energy of dislocations can be reached, which is limiting the pseudomorphic growth. In this regard, the thermal expansion coefficient difference between layer and substrate is an important parameter. We utilize in situ curvature measurements during growth of AlxGa1-xAs by metal-organic vapour phase epitaxy to determine the thermal expansion coefficient α. The curvature change with increasing layer thickness, as well as with wafer temperature at constant layer thickness is used to assess α. This is compared to ex situ temperature dependent X-ray diffraction measurements to obtain α. All determined values for α are in good agreement, yielding αAlAs=4.1×10-6 K-1 for a given GaAs linear thermal expansion coefficient of αGaAs=5.73×10-6 K-1.

  12. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  13. Investigation of low leakage current radiation detectors on n-type 4H-SiC epitaxial layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Khai V.; Chaudhuri, Sandeep K.; Mandal, Krishna C.

    2014-09-01

    The surface leakage current of high-resolution 4H-SiC epitaxial layer Schottky barrier detectors has been improved significantly after surface passivations of 4H-SiC epitaxial layers. Thin (nanometer range) layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) were deposited on 4H-SiC epitaxial layers using plasma enhanced chemical vapor deposition (PECVD) on 20 μm thick n-type 4H-SiC epitaxial layers followed by the fabrication of large area (~12 mm2) Schottky barrier radiation detectors. The fabricated detectors have been characterized through current-voltage (I-V), capacitance-voltage (C-V), and alpha pulse height spectroscopy measurements; the results were compared with that of detectors fabricated without surface passivations. Improved energy resolution of ~ 0.4% for 5486 keV alpha particles was observed after passivation, and it was found that the performance of these detectors were limited by the presence of macroscopic and microscopic crystal defects affecting the charge transport properties adversely. Capacitance mode deep level transient studies (DLTS) revealed the presence of a titanium impurity related shallow level defects (Ec-0.19 eV), and two deep level defects identified as Z1/2 and Ci1 located at Ec-0.62 and ~ Ec-1.40 eV respectively.

  14. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    solutions. By controlling the composition of these alloys, the oxide's lattice parameter can be engineered to match GaN and reduce interfacial state density. Compositional control is a universal challenge to oxide MBE, and the MgO-CaO system (MCO) is further complicated by magnesium's high volatility and the lack of a thermodynamically stable phase. Through a detailed investigation of MgO's deposition rate and subsequent impact on MCO composition, the process space for achieving lattice-matched compositions to GaN are fully mapped. Lattice-matched compositions are demonstrated to have the narrowest off-axis rocking curve widths ever reported for an epitaxial oxide deposited directly on GaN (0.7° in φ-circle for 200 reflection). Epitaxial deposition of the ferroelectric (Ba,Sr)TiO3 by hot RF sputtering on GaN surfaces is also demonstrated. Simple MOS capacitors are fabricated from epitaxial rocksalt oxides and (Ba,Sr)TiO3 layers deposited on n-GaN substrates. Current-voltage measurements reveal that BST epilayers have 5 orders of magnitude higher current leakage than rocksalt epilayers. This higher leakage is attributed to the smaller band offset expected at this interface; modeling confirms that electronic transport occurs by Schottky emission. In contrast, current transport across the rocksalt oxide/GaN interface occurs by Frenkel-Poole emission and can be reduced with pre-deposition surface treatments. Finally, through this work, it is realized that the integration of oxides with III-nitrides requires an appreciation of many different fields of research including materials science, surface science, and electrical engineering. By recognizing the importance that each of these fields play in designing oxide/III-nitride interfaces, this thesis has the opportunity to explore other related phenomena including accessing metastable phases through MBE (ytterbium monoxide), spinodal decomposition in metastable alloys (MCO), how polar surfaces grown by MBE compensate their bound

  15. Epitaxial GeSn film formed by solid phase epitaxy and its application to Yb{sub 2}O{sub 3}-gated GeSn metal-oxide-semiconductor capacitors with sub-nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Ching-Wei; Wu, Yung-Hsien; Hsieh, Ching-Heng

    2014-11-17

    Through the technique of solid phase epitaxy (SPE), an epitaxial Ge{sub 0.955}Sn{sub 0.045} film was formed on a Ge substrate by depositing an amorphous GeSn film followed by a rapid thermal annealing at 550 °C. A process that uses a SiO{sub 2} capping layer on the amorphous GeSn film during SPE was proposed and it prevents Sn precipitation from occurring while maintaining a smooth surface due to the reduced surface mobility of Sn atoms. The high-quality epitaxial GeSn film was observed to have single crystal structure, uniform thickness and composition, and tiny surface roughness with root mean square of 0.56 nm. Withmore » a SnO{sub x}-free surface, Yb{sub 2}O{sub 3}-gated GeSn metal-oxide-semiconductor (MOS) capacitors with equivalent oxide thickness (EOT) of 0.55 nm were developed. A small amount of traps inside the Yb{sub 2}O{sub 3} was verified by negligible hysteresis in capacitance measurement. Low leakage current of 0.4 A/cm{sup 2} at gate bias of flatband voltage (V{sub FB})-1 V suggests the high quality of the gate dielectric. In addition, the feasibility of using Yb{sub 2}O{sub 3} to well passivate GeSn surface was also evidenced by the small interface trap density (D{sub it}) of 4.02 × 10{sup 11} eV{sup −1} cm{sup −2}, which can be attributed to smooth GeSn surface and Yb{sub 2}O{sub 3} valency passivation. Both leakage current and D{sub it} performance outperform other passivation techniques at sub-nm EOT regime. The proposed epitaxial GeSn film along with Yb{sub 2}O{sub 3} dielectric paves an alternative way to enable high-performance GeSn MOS devices.« less

  16. Pinhole-free growth of epitaxial CoSi.sub.2 film on Si(111)

    NASA Technical Reports Server (NTRS)

    Lin, True-Lon (Inventor); Fathauer, Robert W. (Inventor); Grunthaner, Paula J. (Inventor)

    1991-01-01

    Pinhole-free epitaxial CoSi.sub.2 films (14') are fabricated on (111)-oriented silicon substrates (10) with a modified solid phase epitaxy technique which utilizes (1) room temperature stoichiometric (1:2) codeposition of Co and Si followed by (2) room temperature deposition of an amorphous silicon capping layer (16), and (3) in situ annealing at a temperature ranging from about 500.degree. to 750.degree. C.

  17. An Experimental Visualization and Image Analysis of Electrohydrodynamically Induced Vapor-Phase Silicon Oil Flow under DC Corona Discharge

    NASA Astrophysics Data System (ADS)

    Ohyama, Ryu-Ichiro; Fukumoto, Masaru

    A DC corona discharge induced electrohydrodynamic (EHD) flow phenomenon for a multi-phase fluid containing a vapor-phase dielectric liquid in the fresh air was investigated. The experimental electrode system was a simple arrangement of needle-plate electrodes for the corona discharges and high-resistivity silicon oil was used as the vapor-phase liquid enclosure. The qualitative observation of EHD flow patterns was conducted by an optical processing on computer tomography and the time-series of discharge current pulse generations at corona discharge electrode were measured simultaneously. These experimental results were analyzed in relationship between the EHD flow motions and the current pulse generations in synchronization. The current pulses and the EHD flow motions from the corona discharge electrode presented a continuous mode similar to the ionic wind in the fresh air and an intermittent mode. In the intermittent mode, the observed EHD flow motion was synchronized with the separated discharge pulse generations. From these experimental results, it was expected that the existence of silicon oil vapor trapped charges gave an occasion to the intermittent generations of the discharge pulses and the secondary EHD flow.

  18. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE PAGES

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti; ...

    2017-03-31

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  19. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  20. Epitaxial layers of 2122 BCSCO superconductor thin films having single crystalline structure

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal K. (Inventor); Solayappan, Narayanan (Inventor)

    1995-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  1. In situ TEM observation of heterogeneous phase transition of a constrained single-crystalline Ag2Te nanowire.

    PubMed

    In, Juneho; Yoo, Youngdong; Kim, Jin-Gyu; Seo, Kwanyong; Kim, Hyunju; Ihee, Hyotchel; Oh, Sang Ho; Kim, Bongsoo

    2010-11-10

    Laterally epitaxial single crystalline Ag2Te nanowires (NWs) are synthesized on sapphire substrates by the vapor transport method. We observed the phase transitions of these Ag2Te NWs via in situ transmission electron microscopy (TEM) after covering them with Pt layers. The constrained NW shows phase transition from monoclinic to a body-centered cubic (bcc) structure near the interfaces, which is ascribed to the thermal stress caused by differences in the thermal expansion coefficients. Furthermore, we observed the nucleation and growth of bcc phase penetrating into the face-centered cubic matrix at 200 °C by high-resolution TEM in real time. Our results would provide valuable insight into how compressive stresses imposed by overlayers affect behaviors of nanodevices.

  2. Comparison of electrical properties and deep traps in p-AlxGa1-xN grown by molecular beam epitaxy and metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Kozhukhova, E. A.; Dabiran, A. M.; Chow, P. P.; Wowchak, A. M.; Lee, In-Hwan; Ju, Jin-Woo; Pearton, S. J.

    2009-10-01

    The electrical properties, admittance spectra, microcathodoluminescence, and deep trap spectra of p-AlGaN films with an Al mole fraction up to 45% grown by both metal organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) were compared. The ionization energy of Mg increases from 0.15 to 0.17 eV in p-GaN to 0.3 eV in 45% Al p-AlGaN. In p-GaN films grown by MBE and MOCVD and in MOCVD grown p-AlGaN, we observed additional acceptors with a concentration an order lower than that of Mg acceptors, with a higher hole capture cross section and an ionization energy close to that of Mg. For some of the MBE grown p-AlGaN, we also detected the presence of additional acceptor centers, but in that case the centers were located near the p-AlGaN layer interface with the semi-insulating AlGaN buffer and showed activation energies considerably lower than those of Mg.

  3. High-efficiency solar cells fabricated from direct-current magnetron sputtered n-indium tin oxide onto p-InP grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Technical Reports Server (NTRS)

    Li, X.; Wanlass, M. W.; Gessert, T. A.; Emery, K. A.; Coutts, T. J.

    1989-01-01

    An attempt is made to improve device efficiencies by depositing indium tin oxide onto epitaxially grown p-InP on p(+)-InP substrates. This leads to a reduction in the device series resistance, high-quality reproducible surfaces, and an improvement in the transport properties of the base layer. Moreover, many of the facets associated with badly characterized bulk liquid encapsulated Czochralski substrates used in previous investigations are removed in this way.

  4. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  5. Epitaxial growth of VO2 by periodic annealing

    NASA Astrophysics Data System (ADS)

    Tashman, J. W.; Lee, J. H.; Paik, H.; Moyer, J. A.; Misra, R.; Mundy, J. A.; Spila, T.; Merz, T. A.; Schubert, J.; Muller, D. A.; Schiffer, P.; Schlom, D. G.

    2014-02-01

    We report the growth of ultrathin VO2 films on rutile TiO2 (001) substrates via reactive molecular-beam epitaxy. The films were formed by the cyclical deposition of amorphous vanadium and its subsequent oxidation and transformation to VO2 via solid-phase epitaxy. Significant metal-insulator transitions were observed in films as thin as 2.3 nm, where a resistance change ΔR/R of 25 was measured. Low angle annular dark field scanning transmission electron microscopy was used in conjunction with electron energy loss spectroscopy to study the film/substrate interface and revealed the vanadium to be tetravalent and the titanium interdiffusion to be limited to 1.6 nm.

  6. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Liquid phase epitaxial growth of GaInAsP/InP laser structures

    NASA Astrophysics Data System (ADS)

    Nohavica, D.; Têminová, J.; Berková, D.; Zagrádková, M.; Kortan, I.; Zelinka, I.; Walachová, I.; Malina, V.

    1988-11-01

    A modified single-phase liquid phase epitaxy method was developed on the basis of a novel variant of the growth boat. The method was used to grow GaInAsP/InP double heterostructures for lasers emitting at 1.3 and 1.55 μm. The main properties of wide-contact diodes (radiation power and threshold current density) were adopted as the characteristics of the quality of heterostructures characterized by different configurations of active and guiding layers. The quality of the structure was confirmed by the fabrication of laser diodes of the following types: stripe with oxide insulation, clad-ridge waveguide, and double-channel planar buried.

  7. Effects of reaction-kinetic parameters on modeling reaction pathways in GaN MOVPE growth

    NASA Astrophysics Data System (ADS)

    Zhang, Hong; Zuo, Ran; Zhang, Guoyi

    2017-11-01

    In the modeling of the reaction-transport process in GaN MOVPE growth, the selections of kinetic parameters (activation energy Ea and pre-exponential factor A) for gas reactions are quite uncertain, which cause uncertainties in both gas reaction path and growth rate. In this study, numerical modeling of the reaction-transport process for GaN MOVPE growth in a vertical rotating disk reactor is conducted with varying kinetic parameters for main reaction paths. By comparisons of the molar concentrations of major Ga-containing species and the growth rates, the effects of kinetic parameters on gas reaction paths are determined. The results show that, depending on the values of the kinetic parameters, the gas reaction path may be dominated either by adduct/amide formation path, or by TMG pyrolysis path, or by both. Although the reaction path varies with different kinetic parameters, the predicted growth rates change only slightly because the total transport rate of Ga-containing species to the substrate changes slightly with reaction paths. This explains why previous authors using different chemical models predicted growth rates close to the experiment values. By varying the pre-exponential factor for the amide trimerization, it is found that the more trimers are formed, the lower the growth rates are than the experimental value, which indicates that trimers are poor growth precursors, because of thermal diffusion effect caused by high temperature gradient. The effective order for the contribution of major species to growth rate is found as: pyrolysis species > amides > trimers. The study also shows that radical reactions have little effect on gas reaction path because of the generation and depletion of H radicals in the chain reactions when NH2 is considered as the end species.

  8. New mechanism for autocatalytic decomposition of H2CO3 in the vapor phase.

    PubMed

    Ghoshal, Sourav; Hazra, Montu K

    2014-04-03

    In this article, we present high level ab initio calculations investigating the energetics of a new autocatalytic decomposition mechanism for carbonic acid (H2CO3) in the vapor phase. The calculation have been performed at the MP2 level of theory in conjunction with aug-cc-pVDZ, aug-cc-pVTZ, and 6-311++G(3df,3pd) basis sets as well as at the CCSD(T)/aug-cc-pVTZ level. The present study suggests that this new decomposition mechanism is effectively a near-barrierless process at room temperature and makes vapor phase of H2CO3 unstable even in the absence of water molecules. Our calculation at the MP2/aug-cc-pVTZ level predicts that the effective barrier, defined as the difference between the zero-point vibrational energy (ZPE) corrected energy of the transition state and the total energy of the isolated starting reactants in terms of bimolecular encounters, is nearly zero for the autocatalytic decomposition mechanism. The results at the CCSD(T)/aug-cc-pVTZ level of calculations suggest that the effective barrier, as defined above, is sensitive to some extent to the levels of calculations used, nevertheless, we find that the effective barrier height predicted at the CCSD(T)/aug-cc-pVTZ level is very small or in other words the autocatalytic decomposition mechanism presented in this work is a near-barrierless process as mentioned above. Thus, we suggest that this new autocatalytic decomposition mechanism has to be considered as the primary mechanism for the decomposition of carbonic acid, especially at its source, where the vapor phase concentration of H2CO3 molecules reaches its highest levels.

  9. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  10. Vapor-phase fabrication of β-iron oxide nanopyramids for lithium-ion battery anodes.

    PubMed

    Carraro, Giorgio; Barreca, Davide; Cruz-Yusta, Manuel; Gasparotto, Alberto; Maccato, Chiara; Morales, Julián; Sada, Cinzia; Sánchez, Luis

    2012-12-07

    The other polymorph: A vapor-phase route for the fabrication of β-Fe(2)O(3) nanomaterials on Ti substrates at 400-500 °C is reported. For the first time, the β polymorph is tested as anode for lithium batteries, exhibiting promising performances in terms of Li storage and rate capability. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    NASA Astrophysics Data System (ADS)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  12. Sintering behavior of ultrafine silicon carbide powders obtained by vapor phase reaction

    NASA Technical Reports Server (NTRS)

    Okabe, Y.; Miyachi, K.; Hojo, J.; Kato, A.

    1984-01-01

    The sintering behavior of ultrafine SiC powder with average particle size of about 0.01-0.06 microns produced by a vapor phase reaction of the Me4Si-H2 system was studied at the temperature range of 1400-2050 deg. It was found that the homogeneous dispersion of C on SiC particles is important to remove the surface oxide layer effectively. B and C and inhibitive effect on SiC grain growth.

  13. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) singlemore » crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.« less

  14. Columnar jointing in vapor-phase-altered, non-welded Cerro Galán Ignimbrite, Paycuqui, Argentina

    USGS Publications Warehouse

    Wright, Heather M.; Lesti, Chiara; Cas, Ray A.F.; Porreca, Massimiliano; Viramonte, Jose G.; Folkes, Christopher B.; Giordano, Guido

    2011-01-01

    Columnar jointing is thought to occur primarily in lavas and welded pyroclastic flow deposits. However, the non-welded Cerro Galán Ignimbrite at Paycuqui, Argentina, contains well-developed columnar joints that are instead due to high-temperature vapor-phase alteration of the deposit, where devitrification and vapor-phase crystallization have increased the density and cohesion of the upper half of the section. Thermal remanent magnetization analyses of entrained lithic clasts indicate high emplacement temperatures, above 630°C, but the lack of welding textures indicates temperatures below the glass transition temperature. In order to remain below the glass transition at 630°C, the minimum cooling rate prior to deposition was 3.0 × 10−3–8.5 × 10−2°C/min (depending on the experimental data used for comparison). Alternatively, if the deposit was emplaced above the glass transition temperature, conductive cooling alone was insufficient to prevent welding. Crack patterns (average, 4.5 sides to each polygon) and column diameters (average, 75 cm) are consistent with relatively rapid cooling, where advective heat loss due to vapor fluxing increases cooling over simple conductive heat transfer. The presence of regularly spaced, complex radiating joint patterns is consistent with fumarolic gas rise, where volatiles originated in the valley-confined drainage system below. Joint spacing is a proxy for cooling rates and is controlled by depositional thickness/valley width. We suggest that the formation of joints in high-temperature, non-welded deposits is aided by the presence of underlying external water, where vapor transfer causes crystallization in pore spaces, densifies the deposit, and helps prevent welding.

  15. Investigation of the asymmetric misfit dislocation morphology in epitaxial layers with the zinc-blende structure

    NASA Technical Reports Server (NTRS)

    Fox, Bradley A.; Jesser, William A.

    1990-01-01

    The source of the asymmetry in the dislocation morphology exhibited in the epitaxial growth of compound semiconductors on (100) was investigated. A thickness wedge of p- and n-type GaAs(0.95)P(0.05) was grown on GaAs by metalorganic chemical vapor deposition, and the effect of misorientation on the resolved shear stress for each slip system was calculated and eliminated as the source of the asymmetry. Another potential source of asymmetry, the thickness gradient, was also eliminated. Results show that the substrate misorientation and the thickness gradient do not significantly contribute to the asymmetry and that the dominant contributor to the asymmetry of misfit dislocations in the (001) epitaxial interface can be attributed to the differences in the Peierls barriers between the two types of dilocations in GaAsP/GaAs.

  16. Photoluminescence investigation of type-II GaSb/GaAs quantum dots grown by liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Yang; Hu, Shuhong; Xie, Hao; Lin, Hongyu; lu, Hongbo; Wang, Chao; Sun, Yan; Dai, Ning

    2018-06-01

    GaSb quantum dots (QDs) with an areal density of ∼1 × 1010 cm-2 are successfully grown by the modified (rapid slider) liquid phase epitaxy technique. The morphology of the QDs has been investigated by scanning electron microscope (SEM) and atom force microscope (AFM). The power-dependence and temperature-dependence photoluminescence (PL) spectra have been studied. The bright room-temperature PL suggests a good luminescence quality of GaSb QDs/GaAs matrix system. The type-II alignment of the GaSb QDs/GaAs matrix system is verified by the blue-shift of the QDs peak with the increase of excitation power. From the temperature-dependence PL spectra, the activation energy of QDs is determined to be 111 meV.

  17. Biodegradation of high concentrations of benzene vapors in a two phase partition stirred tank bioreactor.

    PubMed

    Karimi, Ali; Golbabaei, Farideh; Neghab, Masoud; Pourmand, Mohammad Reza; Nikpey, Ahmad; Mohammad, Kazem; Mehrnia, Momammad Reza

    2013-01-15

    The present study examined the biodegradation rate of benzene vapors in a two phase stirred tank bioreactor by a bacterial consortium obtained from wastewater of an oil industry refinery house. Initially, the ability of the microbial consortium for degrading benzene was evaluated before running the bioreactor. The gaseous samples from inlet and outlet of bioreactor were directly injected into a gas chromatograph to determine benzene concentrations. Carbone oxide concentration at the inlet and outlet of bioreactor were also measured with a CO2 meter to determine the mineralization rate of benzene. Influence of the second non-aqueous phase (silicon oil) has been emphasized, so at the first stage the removal efficiency (RE) and elimination capacity (EC) of benzene vapors were evaluated without any organic phase and in the second stage, 10% of silicon oil was added to bioreactor media as an organic phase. Addition of silicon oil increased the biodegradation performance up to an inlet loading of 5580 mg/m3, a condition at which, the elimination capacity and removal efficiency were 181 g/m3/h and 95% respectively. The elimination rate of benzene increased by 38% in the presence of 10% of silicone oil. The finding of this study demonstrated that two phase partition bioreactors (TPPBs) are potentially effective tools for the treatment of gas streams contaminated with high concentrations of poorly water soluble organic contaminant, such as benzene.

  18. Synthesis and Characterization of Quenched and Crystalline Phases: Q-Carbon, Q-BN, Diamond and Phase-Pure c-BN

    NASA Astrophysics Data System (ADS)

    Bhaumik, Anagh; Narayan, Jagdish

    2018-04-01

    We report the synthesis and characterization of quenched (Q-carbon and Q-BN) and crystalline (diamond and c-BN) phases using a non-equilibrium technique. These phases are formed as a result of the melting and subsequent quenching of amorphous carbon and nanocrystalline h-BN in a super undercooled state by using high-power nanosecond laser pulses. Pulsed laser annealing also leads to the formation of nanoneedles, microneedles and single-crystal thin films of diamond and c-BN. This formation is dependent on the nucleation and growth times, which are controlled by laser energy density and thermal conductivities of substrate and as-deposited thin film. The diamond nuclei present in the Q-carbon structure ( 80% sp 3) can also be grown to larger sizes using the equilibrium hot filament chemical vapor deposition process. The texture of diamond and c-BN crystals is <111> under epitaxial growth and <110> under rapid unseeded crystallization. Our nanosecond laser processing opens up a roadmap to the fabrication of novel phases on heat-sensitive substrates.

  19. Epitaxial Ce and the magnetism of single-crystal Ce/Nd superlattices

    NASA Astrophysics Data System (ADS)

    Clegg, P. S.; Goff, J. P.; McIntyre, G. J.; Ward, R. C.; Wells, M. R.

    2003-05-01

    The chemical structure of epitaxial γ cerium and the chemical and magnetic structures of cerium/neodymium superlattices have been studied using x-ray and neutron diffraction techniques. The samples were grown using molecular-beam epitaxy, optimized to yield the desired Ce allotropes. The x-ray measurements show that, in the superlattices, both constituents adopt the dhcp structure and that the stacking sequence remains intact down to T˜2 K; these are the first measurements of magnetic ordering in single-crystal dhcp Ce. The magnetic structure of the superlattices with thicker Nd layers exhibit incommensurate order and ferromagnetism on separate sublattices in a similar manner to Nd under applied pressure. The sample with thickest Ce layers has a magnetic structure similar to bulk β Ce, which has commensurate transverse modulation with a propagation wave vector [1/2 0 0] and moments along the hexagonal a direction. These two types of magnetic order appear to be mutually exclusive. γ Ce is the high-temperature fcc phase of Ce, our single-phase epitaxial sample is observed to go through a new, but partial, structural transition not previously seen in the bulk material.

  20. Phase diagram of nanoscale alloy particles used for vapor-liquid-solid growth of semiconductor nanowires.

    PubMed

    Sutter, Eli; Sutter, Peter

    2008-02-01

    We use transmission electron microscopy observations to establish the parts of the phase diagram of nanometer sized Au-Ge alloy drops at the tips of Ge nanowires (NWs) that determine their temperature-dependent equilibrium composition and, hence, their exchange of semiconductor material with the NWs. We find that the phase diagram of the nanoscale drop deviates significantly from that of the bulk alloy, which explains discrepancies between actual growth results and predictions on the basis of the bulk-phase equilibria. Our findings provide the basis for tailoring vapor-liquid-solid growth to achieve complex one-dimensional materials geometries.

  1. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  2. Low temperature vapor phase digestion of graphite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pierce, Robert A.

    2017-04-18

    A method for digestion and gasification of graphite for removal from an underlying surface is described. The method can be utilized to remove graphite remnants of a formation process from the formed metal piece in a cleaning process. The method can be particularly beneficial in cleaning castings formed with graphite molding materials. The method can utilize vaporous nitric acid (HNO.sub.3) or vaporous HNO.sub.3 with air/oxygen to digest the graphite at conditions that can avoid damage to the underlying surface.

  3. Lateral solid phase epitaxy of silicon and application to the fabrication of metal oxide semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Greene, Brian Joseph

    Thin film silicon on insulator fabrication is an increasingly important technology requirement for improving performance in future generation devices and circuits. One process for SOI fabrication that has recently been generating renewed interest is Lateral Solid Phase Epitaxy (LSPE) of silicon over oxide. This process involves annealing amorphous silicon that has been deposited on oxide patterned Si wafers. The (001) Si substrate forms the crystalline seed for epitaxial growth, permitting the generation of Si films that are both single crystal, and oriented to the substrate. This method is particularly attractive to fabrication that requires low temperature processing, because the Si films are deposited in the amorphous phase at temperatures near 525°C, and crystallized at temperatures near 570°C. It is also attractive for applications requiring three dimensional stacking of active silicon device layers, due to the relatively low temperatures involved. For sub-50 nm gate length MOSFET fabrication, an SOI thickness on the order of 10 nm will be required. One limitation of the LSPE process has been the need for thick films (0.5--2 mum) and/or heavy P doping (10 19--1020 cm-3) to increase the maximum achievable lateral growth distance, and therefore minimize the area on the substrate occupied by seed holes. This dissertation discusses the characterization and optimization of process conditions for large area LSPE silicon film growth, as well as efforts to adapt the traditional LSPE process to achieve ultra-thin SOI layers (Tsilicon ≤ 25 nm) while avoiding the use of heavy active doping layers. MOSFETs fabricated in these films that exhibit electron mobility comparable to the Universal Si MOS Mobility are described.

  4. Epitaxy of advanced nanowire quantum devices

    NASA Astrophysics Data System (ADS)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  5. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  6. Growth and Performance of GaInP/A1GaInP Visible Light Emitting Laser-Diodes,

    DTIC Science & Technology

    SEMICONDUCTOR LASERS, *EPITAXIAL GROWTH, ALLOYS, LAYERS, LOW PRESSURE, PRESSURE, QUALITY, ROOM TEMPERATURE, SUBSTRATES, GALLIUM PHOSPHIDES, INDIUM PHOSPHIDES, THERMAL PROPERTIES, ENERGY GAPS, ENERGY BANDS, VAPOR PHASES.

  7. SAPHIRA detector for infrared wavefront sensing

    NASA Astrophysics Data System (ADS)

    Finger, Gert; Baker, Ian; Alvarez, Domingo; Ives, Derek; Mehrgan, Leander; Meyer, Manfred; Stegmeier, Jörg; Weller, Harald J.

    2014-08-01

    The only way to overcome the CMOS noise barrier of near infrared sensors used for wavefront sensing and fringe tracking is the amplification of the photoelectron signal inside the infrared pixel by means of the avalanche gain. In 2007 ESO started a program at Selex to develop near infrared electron avalanche photodiode arrays (eAPD) for wavefront sensing and fringe tracking. In a first step the cutoff wavelength was reduced from 4.5 micron to 2.5 micron in order to verify that the dark current scales with the bandgap and can be reduced to less than one electron/ms, the value required for wavefront sensing. The growth technology was liquid phase epitaxy (LPE) with annular diodes based on the loophole interconnect technology. The arrays required deep cooling to 40K to achieve acceptable cosmetic performance at high APD gain. The second step was to develop a multiplexer tailored to the specific application of the GRAVITY instrument wavefront sensors and the fringe tracker. The pixel format is 320x256 pixels. The array has 32 parallel video outputs which are arranged in such a way that the full multiplex advantage is available also for small subwindows. Nondestructive readout schemes with subpixel sampling are possible. This reduces the readout noise at high APD gain well below the subelectron level at frame rates of 1 KHz. The third step was the change of the growth technology from liquid phase epitaxy to metal organic vapour phase epitaxy (MOVPE). This growth technology allows the band structure and doping to be controlled on a 0.1μm scale and provides more flexibility for the design of diode structures. The bandgap can be varied for different layers of Hg(1-x)CdxTe. It is possible to make heterojunctions and apply solid state engineering techniques. The change to MOVPE resulted in a dramatic improvement in the cosmetic quality with 99.97 % operable pixels at an operating temperature of 85K. Currently this sensor is deployed in the 4 wavefront sensors and in the

  8. Simultaneous imaging of fuel vapor mass fraction and gas-phase temperature inside gasoline sprays using two-line excitation tracer planar laser-induced fluorescence.

    PubMed

    Zigan, Lars; Trost, Johannes; Leipertz, Alfred

    2016-02-20

    This paper reports for the first time, to the best of our knowledge, on the simultaneous imaging of the gas-phase temperature and fuel vapor mass fraction distribution in a direct-injection spark-ignition (DISI) spray under engine-relevant conditions using tracer planar laser-induced fluorescence (TPLIF). For measurements in the spray, the fluorescence tracer 3-pentanone is added to the nonfluorescent surrogate fuel iso-octane, which is excited quasi-simultaneously by two different excimer lasers for two-line excitation LIF. The gas-phase temperature of the mixture of fuel vapor and surrounding gas and the fuel vapor mass fraction can be calculated from the two LIF signals. The measurements are conducted in a high-temperature, high-pressure injection chamber. The fluorescence calibration of the tracer was executed in a flow cell and extended significantly compared to the existing database. A detailed error analysis for both calibration and measurement is provided. Simultaneous single-shot gas-phase temperature and fuel vapor mass fraction fields are processed for the assessment of cyclic spray fluctuations.

  9. The gas phase emitter effect of lanthanum within ceramic metal halide lamps and its dependence on the La vapor pressure and operating frequency

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ruhrmann, C.; Hoebing, T.; Bergner, A.

    2015-08-07

    The gas phase emitter effect increases the lamp lifetime by lowering the work function and, with it, the temperature of the tungsten electrodes of metal halide lamps especially for lamps in ceramic vessels due to their high rare earth pressures. It is generated by a monolayer on the electrode surface of electropositive atoms of certain emitter elements, which are inserted into the lamp bulb by metal iodide salts. They are vaporized, dissociated, ionized, and deposited by an emitter ion current onto the electrode surface within the cathodic phase of lamp operation with a switched-dc or ac-current. The gas phase emittermore » effect of La and the influence of Na on the emitter effect of La are studied by spatially and phase-resolved pyrometric measurements of the electrode tip temperature, La atom, and ion densities by optical emission spectroscopy as well as optical broadband absorption spectroscopy and arc attachment images by short time photography. An addition of Na to the lamp filling increases the La vapor pressure within the lamp considerably, resulting in an improved gas phase emitter effect of La. Furthermore, the La vapor pressure is raised by a heating of the cold spot. In this way, conditions depending on the La vapor pressure and operating frequency are identified, at which the temperature of the electrodes becomes a minimum.« less

  10. Effects of external mechanical loading on phase diagrams and dielectric properties in epitaxial ferroelectric thin films with anisotropic in-plane misfit strains

    NASA Astrophysics Data System (ADS)

    Qiu, J. H.; Jiang, Q.

    2007-02-01

    A phenomenological Landau-Devonshine theory is used to describe the effects of external mechanical loading on equilibrium polarization states and dielectric properties in epitaxial ferroelectric thin films grown on dissimilar orthorhombic substrates which induce anisotropic misfit strains in the film plane. The calculation focuses on single-domain perovskite BaTiO3 and PbTiO3 thin films on the assumption that um1=-um2. Compared with the phase diagrams without external loading, the characteristic features of "misfit strain-misfit strain" phase diagrams at room temperature are the presence of paraelectric phase and the strain-induced ferroelectric to paraelectric phase transition. Due to the external loading, the "misfit strain-stress" and "stress-temperature" phase diagrams also have drastic changes, especially for the vanishing of paraelectric phase in "misfit strain-stress" phase map and the appearance of possible ferroelectric phases. We also investigate the dielectric properties and the tunability of both BaTiO3 and PbTiO3 thin films. We find that the external stress dependence of phase diagrams and dielectric properties largely depends on strain anisotropy as well.

  11. Study of subband electronic structure of Si δ-doped GaAs using magnetotransport measurements in tilted magnetic fields

    NASA Astrophysics Data System (ADS)

    Li, G.; Hauser, N.; Jagadish, C.; Antoszewski, J.; Xu, W.

    1996-06-01

    Si δ-doped GaAs grown by metal organic vapor phase epitaxy (MOVPE) is characterized using magnetotransport measurements in tilted magnetic fields. Angular dependence of the longitudinal magnetoresistance (Rxx) vs the magnetic field (B) traces in tilted magnetic fields is used to examine the existence of a quasi-two-dimensional electron gas. The subband electron densities (ni) are obtained applying fast Fourier transform (FFT) analysis to the Rxx vs B trace and using mobility spectrum (MS) analysis of the magnetic field dependent Hall data. Our results show that (1) the subband electron densities remain roughly constant when the tilted magnetic field with an angle <30° measured from the Si δ-doped plane normal is ramped up to 13 T; (2) FFT analysis of the Rxx vs B trace and MS analysis of the magnetic field dependent Hall data both give the comparable results on subband electron densities of Si δ-doped GaAs with low δ-doping concentration, however, for Si δ-doped GaAs with very high δ-doping concentration, the occupation of the lowest subbands cannot be well resolved in the MS analysis; (3) the highest subband electron mobility reported to date of 45 282 cm2/s V is observed in Si δ-doped GaAs at 77 K in the dark; and (4) the subband electron densities of Si δ-doped GaAs grown by MOVPE at 700 °C are comparable to those grown by MBE at temperatures below 600 °C. A detailed study of magnetotransport properties of Si δ-doped GaAs in the parallel magnetic fields is then carried out to further confirm the subband electronic structures revealed by FFT and MS analysis. Our results are compared to theoretical calculation previously reported in literature. In addition, influence of different cap layer structures on subband electronic structures of Si δ-doped GaAs is observed and also discussed.

  12. The influence of point defects on the thermal conductivity of AlN crystals

    NASA Astrophysics Data System (ADS)

    Rounds, Robert; Sarkar, Biplab; Alden, Dorian; Guo, Qiang; Klump, Andrew; Hartmann, Carsten; Nagashima, Toru; Kirste, Ronny; Franke, Alexander; Bickermann, Matthias; Kumagai, Yoshinao; Sitar, Zlatko; Collazo, Ramón

    2018-05-01

    The average bulk thermal conductivity of free-standing physical vapor transport and hydride vapor phase epitaxy single crystal AlN samples with different impurity concentrations is analyzed using the 3ω method in the temperature range of 30-325 K. AlN wafers grown by physical vapor transport show significant variation in thermal conductivity at room temperature with values ranging between 268 W/m K and 339 W/m K. AlN crystals grown by hydride vapor phase epitaxy yield values between 298 W/m K and 341 W/m K at room temperature, suggesting that the same fundamental mechanisms limit the thermal conductivity of AlN grown by both techniques. All samples in this work show phonon resonance behavior resulting from incorporated point defects. Samples shown by optical analysis to contain carbon-silicon complexes exhibit higher thermal conductivity above 100 K. Phonon scattering by point defects is determined to be the main limiting factor for thermal conductivity of AlN within the investigated temperature range.

  13. Abrupt GaN/p-GaN:Mg junctions grown via metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Gupta, Chirag; Alhassan, Abdullah; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-11-01

    An improvement in the suppression of surface riding of magnesium from p-GaN:Mg into subsequent layers was achieved via low temperature flow modulation epitaxy. In particular, the slope of the Mg concentration drop was reduced to 5 nm/dec for a growth temperature of 620 °C — the lowest value ever reported for metalorganic chemical vapor deposition. The electrical quality of the top layer was verified by creating a two-dimensional electron gas on top of the buried p-GaN layer, which exhibited a mobility of 1300 cm2 V-1 s-1. In addition, layers grown using flow modulation epitaxy were shown to block the propagation of Mg more efficiently than samples in which an ex situ wet etch was used.

  14. Growth and properties of semi-metallic and semiconducting phases of MoTe2 monolayer by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Chen, Jinglei; Wang, Guanyong; Tang, Yanan; Xu, Jinpeng; Dai, Xianqi; Jia, Jinfeng; Ho, Wingkin; Xie, Maohai

    Hexagonal (2H) and distorted octahedral (1T') phases are the two common structures of monolayer MoTe2 showing, respectively, semiconducting and semi-metallic properties. The formation energies between the two structures of MoTe2 are almost equal, so there is a high chance to tune the structures of MoTe2 and to bring in new applications such as phase-change electronics. In this work, we report growth of both 2H and 1T' MoTe2 ML by molecular-beam epitaxy (MBE) and demonstrate the tunability of the structural phases by changing the growth conditions of MBE. We present experimental and theoretical evidences showing the important role of Te surface adsorption in promoting and stabilizing the otherwise metastable 1T'-MoTe2 during MBE. By scanning tunneling microscopy and spectroscopy, we also reveal quantum dot states and quantum inter-valley interference patterns in the 2H and 1T' domains, respectively. RGC(HKU9/CRF/13G), the Ministry of Science and Technology of China(2013CB921902), NSFC (11521404, 11227404), NSFC (11504334 and U1404109).

  15. Gaalas/Gaas Solar Cell Process Study

    NASA Technical Reports Server (NTRS)

    Almgren, D. W.; Csigi, K. I.

    1980-01-01

    Available information on liquid phase, vapor phase (including chemical vapor deposition) and molecular beam epitaxy growth procedures that could be used to fabricate single crystal, heteroface, (AlGa) As/GaAs solar cells, for space applications is summarized. A comparison of the basic cost elements of the epitaxy growth processes shows that the current infinite melt LPE process has the lower cost per cell for an annual production rate of 10,000 cells. The metal organic chemical vapor deposition (MO-CVD) process has the potential for low cost production of solar cells but there is currently a significant uncertainty in process yield, i.e., the fraction of active material in the input gas stream that ends up in the cell. Additional work is needed to optimize and document the process parameters for the MO-CVD process.

  16. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  17. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    DOEpatents

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  18. Infrared spectroscopic studies of the conformation in ethyl alpha-haloacetates in the vapor, liquid and solid phases.

    PubMed

    Jassem, Naserallah A; El-Bermani, Muhsin F

    2010-07-01

    Infrared spectra of ethyl alpha-fluoroacetate, ethyl alpha-chloroacetate, ethyl alpha-bromoacetate and ethyl alpha-iodoacetate have been measured in the solid, liquid and vapor phases in the region 4000-200 cm(-1). Vibrational frequency assignment of the observed bands to the appropriate modes of vibration was made. Calculations at DFT B3LYP/6-311+G** level, Job: conformer distribution, using Spartan program '08, release 132 was made to determine which conformers exist in which molecule. The results indicated that the first compound exists as an equilibrium mixture of cis and trans conformers and the other three compounds exist as equilibrium mixtures of cis and gauche conformers. Enthalpy differences between the conformers have been determined experimentally for each compound and for every phase. The values indicated that the trans of the first compound is more stable in the vapor phase, while the cis is the more stable in both the liquid and solid phases. In the other three compounds the gauche is more stable in the vapor and liquid phases, while the cis conformer is the more stable in the solid phase for each of the second and third compound, except for ethyl alpha-iodoacetate, the gauche conformer is the more stable over the three phases. Molar energy of activation Ea and the pseudo-thermodynamic parameters of activation DeltaH(double dagger), DeltaS(double dagger) and DeltaG(double dagger) were determined in the solid phase by applying Arrhenius equation; using bands arising from single conformers. The respective E(a) values of these compounds are 5.1+/-0.4, 6.7+/-0.1, 7.5+/-1.3 and 12.0+/-0.6 kJ mol(-1). Potential energy surface calculations were made at two levels; for ethyl alpha-fluoroacetate and ethyl alpha-chloroacetate; the calculations were established at DFT B3LYP/6-311+G** level and for ethyl alpha-bromoacetate and ethyl alpha-iodoacetate at DFT B3LYP/6-311G* level. The results showed no potential energy minimum exists for the gauche conformer in

  19. Copper-related defects in In0.53Ga0.47As grown by liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Tilly, L. P.; Grimmeiss, H. G.; Hansson, P. O.

    1993-01-01

    High-purity In0.53Ga0.47As lattice matched to InP was grown by liquid-phase epitaxy and used for the study of Cu-related defects. The samples had a free-electron carrier concentration of n=5.0×1014 cm-3 and an electron mobility of μ77 K=44 000 cm2/V s. A Cu-related acceptor level 25 meV above the valence-band edge was identified using photoluminescence measurements. Comparing the energy position of this shallow acceptor level with the Ev+157.8-meV Cu-acceptor level in GaAs supports the assumption of an internal energy reference level [J. M. Langer, C. Delerue, M. Lannoo, and H. Heinrich, Phys. Rev. B 38, 7723 (1988)] common to GaAs and InxGa1-xAs.

  20. In vivo droplet vaporization for occlusion therapy and phase aberration correction.

    PubMed

    Kripfgans, Oliver D; Fowlkes, J Brian; Woydt, Michael; Eldevik, Odd P; Carson, Paul L

    2002-06-01

    The objective was to determine whether a transpulmonary droplet emulsion (90%, <6 microm diameter) could be used to form large gas bubbles (>30 microm) temporarily in vivo. Such bubbles could occlude a targeted capillary bed when used in a large number density. Alternatively, for a very sparse population of droplets, the resulting gas bubbles could serve as point beacons for phase aberration corrections in ultrasonic imaging. Gas bubbles can be made in vivo by acoustic droplet vaporization (ADV) of injected, superheated, dodecafluoropentane droplets. Droplets vaporize in an acoustic field whose peak rarefactional pressure exceeds a well-defined threshold. In this new work, it has been found that intraarterial and intravenous injections can be used to introduce the emulsion into the blood stream for subsequent ADV (B- and M-mode on a clinical scanner) in situ. Intravenous administration results in a lower gas bubble yield, possibly because of filtering in the lung, dilution in the blood volume, or other circulatory effects. Results show that for occlusion purposes, a reduction in regional blood flow of 34% can be achieved. Individual point beacons with a +24 dB backscatter amplitude relative to white matter were created by intravenous injection and ADV.