Sample records for vapour deposition cvd

  1. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    NASA Astrophysics Data System (ADS)

    Kirchheim, Dennis; Jaritz, Montgomery; Mitschker, Felix; Gebhard, Maximilian; Brochhagen, Markus; Hopmann, Christian; Böke, Marc; Devi, Anjana; Awakowicz, Peter; Dahlmann, Rainer

    2017-03-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments.

  2. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  3. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suh, Hyo Seon; Kim, Do Han; Moni, Priya

    2017-03-27

    Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocksmore » of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. As a result, the ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.« less

  4. Low-temperature graphene synthesis using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  5. Long distance spin communication in chemical vapour deposited graphene

    NASA Astrophysics Data System (ADS)

    Kamalakar, M. Venkata; Groenveld, Christiaan; Dankert, André; Dash, Saroj P.

    2015-04-01

    Graphene is an ideal medium for long-distance spin communication in future spintronic technologies. So far, the prospect is limited by the smaller sizes of exfoliated graphene flakes and lower spin transport properties of large-area chemical vapour-deposited (CVD) graphene. Here we demonstrate a high spintronic performance in CVD graphene on SiO2/Si substrate at room temperature. We show pure spin transport and precession over long channel lengths extending up to 16 μm with a spin lifetime of 1.2 ns and a spin diffusion length ~6 μm at room temperature. These spin parameters are up to six times higher than previous reports and highest at room temperature for any form of pristine graphene on industrial standard SiO2/Si substrates. Our detailed investigation reinforces the observed performance in CVD graphene over wafer scale and opens up new prospects for the development of lateral spin-based memory and logic applications.

  6. Micro-strip sensors based on CVD diamond

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  7. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference inmore » the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.« less

  8. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    NASA Astrophysics Data System (ADS)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  9. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  10. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration

    NASA Astrophysics Data System (ADS)

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A.; Yoo, Jung Ho; Lee, Kyoung G.; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-03-01

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties.

  11. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration.

    PubMed

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A; Yoo, Jung Ho; Lee, Kyoung G; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-03-10

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties.

  12. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration

    PubMed Central

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A; Yoo, Jung Ho; Lee, Kyoung G.; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-01-01

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties. PMID:26961409

  13. Controllable growth of shaped graphene domains by atmospheric pressure chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Fan, Lili; Li, Zhen; Li, Xiao; Wang, Kunlin; Zhong, Minlin; Wei, Jinquan; Wu, Dehai; Zhu, Hongwei

    2011-12-01

    Graphene domains in different shapes have been grown on copper substrates via atmospheric pressure chemical vapour deposition by controlling the growth process parameters. Under stabilized conditions, graphene domains tend to be six-fold symmetric hexagons under low flow rate methane with some domains in an irregular hexagonal shape. After further varying the growth duration, methane flow rate, and temperature, graphene domains have developed shapes from hexagon to shovel and dendrite. Two connecting modes, through overlap and merging of adjacent graphene domains, are proposed.Graphene domains in different shapes have been grown on copper substrates via atmospheric pressure chemical vapour deposition by controlling the growth process parameters. Under stabilized conditions, graphene domains tend to be six-fold symmetric hexagons under low flow rate methane with some domains in an irregular hexagonal shape. After further varying the growth duration, methane flow rate, and temperature, graphene domains have developed shapes from hexagon to shovel and dendrite. Two connecting modes, through overlap and merging of adjacent graphene domains, are proposed. Electronic supplementary information (ESI) available: Schematics of CVD setups for graphene growth, Raman spectra and SEM images. See DOI: 10.1039/c1nr11480h

  14. Approaching the resolution limit of W-C nano-gaps using focused ion beam chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Dai, Jun; Chang, Hui; Maeda, Etsuo; Warisawa, Shin'ichi; Kometani, Reo

    2018-01-01

    Nano-gaps are fundamental building blocks for nanochannels, plasmonic nanostructures and superconducting Josephson junctions. We present a systematic study on the formation mechanism and resolution limit of W-C nano-gaps fabricated using focused-ion-beam chemical vapour deposition (FIB-CVD). First, the deposition size of the nanostructures is evaluated. The size averaged over 100 dots is 32 nm at FWHM. Line and space are also fabricated with the smallest size, having a spacing of only 5 nm at FWHM. Then, a model is developed to study the formation mechanism and provides the design basis for W-C nano-gaps. Both experimental and simulation results reveal that the shrinkage of W-C nano-gaps is accelerated as the Gaussian parts of the nano-wire profiles overlap. A Nano-gap with a length of 5 nm and height difference as high as 42 nm is synthesized. We believe that FIB-CVD opens avenues for novel functional nanodevices that can be potentially used for biosensing, photodetecting, or quantum computing.

  15. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    NASA Technical Reports Server (NTRS)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  16. On The Stability Of Model Flows For Chemical Vapour Deposition

    NASA Astrophysics Data System (ADS)

    Miller, Robert

    2016-11-01

    The flow in a chemical vapour deposition (CVD) reactor is assessed. The reactor is modelled as a flow over an infinite-radius rotating disk, where the mean flow and convective instability of the disk boundary layer are measured. Temperature-dependent viscosity and enforced axial flow are used to model the steep temperature gradients present in CVD reactors and the pumping of the gas towards the disk, respectively. Increasing the temperature-dependence parameter of the fluid viscosity (ɛ) results in an overall narrowing of the fluid boundary layer. Increasing the axial flow strength parameter (Ts) accelerates the fluid both radially and axially, while also narrowing the thermal boundary layer. It is seen that when both effects are imposed, the effects of axial flow generally dominate those of the viscosity temperature dependence. A local stability analysis is performed and the linearized stability equations are solved using a Galerkin projection in terms of Chebyshev polynomials. The neutral stability curves are then plotted for a range of ɛ and Ts values. Preliminary results suggest that increasing Ts has a stabilising effect on both type I and type II stationary instabilities, while small increases in ɛ results in a significant reduction to the critical Reynolds number.

  17. Synthesis of carbon nanostructures from high density polyethylene (HDPE) and polyethylene terephthalate (PET) waste by chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Hatta, M. N. M.; Hashim, M. S.; Hussin, R.; Aida, S.; Kamdi, Z.; Ainuddin, AR; Yunos, MZ

    2017-10-01

    In this study, carbon nanostructures were synthesized from High Density Polyethylene (HDPE) and Polyethylene terephthalate (PET) waste by single-stage chemical vapour deposition (CVD) method. In CVD, iron was used as catalyst and pyrolitic of carbon source was conducted at temperature 700, 800 and 900°C for 30 minutes. Argon gas was used as carrier gas with flow at 90 sccm. The synthesized carbon nanostructures were characterized by FESEM, EDS and calculation of carbon yield (%). FESEM micrograph shows that the carbon nanostructures were only grown as nanofilament when synthesized from PET waste. The synthesization of carbon nanostructure at 700°C was produced smooth and the smallest diameter nanofilament compared to others. The carbon yield of synthesized carbon nanostructures from PET was lower from HDPE. Furthermore, the carbon yield is recorded to increase with increasing of reaction temperature for all samples. Elemental study by EDS analysis were carried out and the formation of carbon nanostructures was confirmed after CVD process. Utilization of polymer waste to produce carbon nanostructures is beneficial to ensure that the carbon nanotechnology will be sustained in future.

  18. Heterocrystal and bicrystal structures of ZnS nanowires synthesized by plasma enhanced chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Jie, J. S.; Zhang, W. J.; Jiang, Y.; Meng, X. M.; Zapien, J. A.; Shao, M. W.; Lee, S. T.

    2006-06-01

    ZnS nanowires with heterocrystal and bicrystal structures were successfully synthesized using the DC-plasma chemical vapour deposition (CVD) method. The heterocrystalline ZnS nanowires have the zinc blende (ZB) and wurtzite (WZ) zones aligned alternately in the transverse direction but without an obvious period. The bicrystal ZnS nanowires are composed of two ZB fractions separated by a clear grain boundary along the length. Significantly, the grain boundaries in both the heterocrystal and bicrystal structures are atomically sharp without any visible lattice distortion. The effects of plasma species, ion bombardment, and silicon impurities in the formation of these distinctive structures are discussed. A defect-induced red-shift and broadening of the band-gap emission are revealed in photoluminescence (PL) and cathodoluminescence (CL) measurements.

  19. Combining single source chemical vapour deposition precursors to explore the phase space of titanium oxynitride thin films.

    PubMed

    Rees, Kelly; Lorusso, Emanuela; Cosham, Samuel D; Kulak, Alexander N; Hyett, Geoffrey

    2018-02-14

    In this paper we report on a novel chemical vapour deposition approach to the formation and control of composition of mixed anion materials, as applied to titanium oxynitride thin films. The method used is the aerosol assisted chemical vapour deposition (AACVD) of a mixture of single source precursors. To explore the titanium-oxygen-nitrogen system the single source precursors selected were tetrakis(dimethylamido) titanium and titanium tetraisopropoxide which individually are precursors to thin films of titanium nitride and titanium dioxide respectively. However, by combining these precursors in specific ratios in a series of AACVD reactions at 400 °C, we are able to deposit thin films of titanium oxynitride with three different structure types and a wide range of compositions. Using this precursor system we can observe films of nitrogen doped anatase, with 25% anion doping of nitrogen; a new composition of pseudobrookite titanium oxynitride with a composition of Ti 3 O 3.5 N 1.5 , identified as being a UV photocatalyst; and rock-salt titanium oxynitride in the range TiO 0.41 N 0.59 to TiO 0.05 N 0.95 . The films were characterised using GIXRD, WDX and UV-vis spectroscopy, and in the case of the pseudobrookite films, assessed for photocatalytic activity. This work shows that a so-called dual single-source CVD approach is an effective method for the deposition of ternary mixed anion ceramic films through simple control of the ratio of the precursors, while keeping all other experimental parameters constant.

  20. Ballistic Josephson junctions based on CVD graphene

    NASA Astrophysics Data System (ADS)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  1. EDC-mediated DNA attachment to nanocrystalline CVD diamond films.

    PubMed

    Christiaens, P; Vermeeren, V; Wenmackers, S; Daenen, M; Haenen, K; Nesládek, M; vandeVen, M; Ameloot, M; Michiels, L; Wagner, P

    2006-08-15

    Chemical vapour deposited (CVD) diamond is a very promising material for biosensor fabrication owing both to its chemical inertness and the ability to make it electrical semiconducting that allows for connection with integrated circuits. For biosensor construction, a biochemical method to immobilize nucleic acids to a diamond surface has been developed. Nanocrystalline diamond is grown using microwave plasma-enhanced chemical vapour deposition (MPECVD). After hydrogenation of the surface, 10-undecenoic acid, an omega-unsaturated fatty acid, is tethered by 254 nm photochemical attachment. This is followed by 1-ethyl-3-[3-dimethylaminopropyl]carbodiimide (EDC)-mediated attachment of amino (NH(2))-modified dsDNA. The functionality of the covalently bound dsDNA molecules is confirmed by fluorescence measurements, PCR and gel electrophoresis during 35 denaturation and rehybridisation steps. The linking method after the fatty acid attachment can easily be applied to other biomolecules like antibodies and enzymes.

  2. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    PubMed

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  3. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    NASA Astrophysics Data System (ADS)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  4. Chemical vapour deposition growth of carbon nanotube forests: kinetics, morphology, composition, and their mechanisms

    NASA Astrophysics Data System (ADS)

    Vinten, Phillip

    This thesis analyzes the chemical vapour deposition (CVD) growth of vertically aligned carbon nanotube (CNT) forests in order to understand how CNT forests grow, why they stop growing, and how to control the properties of the synthesized CNTs. in situ kinetics data of the growth of CNT forests are gathered by in situ optical microscopy. The overall morphology of the forests and the characteristics of the individual CNTs in the forests are investigated using scanning electron microscopy and Raman spectroscopy. The in situ data show that forest growth and termination are activated processes (with activation energies on the order of 1 eV), suggesting a possible chemical origin. The activation energy changes at a critical temperature for ethanol CVD (approximately 870°C). These activation energies and critical temperature are also seen in the temperature dependence of several important characteristics of the CNTs, including the defect density as determined by Raman spectroscopy. This observation is seen across several CVD processes and suggests a mechanism of defect healing. The CNT diameter also depends on the growth temperature. In this thesis, a thermodynamic model is proposed. This model predicts a temperature and pressure dependence of the CNT diameter from the thermodynamics of the synthesis reaction and the effect of strain on the enthalpy of formation of CNTs. The forest morphology suggests significant interaction between the constituent CNTs. These interactions may play a role in termination. The morphology, in particular a microscale rippling feature that is capable of diffracting light, suggest a non-uniform growth rate across the forest. A gas phase diffusion model predicts a non-uniform distribution of the source gas. This gas phase diffusion is suggested as a possible explanation for the non-uniform growth rate. The gas phase diffusion is important because growth by acetylene CVD is found to be very efficient (approximately 30% of the acetylene is

  5. Temporal Stability of Metal-Chloride-Doped Chemical-Vapour-Deposited Graphene.

    PubMed

    Kang, Moon H; Milne, William I; Cole, Matthew T

    2016-08-18

    Graphene has proven to be a promising material for transparent flexible electronics. In this study, we report the development of a transfer and doping scheme of large-area chemical vapour deposited (CVD) graphene. A technique to transfer the as-grown material onto mechanically flexible and optically transparent polymeric substrates using an ultraviolet adhesive (UVA) is outlined, along with the temporal stability of the sheet resistance and optical transparency following chemical doping with various metal chlorides (Mx Cly The sheet resistance (RS ) and 550 nm optical transparency (%T550 ) of the transferred un-doped graphene was 3.5 kΩ sq(-1) (±0.2 kΩ sq(-1) ) and 84.1 % (±2.9 %), respectively. Doping with AuCl3 showed a notable reduction in RS by some 71.4 % (to 0.93 kΩ sq(-1) ) with a corresponding %T550 of 77.0 %. After 200 h exposure to air at standard temperature and pressure, the increase in RS was found to be negligible (ΔRS AuCl3 =0.06 kΩ sq(-1) ), indicating that, of the considered Mx Cly species, AuCl3 doping offered the highest degree of time stability under ambient conditions. There appears a tendency of increasing RS with time for the remaining metal chlorides studied. We attribute the observed temporal shift to desorption of molecular dopants. We find that desorption was most significant in RhCl3 -doped samples whereas, in contrast, after 200 h in ambient conditions, AuCl3 -doped graphene showed only marginal desorption. The results of this study demonstrate that chemical doping of UVA-transferred graphene is a promising means for enhancing large-area CVD graphene in order to realise a viable platform for next-generation optically transparent and mechanically flexible electronics. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    NASA Astrophysics Data System (ADS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  7. Chemical Vapour Deposition of Graphene with Re-useable Pt and Cu substrates for Flexible Electronics

    NASA Astrophysics Data System (ADS)

    Karamat, Shumaila; Sonusen, Selda; Celik, Umit; Uysalli, Yigit; Oral, Ahmet

    2015-03-01

    Graphene has gained the attention of scientific world due to its outstanding physical properties. The future demand of flexible electronics such as solar cells, light emitting diodes, photo-detectors and touch screen technology requires more exploration of graphene properties on flexible substrates. The most interesting application of graphene is in organic light emitting diodes (OLED) where efforts are in progress to replace brittle indium tin oxide (ITO) electrode with a flexible graphene electrode because ITO raw materials are becoming increasingly expensive, and its brittle nature makes it unsuitable for flexible devices. In this work, we grow graphene on Pt and Cu substrates using chemical vapour deposition (CVD) and transferred it to a polymer material (PVA) using lamination technique. We used hydrogen bubbling method for separating graphene from Pt and Cu catalyst to reuse the substrates many times. After successful transfer of graphene on polymer samples, we checked the resistivity values of the graphene sheet which varies with growth conditions. Furthermore, Raman, atomic force microscopy (AFM), I-V and Force-displacement measurements will be presented for these samples.

  8. Aerosol assisted chemical vapour deposition of gas sensitive SnO2 and Au-functionalised SnO2 nanorods via a non-catalysed vapour solid (VS) mechanism

    PubMed Central

    Vallejos, Stella; Selina, Soultana; Annanouch, Fatima Ezahra; Gràcia, Isabel; Llobet, Eduard; Blackman, Chris

    2016-01-01

    Tin oxide nanorods (NRs) are vapour synthesised at relatively lower temperatures than previously reported and without the need for substrate pre-treatment, via a vapour-solid mechanism enabled using an aerosol-assisted chemical vapour deposition method. Results demonstrate that the growth of SnO2 NRs is promoted by a compression of the nucleation rate parallel to the substrate and a decrease of the energy barrier for growth perpendicular to the substrate, which are controlled via the deposition conditions. This method provides both single-step formation of the SnO2 NRs and their integration with silicon micromachined platforms, but also allows for in-situ functionalization of the NRs with gold nanoparticles via co-deposition with a gold precursor. The functional properties are demonstrated for gas sensing, with microsensors using functionalised NRs demonstrating enhanced sensing properties towards H2 compared to those based on non-functionalised NRs. PMID:27334232

  9. Catalytic CVD synthesis of boron nitride and carbon nanomaterials - synergies between experiment and theory.

    PubMed

    McLean, Ben; Eveleens, Clothilde A; Mitchell, Izaac; Webber, Grant B; Page, Alister J

    2017-10-11

    Low-dimensional carbon and boron nitride nanomaterials - hexagonal boron nitride, graphene, boron nitride nanotubes and carbon nanotubes - remain at the forefront of advanced materials research. Catalytic chemical vapour deposition has become an invaluable technique for reliably and cost-effectively synthesising these materials. In this review, we will emphasise how a synergy between experimental and theoretical methods has enhanced the understanding and optimisation of this synthetic technique. This review examines recent advances in the application of CVD to synthesising boron nitride and carbon nanomaterials and highlights where, in many cases, molecular simulations and quantum chemistry have provided key insights complementary to experimental investigation. This synergy is particularly prominent in the field of carbon nanotube and graphene CVD synthesis, and we propose here it will be the key to future advances in optimisation of CVD synthesis of boron nitride nanomaterials, boron nitride - carbon composite materials, and other nanomaterials generally.

  10. Giant spin Hall effect in graphene grown by chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, Jayakumar; Koon, Gavin Kok Wai; Avsar, Ahmet; Ho, Yuda; Lee, Jong Hak; Jaiswal, Manu; Baeck, Seung-Jae; Ahn, Jong-Hyun; Ferreira, Aires; Cazalilla, Miguel A.; Neto, Antonio H. Castro; Özyilmaz, Barbaros

    2014-09-01

    Advances in large-area graphene synthesis via chemical vapour deposition on metals like copper were instrumental in the demonstration of graphene-based novel, wafer-scale electronic circuits and proof-of-concept applications such as flexible touch panels. Here, we show that graphene grown by chemical vapour deposition on copper is equally promising for spintronics applications. In contrast to natural graphene, our experiments demonstrate that chemically synthesized graphene has a strong spin-orbit coupling as high as 20 meV giving rise to a giant spin Hall effect. The exceptionally large spin Hall angle ~0.2 provides an important step towards graphene-based spintronics devices within existing complementary metal-oxide-semiconductor technology. Our microscopic model shows that unavoidable residual copper adatom clusters act as local spin-orbit scatterers and, in the resonant scattering limit, induce transverse spin currents with enhanced skew-scattering contribution. Our findings are confirmed independently by introducing metallic adatoms-copper, silver and gold on exfoliated graphene samples.

  11. Ballistic transport in graphene grown by chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calado, V. E.; Goswami, S.; Xu, Q.

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  12. Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Mengdi, E-mail: M.Yang@utwente.nl; Aarnink, Antonius A. I.; Kovalgin, Alexey Y.

    2016-01-15

    In this work, the authors developed hot-wire assisted atomic layer deposition (HWALD) to deposit tungsten (W) with a tungsten filament heated up to 1700–2000 °C. Atomic hydrogen (at-H) was generated by dissociation of molecular hydrogen (H{sub 2}), which reacted with WF{sub 6} at the substrate to deposit W. The growth behavior was monitored in real time by an in situ spectroscopic ellipsometer. In this work, the authors compare samples with tungsten grown by either HWALD or chemical vapor deposition (CVD) in terms of growth kinetics and properties. For CVD, the samples were made in a mixture of WF{sub 6} and molecularmore » or atomic hydrogen. Resistivity of the WF{sub 6}-H{sub 2} CVD layers was 20 μΩ·cm, whereas for the WF{sub 6}-at-H-CVD layers, it was 28 μΩ·cm. Interestingly, the resistivity was as high as 100 μΩ·cm for the HWALD films, although the tungsten films were 99% pure according to x-ray photoelectron spectroscopy. X-ray diffraction reveals that the HWALD W was crystallized as β-W, whereas both CVD films were in the α-W phase.« less

  13. A difference in using atomic layer deposition or physical vapour deposition TiN as electrode material in metal-insulator-metal and metal-insulator-silicon capacitors.

    PubMed

    Groenland, A W; Wolters, R A M; Kovalgin, A Y; Schmitz, J

    2011-09-01

    In this work, metal-insulator-metal (MIM) and metal-insulator-silicon (MIS) capacitors are studied using titanium nitride (TiN) as the electrode material. The effect of structural defects on the electrical properties on MIS and MIM capacitors is studied for various electrode configurations. In the MIM capacitors the bottom electrode is a patterned 100 nm TiN layer (called BE type 1), deposited via sputtering, while MIS capacitors have a flat bottom electrode (called BE type 2-silicon substrate). A high quality 50-100 nm thick SiO2 layer, made by inductively-coupled plasma CVD at 150 degrees C, is deposited as a dielectric on top of both types of bottom electrodes. BE type 1 (MIM) capacitors have a varying from low to high concentration of structural defects in the SiO2 layer. BE type 2 (MIS) capacitors have a low concentration of structural defects and are used as a reference. Two sets of each capacitor design are fabricated with the TiN top electrode deposited either via physical vapour deposition (PVD, i.e., sputtering) or atomic layer deposition (ALD). The MIM and MIS capacitors are electrically characterized in terms of the leakage current at an electric field of 0.1 MV/cm (I leak) and for different structural defect concentrations. It is shown that the structural defects only show up in the electrical characteristics of BE type 1 capacitors with an ALD TiN-based top electrode. This is due to the excellent step coverage of the ALD process. This work clearly demonstrates the sensitivity to process-induced structural defects, when ALD is used as a step in process integration of conductors on insulation materials.

  14. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    NASA Astrophysics Data System (ADS)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  15. Acetylene-sourced CVD-synthesised catalytically active graphene for electrochemical biosensing.

    PubMed

    Osikoya, Adeniyi Olugbenga; Parlak, Onur; Murugan, N Arul; Dikio, Ezekiel Dixon; Moloto, Harry; Uzun, Lokman; Turner, Anthony Pf; Tiwari, Ashutosh

    2017-03-15

    In this study, we have demonstrated the use of chemical vapour deposition (CVD) grown-graphene to develop a highly-ordered graphene-enzyme electrode for electrochemical biosensing. The graphene sheets were deposited on 1.00mm thick copper sheet at 850°C using acetylene (C 2 H 2 ) as carbon source in an argon (Ar) and nitrogen (N 2 ) atmosphere. An anionic surfactant was used to increase wettability and hydrophilicity of graphene; thereby facilitating the assembly of biomolecules on the electrode surface. Meanwhile, the theoretical calculations confirmed the successful modification of hydrophobic nature of graphene through the anionic surface assembly, which allowed high-ordered immobilisation of glucose oxidase (GOx) on the graphene. The electrochemical sensing activities of the graphene-electrode was explored as a model for bioelectrocatalysis. The bioelectrode exhibited a linear response to glucose concentration ranging from 0.2 to 9.8mM, with sensitivity of 0.087µA/µM/cm 2 and a detection limit of 0.12µM (S/N=3). This work sets the stage for the use of acetylene-sourced CVD-grown graphene as a fundamental building block in the fabrication of electrochemical biosensors and other bioelectronic devices. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Method and apparatus for removing and preventing window deposition during photochemical vapor deposition (photo-CVD) processes

    DOEpatents

    Tsuo, S.; Langford, A.A.

    1989-03-28

    Unwanted build-up of the film deposited on the transparent light-transmitting window of a photochemical vacuum deposition (photo-CVD) chamber is eliminated by flowing an etchant into the part of the photolysis region in the chamber immediately adjacent the window and remote from the substrate and from the process gas inlet. The respective flows of the etchant and the process gas are balanced to confine the etchant reaction to the part of the photolysis region proximate to the window and remote from the substrate. The etchant is preferably one that etches film deposit on the window, does not etch or affect the window itself, and does not produce reaction by-products that are deleterious to either the desired film deposited on the substrate or to the photolysis reaction adjacent the substrate. 3 figs.

  17. Method and apparatus for removing and preventing window deposition during photochemical vapor deposition (photo-CVD) processes

    DOEpatents

    Tsuo, Simon; Langford, Alison A.

    1989-01-01

    Unwanted build-up of the film deposited on the transparent light-transmitting window of a photochemical vacuum deposition (photo-CVD) chamber is eliminated by flowing an etchant into the part of the photolysis region in the chamber immediately adjacent the window and remote from the substrate and from the process gas inlet. The respective flows of the etchant and the process gas are balanced to confine the etchant reaction to the part of the photolysis region proximate to the window and remote from the substrate. The etchant is preferably one that etches film deposit on the window, does not etch or affect the window itself, and does not produce reaction by-products that are deleterious to either the desired film deposited on the substrate or to the photolysis reaction adjacent the substrate.

  18. The modelling routes for the chemical vapour deposition process: application to Si 1- xGe x deposition

    NASA Astrophysics Data System (ADS)

    Pons, M.; Bernard, C.; Rouch, H.; Madar, R.

    1995-10-01

    The purpose of this article is to present the modelling routes for the chemical vapour deposition process with a special emphasis on mass transport models with near local thermochemical equilibrium imposed in the gas-phase and at the deposition surface. The theoretical problems arising from the linking of the two selected approaches, thermodynamics and mass transport, are shown and a solution procedure is proposed. As an illustration, selected results of thermodynamic and mass transport analysis and of the coupled approach showed that, for the deposition of Si 1- xGe x solid solution at 1300 K (system SiGeClHAr), the thermodynamic heterogeneous stability of the reactive gases and the thermal diffusion led to the germanium depletion of the deposit.

  19. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  20. Carbon Nanotubes/Nanofibers by Plasma Enhanced Chemical Vapour Deposition

    NASA Technical Reports Server (NTRS)

    Teo, K. B. K.; Hash, D. B.; Bell, M. S.; Chhowalla, M.; Cruden, B. A.; Amaratunga, G. A. J.; Meyyappan, M.; Milne, W. I.

    2005-01-01

    Plasma enhanced chemical vapour deposition (PECVD) has been recently used for the production of vertically aligned carbon nanotubedfibers (CN) directly on substrates. These structures are potentially important technologically as electron field emitters (e.g. microguns, microwave amplifiers, displays), nanoelectrodes for sensors, filter media, superhydrophobic surfaces and thermal interface materials for microelectronics. A parametric study on the growth of CN grown by glow discharge dc-PECVD is presented. In this technique, a substrate containing thin film Ni catalyst is exposed to C2H2 and NH3 gases at 700 C. Without plasma, this process is essentially thermal CVD which produces curly spaghetti-like CN as seen in Fig. 1 (a). With the plasma generated by biasing the substrate at -6OOV, we observed that the CN align vertically during growth as shown in Fig. l(b), and that the magnitude of the applied substrate bias affects the degree of alignment. The thickness of the thin film Ni catalyst was found to determine the average diameter and inversely the length of the CN. The yield and density of the CN were controlled by the use of different diffusion barrier materials under the Ni catalyst. Patterned CN growth [Fig. l(c)], with la variation in CN diameter of 4.1% and 6.3% respectively, is achieved by lithographically defining the Ni thin film prior to growth. The shape of the structures could be varied from very straight nanotube-like to conical tip-like nanofibers by increasing the ratio of C2H2 in the gas flow. Due to the plasma decomposition of C2H2, amorphous carbon (a-C) is an undesirable byproduct which could coat the substrate during CN growth. Using a combination of depth profiled Auger electron spectroscopy to study the substrate and in-situ mass spectroscopy to examine gas phase neutrals and ions, the optimal conditions for a-C free growth of CN is determined.

  1. A Comparative Study of Three Different Chemical Vapor Deposition (CVD) Techniques of Carbon Nanotube Growth on Diamond Films

    DTIC Science & Technology

    2013-01-01

    FCT-CVD) with xylene and ferrocene liquid mixture without any prior catalyst deposition. T-CVD is a low cost system that can easily be set up to grow...iron catalysts, respectively. For the FCT-CVD approach, ferrocene is used as an iron source to promote CNT growth. Based on these repeatable results...kept at 250 ° C while the high temperature furnace is ramped up to the growth temperature of 750 ° C. Ferrocene was dissolved into xylene solvent in

  2. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  3. New CVD-based method for the growth of high-quality crystalline zinc oxide layers

    NASA Astrophysics Data System (ADS)

    Huber, Florian; Madel, Manfred; Reiser, Anton; Bauer, Sebastian; Thonke, Klaus

    2016-07-01

    High-quality zinc oxide (ZnO) layers were grown using a new chemical vapour deposition (CVD)-based low-cost growth method. The process is characterized by total simplicity, high growth rates, and cheap, less hazardous precursors. To produce elementary zinc vapour, methane (CH4) is used to reduce a ZnO powder. By re-oxidizing the zinc with pure oxygen, highly crystalline ZnO layers were grown on gallium nitride (GaN) layers and on sapphire substrates with an aluminum nitride (AlN) nucleation layer. Using simple CH4 as precursor has the big advantage of good controllability and the avoidance of highly toxic gases like nitrogen oxides. In photoluminescence (PL) measurements the samples show a strong near-band-edge emission and a sharp line width at 5 K. The good crystal quality has been confirmed in high resolution X-ray diffraction (HRXRD) measurements. This new growth method has great potential for industrial large-scale production of high-quality single crystal ZnO layers.

  4. Vapour-Deposited Cesium Lead Iodide Perovskites: Microsecond Charge Carrier Lifetimes and Enhanced Photovoltaic Performance

    PubMed Central

    2017-01-01

    Metal halide perovskites such as methylammonium lead iodide (MAPbI3) are highly promising materials for photovoltaics. However, the relationship between the organic nature of the cation and the optoelectronic quality remains debated. In this work, we investigate the optoelectronic properties of fully inorganic vapour-deposited and spin-coated black-phase CsPbI3 thin films. Using the time-resolved microwave conductivity technique, we measure charge carrier mobilities up to 25 cm2/(V s) and impressively long charge carrier lifetimes exceeding 10 μs for vapour-deposited CsPbI3, while the carrier lifetime reaches less than 0.2 μs in the spin-coated samples. Finally, we show that these improved lifetimes result in enhanced device performance with power conversion efficiencies close to 9%. Altogether, these results suggest that the charge carrier mobility and recombination lifetime are mainly dictated by the inorganic framework rather than the organic nature of the cation. PMID:28852710

  5. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  6. Colour-causing defects and their related optoelectronic transitions in single crystal CVD diamond.

    PubMed

    Khan, R U A; Cann, B L; Martineau, P M; Samartseva, J; Freeth, J J P; Sibley, S J; Hartland, C B; Newton, M E; Dhillon, H K; Twitchen, D J

    2013-07-10

    Defects causing colour in nitrogen-doped chemical vapour-deposited (CVD) diamond can adversely affect the exceptional optical, electronic and spintronic properties of the material. Several techniques were used to study these defects, namely optical absorption spectroscopy, thermoluminescence (TL) and electron paramagnetic resonance (EPR). From our studies, the defects causing colour in nitrogen-doped CVD diamond are clearly not the same as those causing similar colour in natural diamonds. The brown colour arises due to a featureless absorption profile that decreases in intensity with increasing wavelength, and a broad feature at 360 nm (3.49 eV) that scales in intensity with it. Another prominent absorption band, centred at 520 nm (2.39 eV), is ascribed to the neutral nitrogen-vacancy-hydrogen defect. The defects responsible for the brown colour possess acceptor states that are 1.5 eV from the valence band (VB) edge. The brown colour is removed by heat treatment at 1600 ° C, whereupon new defects possessing shallow (<1 eV) trap states are generated.

  7. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulatingmore » films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  8. Micromachining of silicon carbide on silicon fabricated by low-pressure chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Behrens, Ingo; Peiner, Erwin; Bakin, Andrey S.; Schlachetzki, Andreas

    2002-07-01

    We describe the fabrication of silicon carbide layers for micromechanical applications using low-pressure metal-organic chemical vapour deposition at temperatures below 1000 °C. The layers can be structured by lift-off using silicon dioxide as a sacrificial layer. A large selectivity with respect to silicon can be exploited for bulk micromachining. Thin membranes are fabricated which exhibit high mechanical quality, as necessary for applications in harsh environments.

  9. Properties of the carbon-palladium nanocomposites studied by Raman spectroscopy method

    NASA Astrophysics Data System (ADS)

    Belka, Radosław; Suchańska, Małgorzata

    2013-10-01

    In this paper, the results for thin carbon-palladium (C-Pd) nanocomposites obtained by PVD (Physical Vapour Deposition) and PVD/CVD (Chemical Vapour Deposition) method, carried out using Raman spectroscopy method are presented. Studies reveal the dominance of fullerene-like structure for PVD samples and graphite-like structures for CVD samples. The type of substrate and metal content have great impact on spectra shapes.

  10. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  11. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    PubMed

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  12. Deposition of dual-layer coating on Ti6Al4V

    NASA Astrophysics Data System (ADS)

    Hussain Din, Sajad; Shah, M. A.; Sheikh, N. A.

    2017-03-01

    Dual-layer diamond coatings were deposited on titanium alloy (Ti6Al4V) using a hot filament chemical vapour deposition technique with the anticipation of studying the structural and morphology properties of the alloy. The coated diamond films were characterized using scanning electron microscope, x-ray diffraction (XRD), and Raman spectroscopy. The XRD studies reveal that the deposited films are highly crystalline in nature, whereas morphological studies show that the films have a cauliflower structure. XRD analysis was used to calculate the structural parameters of the Ti6Al4V and CVD-coated Ti6Al4V. Raman spectroscopy was used to determine the nature and magnitude of the residual stress of the coatings.

  13. Structural and mechanical properties of CVD deposited titanium aluminium nitride (TiAlN) thin films

    NASA Astrophysics Data System (ADS)

    Das, Soham; Guha, Spandan; Ghadai, Ranjan; Kumar, Dhruva; Swain, Bibhu P.

    2017-06-01

    Titanium aluminium nitride (TiAlN) thin films were deposited by chemical vapour deposition using TiO2 powder, Al powder and N2 gas. The morphology and mechanical properties of the films were characterized by scanning electron microscopy and nanoindentation technique, respectively. The structural properties were characterized by Raman spectroscopy and X-ray diffraction. The XRD result shows TiAlN films are of NaCl-type metal nitride structure. Micro-Raman peaks of the TiAlN thin film were observed within 450 and 642 cm-1 for acoustic and optic range, respectively. A maximum hardness and Young modulus up to 22 and 272.15 GPa, respectively, were observed in the TiAlN film deposited at 1200 °C.

  14. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    PubMed

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  15. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors.

    PubMed

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-11

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates-20 °C min -1 , 40 °C min -1 and 100 °C min -1 -to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min -1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs -1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g -1 -213.8 F g -1 at a voltage scan rate of 0.05 V s -1 . A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s -1 , for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min -1 .

  16. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    NASA Astrophysics Data System (ADS)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  17. CO 2-fluxing collapses metal mobility in magmatic vapour

    DOE PAGES

    van Hinsberg, V. J.; Berlo, K.; Migdisov, A. A.; ...

    2016-05-18

    Magmatic systems host many types of ore deposits, including world-class deposits of copper and gold. Magmas are commonly an important source of metals and ore-forming fluids in these systems. In many magmatic-hydrothermal systems, low-density aqueous fluids, or vapours, are significant metal carriers. Such vapours are water-dominated shallowly, but fluxing of CO 2-rich vapour exsolved from deeper magma is now recognised as ubiquitous during open-system magma degassing. Furthermore, we show that such CO 2-fluxing leads to a sharp drop in element solubility, up to a factor of 10,000 for Cu, and thereby provides a highly efficient, but as yet unrecognised mechanismmore » for metal deposition.« less

  18. A simple, space constrained NIRIM type reactor for chemical vapour deposition of diamond

    NASA Astrophysics Data System (ADS)

    Thomas, Evan L. H.; Ginés, Laia; Mandal, Soumen; Klemencic, Georgina M.; Williams, Oliver A.

    2018-03-01

    In this paper the design of a simple, space constrained chemical vapour deposition reactor for diamond growth is detailed. Based on the design by NIRIM, the reactor is composed of a quartz discharge tube placed within a 2.45 GHz waveguide to create the conditions required for metastable growth of diamond. Utilising largely off-the-shelf components and a modular design, the reactor allows for easy modification, repair, and cleaning between growth runs. The elements of the reactor design are laid out with the CAD files, parts list, and control files made easily available to enable replication. Finally, the quality of nanocrystalline diamond films produced are studied with SEM and Raman spectroscopy, with the observation of clear faceting and a large diamond fraction suggesting the design offers deposition of diamond with minimal complexity.

  19. A Review of Carbon Nanomaterials' Synthesis via the Chemical Vapor Deposition (CVD) Method.

    PubMed

    Manawi, Yehia M; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A

    2018-05-17

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  20. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    PubMed Central

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  1. CVD Polymers for Devices and Device Fabrication.

    PubMed

    Wang, Minghui; Wang, Xiaoxue; Moni, Priya; Liu, Andong; Kim, Do Han; Jo, Won Jun; Sojoudi, Hossein; Gleason, Karen K

    2017-03-01

    Chemical vapor deposition (CVD) polymerization directly synthesizes organic thin films on a substrate from vapor phase reactants. Dielectric, semiconducting, electrically conducting, and ionically conducting CVD polymers have all been readily integrated into devices. The absence of solvent in the CVD process enables the growth of high-purity layers and avoids the potential of dewetting phenomena, which lead to pinhole defects. By limiting contaminants and defects, ultrathin (<10 nm) CVD polymeric device layers have been fabricated in multiple laboratories. The CVD method is particularly suitable for synthesizing insoluble conductive polymers, layers with high densities of organic functional groups, and robust crosslinked networks. Additionally, CVD polymers are prized for the ability to conformally cover rough surfaces, like those of paper and textile substrates, as well as the complex geometries of micro- and nanostructured devices. By employing low processing temperatures, CVD polymerization avoids damaging substrates and underlying device layers. This report discusses the mechanisms of the major CVD polymerization techniques and the recent progress of their applications in devices and device fabrication, with emphasis on initiated CVD (iCVD) and oxidative CVD (oCVD) polymerization. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Development of CVD mullite coatings for Si-based ceramics

    NASA Astrophysics Data System (ADS)

    Auger, Michael Lawrence

    1999-09-01

    To raise fuel efficiencies, the next generation of engines and fuel systems must be lighter and operate at higher temperatures. Ceramic-based materials, which are considerably lighter than metals and can withstand working temperatures of up to 1400sp°C, have been targeted to replace traditional metal-based components. The materials used in combustion environments must also be capable of withstanding erosion and corrosion caused by combustion gases, particulates, and deposit-forming corrodants. With these demanding criteria, silicon-based ceramics are the leading candidate materials for high temperature engine and heat exchanger structural components. However, these materials are limited in gaseous environments and in the presence of molten salts since they form liquid silicates on exposed surfaces at temperatures as low as 800sp°C. Protective coatings that can withstand higher operating temperatures and corrosive atmospheres must be developed for silicon-based ceramics. Mullite (3Alsb2Osb3{*}2SiOsb2) was targeted as a potential coating material due to its unique ability to resist corrosion, retain its strength, resist creep, and avoid thermal shock failure at elevated temperatures. Several attempts to deposit mullite coatings by various processing methods have met with limited success and usually resulted in coatings that have had pores, cracks, poor adherence, and required thermal post-treatments. To overcome these deficiencies, the direct formation of chemically vapor deposited (CVD) mullite coatings has been developed. CVD is a high temperature atomistic deposition technique that results in dense, adherent crystalline coatings. The object of this dissertation was to further the understanding of the CVD mullite deposition process and resultant coating. The kinetics of CVD mullite deposition were investigated as a function of the following process parameters: temperature, pressure, and the deposition reactor system. An empirical kinetic model was developed

  3. Morphological Evolution and Weak Interface Development within CVD-Zirconia Coating Deposited on Hi-Nicalon Fiber

    NASA Technical Reports Server (NTRS)

    Li, Hao; Lee, Jinil; Libera, Matthew R.; Lee, Woo Y.; Kebbede, Anteneh; Lance, Michael J.; Wang, Hongyu; Morscher, Gregory N.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    The phase contents and morphology of a ZrO2 fiber coating deposited at 1050 C on Hi-Nicalon(Tm) by chemical vapor deposition were examined as a function of deposition time from 5 to 120 min. The morphological evolution in the ZrO2 coating was correlated to the development of delamination within the ZrO2 coating. The delamination appears to occur as a result of: (1) continuous formation of tetragonal ZrO2 nuclei on the deposition surface; (2) martensitic transformation of the tetragonal phase to a monoclinic phase upon reaching a critical grain size; and (3) development of significant compressive hoop stresses due to the volume dilation associated with the transformation. Our observations suggest that it will be of critical importance to further understand and eventually control the nucleation and grain growth behavior of CVD ZrO2 and its phase transformation behavior for its potential applications for composites.

  4. Formation of nanocrystalline diamond in polymer like carbon films deposited by plasma CVD.

    PubMed

    Bhaduri, A; Chaudhuri, P

    2009-09-01

    Conventional plasma enhanced chemical vapour deposition (PECVD) method is generally not suitable for the growth of nanocrystalline diamond (NCD) films. However, our study shows that conditions favourable for powder formation help to grow large amount of nanocrystallites in conventional PECVD. With CH4 as the carbon source gas, dilution with Ar and moderate (50 W) rf power enhances formations of powders (nanoparticles) and C2 dimers within the plasma. On the other hand, with pure CH4 or with hydrogen diluted CH4, powder formation as also NCD growth is hindered. It is proposed that the nanoparticles formed in the plasma act as the "islands" while the C2 dimers are the "seeds" for the NCD growth. The structure of the films deposited on the grounded anode under different conditions of dilution has been studied. It is observed that with high Ar dilution the films contain NCD embedded in polymer like carbon (PLC) matrix.

  5. Iridium-coated rhenium thrusters by CVD

    NASA Technical Reports Server (NTRS)

    Harding, J. T.; Kazaroff, J. M.; Appel, M. A.

    1989-01-01

    Operation of spacecraft thrusters at increased temperature reduces propellant requirements. Inasmuch as propellant comprises the bulk of a satellite's mass, even a small percentage reduction makes possible a significant enhancement of the mission in terms of increased payload. Because of its excellent high temperature strength, rhenium is often the structural material of choice. It can be fabricated into free-standing shapes by chemical vapor deposition (CVD) onto an expendable mandrel. What rhenium lacks is oxidation resistance, but this can be provided by a coating of iridium, also by CVD. This paper describes the process used by Ultramet to fabricate 22-N (5-lbf) and, more recently, 445-N (100-lbf) Ir/Re thrusters; characterizes the CVD-deposited materials; and summarizes the materials effects of firing these thrusters. Optimal propellant mixture ratios can be employed because the materials withstand an oxidizing environment up to the melting temperature of iridium, 2400 C (4350 F).

  6. Iridium-coated rhenium thrusters by CVD

    NASA Technical Reports Server (NTRS)

    Harding, John T.; Kazaroff, John M.; Appel, Marshall A.

    1988-01-01

    Operation of spacecraft thrusters at increased temperature reduces propellant requirements. Inasmuch as propellant comprises the bulk of a satellite's mass, even a small percentage reduction makes possible a significant enhancement of the mission in terms of increased payload. Because of its excellent high temperature strength, rhenium is often the structural material of choice. It can be fabricated into free-standing shapes by chemical vapor deposition (CVD) onto an expendable mandrel. What rhenium lacks is oxidation resistance, but this can be provided by a coating of iridium, also by CVD. This paper describes the process used by Ultramet to fabricate 22-N (5-lbf) and, more recently, 445-N (100-lbf) Ir/Re thrusters; characterizes the CVD-deposited materials; and summarizes the materials effects of firing these thrusters. Optimal propellant mixture ratios can be employed because the materials withstand an oxidizing environment up to the meltimg temperature of iridium, 2400 C (4350 F).

  7. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-02-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H.

  8. Mixed Convection Flow in Horizontal CVD Reactors

    NASA Astrophysics Data System (ADS)

    Chiu, Wilson K. S.; Richards, Cristy J.; Jaluria, Yogesh

    1998-11-01

    Increasing demands for high quality films and production rates are challenging current Chemical Vapor Deposition (CVD) technology. Since film quality and deposition rates are strongly dependent on gas flow and heat transfer (W.K.S. Chiu and Y. Jaluria, ASME HTD-Vol. 347, pp. 293-311, 1997.), process improvement is obtained through the study of mixed convection flow and temperature distribution in a CVD reactor. Experimental results are presented for a CVD chamber with a horizontal or inclined resistance heated susceptor. Vaporized glycol solution illuminated by a light sheet is used for flow visualization. Temperature measurements are obtained by inserting thermocouple probes into the gas stream or embedding probes into the reactor walls. Flow visualization and temperature measurements show predominantly two dimensional flow and temperature distributions along the streamwise direction under forced convection conditions. Natural convection dominates under large heating rates and low flow rates. Over the range of parameters studied, several distinct flow regimes, characterized by instability, separation, and turbulence, are evident. Different flow regimes alter the flow pattern and temperature distribution, and in consequence, significantly modify deposition rates and uniformity.

  9. Raman studied of undoped amorphous carbon thin film deposited by bias assisted-CVD

    NASA Astrophysics Data System (ADS)

    Ishak, A.; Fadzilah, A. N.; Dayana, K.; Saurdi, I.; Malek, M. F.; Nurbaya, Z.; Shafura, A. K.; Rusop, M.

    2018-05-01

    The undoped amorphous carbon thin film carbon was deposited at 200°C-350°C by bias assisted-CVD using palm oil as a precursor material. The effect of different substrate deposition temperatures on structural and electrical properties of undoped doped amorphous carbon film was discussed. The structural of undoped amorphous carbon films were correlated with Raman analysis through the evolution of D and G bands, Fourier spectra, and conductivity measurement. The spectral evolution observed showed the increase of upward shift of D and G peaks as substrate deposition temperatures increased. The spectral evolution observed at different substrate deposition temperatures show progressive formation of crystallites. It was predicted that small number of hydrogen is terminated with carbon at surface of thin film as shown by FTIR spectra since palm oil has high number of hydrogen (C67H127O8). These structural changes were further correlated with conductivity and the results obtained are discussed and compared. The conductivity is found in the range of 10-8 Scm-1. The increase of conductivity is correlated by the change of structural properties as correlated with characteristic parameters of Raman spectra including the position of G peak, full width at half maximum of G peak, and ID/IG and FTIR result.

  10. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition.

    NASA Astrophysics Data System (ADS)

    Sharma, Uttam; Chauhan, Sachin S.; Sharma, Jayshree; Sanyasi, A. K.; Ghosh, J.; Choudhary, K. K.; Ghosh, S. K.

    2016-10-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS.

  11. Raman spectra boron doped amorphous carbon thin film deposited by bias assisted-CVD

    NASA Astrophysics Data System (ADS)

    Ishak, A.; Fadzilah, A. N.; Dayana, K.; Saurdi, I.; Malek, M. F.; Nurbaya, Z.; Shafura, A. K.; Rusop, M.

    2018-05-01

    Boron doped amorphous carbon thin film carbon was deposited at 200°C-350°C by bias assisted-CVD using palm oil as a precursor material. The structural boron doped amorphous carbon films were discussed by Raman analysis through the evolution of D and G bands. The spectral evolution observed showed the increase of upward shift of D and G peaks as substrate deposition temperatures increased. These structural changes were further correlated with optical gap and the results obtained are discussed and compared. The estimated optical band gap is found to be 1.9 to 2.05 eV and conductivity is to be in the range of 10-5 Scm-1 to 10-4 Scm-1. The decrease of optical band gap is associated to conductivity increased which change the characteristic parameters of Raman spectra including the position of G peak, full width at half maximum of G peak, and ID/IG.

  12. Vapour phase techniques for deposition of CZTS thin films: A review

    NASA Astrophysics Data System (ADS)

    Kaur, Ramanpreet; Kumar, Sandeep; Singh, Sukhpal

    2018-05-01

    With the surge of thin film photovoltaic technologies in recent years, for cost reduction and increased production there is a need for earth abundant and non-toxic raw materials. Existing thin film solar cells comprising CuInS2 (CIS), CuInGaSe2 (CIGS) and CdTe contain elements that are rare in earth's crust and in case of CdTe toxic. Cu2ZnSnS4 (CZTS), having Kesterite structure, a direct band gap of 1.4 - 1.5 eV and an absorption coefficient of 104 cm-1 makes a promising candidate for absorber layer in thin film solar cells. So far many physical and chemical techniques have been employed for deposition of CZTS thin films. This review focuses on various vapour phase techniques used for fabrication of films, recent advances in these techniques and their future outlook.

  13. Crystalline gamma-Al2O3 physical vapour deposition-coating for steel thixoforging tools.

    PubMed

    Bobzin, K; Hirt, G; Bagcivan, N; Khizhnyakova, L; Ewering, M

    2011-10-01

    The process of thixoforming, which has been part of many researches during the last decades, combines the advantages of forging and casting for the shaping of metallic components. But due to the high temperatures of semi-solid steel alloys high demands on the tools are requested. To resists the thermal and mechanical loads (wear, friction, thermal and thermomechanical fatigue) protecting thin films are necessary. In this regard crystalline gamma-Al2O3 deposited via Physical Vapour Deposition (PVD) is a promising candidate: It exhibits high thermal stability, high oxidation resistance and high hot hardness. In the present work the application of a (Ti, Al)N/gamma-Al2O3 coating deposited by means of Magnetron Sputter Ion Plating in an industrial coating unit is presented. The coating was analysed by means of Rockwell test, nanoindentation, and Scanning Electron Microscopy (SEM). The coated tool was tested in thixoforging experiments with steel grade X210CrW12 (AlSI D6). The surface of the coated dies was examined with Scanning Electron Microscope (SEM) after 22, 42, 90 and 170 forging cycles.

  14. New developments in CVD diamond for detector applications

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  15. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  16. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Miyake, Shojiro; Wu, Richard L. C.

    1998-01-01

    The main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) cubic MM /(N*m), respectively. Carbon- and nitrogen-ion-implanted, fine-grain, chemical-vapor-deposited (CVD) diamond and diamondlike carbon (DLC) ion beam deposited on fine-grain CVD diamond met the criteria regardless of environment (vacuum, nitrogen, and air).

  17. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, andmore » few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods.« less

  18. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    NASA Astrophysics Data System (ADS)

    Takacs, Peter Z.

    1982-04-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense X-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods.

  19. Numerical Simulations of a 96-rod Polysilicon CVD Reactor

    NASA Astrophysics Data System (ADS)

    Guoqiang, Tang; Cong, Chen; Yifang, Cai; Bing, Zong; Yanguo, Cai; Tihu, Wang

    2018-05-01

    With the rapid development of the photovoltaic industry, pressurized Siemens belljar-type polysilicon CVD reactors have been enlarged from 24 rods to 96 rods in less than 10 years aimed at much greater single-reactor productivity. A CFD model of an industry-scale 96-rod CVD reactor was established to study the internal temperature distribution and the flow field of the reactor. Numerical simulations were carried out and compared with actual growth results from a real CVD reactor. Factors affecting polysilicon depositions such as inlet gas injections, flow field, and temperature distribution in the CVD reactor are studied.

  20. Photo Initiated Chemical Vapour Deposition To Increase Polymer Hydrophobicity

    NASA Astrophysics Data System (ADS)

    Bérard, Ariane; Patience, Gregory S.; Chouinard, Gérald; Tavares, Jason R.

    2016-08-01

    Apple growers face new challenges to produce organic apples and now many cover orchards with high-density polyethylene (HDPE) nets to exclude insects, rather than spraying insecticides. However, rainwater- associated wetness favours the development of apple scabs, Venturia inaequalis, whose lesions accumulate on the leaves and fruit causing unsightly spots. Treating the nets with a superhydrophobic coating should reduce the amount of water that passes through the net. Here we treat HDPE and polyethylene terephthalate using photo-initiated chemical vapour deposition (PICVD). We placed polymer samples in a quartz tube and passed a mixture of H2 and CO through it while a UVC lamp (254 nm) illuminated the surface. After the treatment, the contact angle between water droplets and the surface increased by an average of 20°. The contact angle of samples placed 70 cm from the entrance of the tube was higher than those at 45 cm and 20 cm. The PICVD-treated HDPE achieved a contact angle of 124°. Nets spray coated with a solvent-based commercial product achieved 180° but water ingress was, surprisingly, higher than that for nets with a lower contact angle.

  1. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  2. Photochemical CVD of Ru on functionalized self-assembled monolayers from organometallic precursors

    NASA Astrophysics Data System (ADS)

    Johnson, Kelsea R.; Arevalo Rodriguez, Paul; Brewer, Christopher R.; Brannaka, Joseph A.; Shi, Zhiwei; Yang, Jing; Salazar, Bryan; McElwee-White, Lisa; Walker, Amy V.

    2017-02-01

    Chemical vapor deposition (CVD) is an attractive technique for the metallization of organic thin films because it is selective and the thickness of the deposited film can easily be controlled. However, thermal CVD processes often require high temperatures which are generally incompatible with organic films. In this paper, we perform proof-of-concept studies of photochemical CVD to metallize organic thin films. In this method, a precursor undergoes photolytic decomposition to generate thermally labile intermediates prior to adsorption on the sample. Three readily available Ru precursors, CpRu(CO)2Me, (η3-allyl)Ru(CO)3Br, and (COT)Ru(CO)3, were employed to investigate the role of precursor quantum yield, ligand chemistry, and the Ru oxidation state on the deposition. To investigate the role of the substrate chemistry on deposition, carboxylic acid-, hydroxyl-, and methyl-terminated self-assembled monolayers were used. The data indicate that moderate quantum yields for ligand loss (φ ≥ 0.4) are required for ruthenium deposition, and the deposition is wavelength dependent. Second, anionic polyhapto ligands such as cyclopentadienyl and allyl are more difficult to remove than carbonyls, halides, and alkyls. Third, in contrast to the atomic layer deposition, acid-base reactions between the precursor and the substrate are more effective for deposition than nucleophilic reactions. Finally, the data suggest that selective deposition can be achieved on organic thin films by judicious choice of precursor and functional groups present on the substrate. These studies thus provide guidelines for the rational design of new precursors specifically for selective photochemical CVD on organic substrates.

  3. Tractable Chemical Models for CVD of Silicon and Carbon

    NASA Technical Reports Server (NTRS)

    Blanquet, E.; Gokoglu, S. A.

    1993-01-01

    Tractable chemical models are validated for the CVD of silicon and carbon. Dilute silane (SiH4) and methane (CH4) in hydrogen are chosen as gaseous precursors. The chemical mechanism for each systems Si and C is deliberately reduced to three reactions in the models: one in the gas phase and two at the surface. The axial-flow CVD reactor utilized in this study has well-characterized flow and thermal fields and provides variable deposition rates in the axial direction. Comparisons between the experimental and calculated deposition rates are made at different pressures and temperatures.

  4. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  5. A novel three-jet microreactor for localized metal-organic chemical vapour deposition of gallium arsenide: design and simulation

    NASA Astrophysics Data System (ADS)

    Konakov, S. A.; Krzhizhanovskaya, V. V.

    2016-08-01

    We present a novel three-jet microreactor design for localized deposition of gallium arsenide (GaAs) by low-pressure Metal-Organic Chemical Vapour Deposition (MOCVD) for semiconductor devices, microelectronics and solar cells. Our approach is advantageous compared to the standard lithography and etching technology, since it preserves the nanostructure of the deposited material, it is less time-consuming and less expensive. We designed two versions of reactor geometry with a 10-micron central microchannel for precursor supply and with two side jets of a dilutant to control the deposition area. To aid future experiments, we performed computational modeling of a simplified-geometry (twodimensional axisymmetric) microreactor, based on Navier-Stokes equations for a laminar flow of chemically reacting gas mixture of Ga(CH3)3-AsH3-H2. Simulation results show that we can achieve a high-rate deposition (over 0.3 μm/min) on a small area (less than 30 μm diameter). This technology can be used in material production for microelectronics, optoelectronics, photovoltaics, solar cells, etc.

  6. Wetting behaviour of carbon nitride nanostructures grown by plasma enhanced chemical vapour deposition technique

    NASA Astrophysics Data System (ADS)

    Ahmad Kamal, Shafarina Azlinda; Ritikos, Richard; Abdul Rahman, Saadah

    2015-02-01

    Tuning the wettability of various coating materials by simply controlling the deposition parameters is essential for various specific applications. In this work, carbon nitride (CNx) films were deposited on silicon (1 1 1) substrates using radio-frequency plasma enhanced chemical vapour deposition employing parallel plate electrode configuration. Effects of varying the electrode distance (DE) on the films' structure and bonding properties were investigated using Field emission scanning electron microscopy, Atomic force microscopy, Fourier transform infrared and X-ray photoemission spectroscopy. The wettability of the films was analyzed using water contact angle measurements. At high DE, the CNx films' surface was smooth and uniform. This changed into fibrous nanostructures when DE was decreased. Surface roughness of the films increased with this morphological transformation. Nitrogen incorporation increased with decrease in DE which manifested the increase in both relative intensities of Cdbnd N to Cdbnd C and Nsbnd H to Osbnd H bonds. sp2-C to sp3-C ratio increased as DE decreased due to greater deformation of sp2 bonded carbon at lower DE. The films' characteristics changed from hydrophilic to super-hydrophobic with the decrease in DE. Roughness ratio, surface porosity and surface energy calculated from contact angle measurements were strongly dependent on the morphology, surface roughness and bonding properties of the films.

  7. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gong, Yansheng; Tu, Rong, E-mail: turong@whut.edu.cn; Goto, Takashi

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakismore » (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.« less

  8. Electrical applications of CVD diamond films

    NASA Astrophysics Data System (ADS)

    Fujimori, Naoji

    Electronics applications of CVD diamond films are reported. The properties of epitaxial diamond films are affected by the orientation of the substrate and the deposition conditions. Boron-doped epitaxial films are found to have the same characteristics as natural IIb diamonds. An LED and an FET were successfully fabricated using boron-doped epitaxial films and Schottky junctions. However, these devices did not exhibit satisfactory properties. Other applications of CVD diamond films include speaker diaphragms (as both a thin-film coating and a free-standing film), and as an ideal packaging material (due to its high thermal conductivity and low dielectric constant).

  9. Fabrication of zinc-dicarboxylate- and zinc-pyrazolate-carboxylate-framework thin films through vapour-solid deposition.

    PubMed

    Medishetty, Raghavender; Zhang, Zongji; Sadlo, Alexander; Cwik, Stefan; Peeters, Daniel; Henke, Sebastian; Mangayarkarasi, Nagarathinam; Devi, Anjana

    2018-05-17

    Fabrication of three-dimensional metal-organic framework (MOF) thin films has been investigated for the first time through the conversion of a ZnO layer via a pure vapour-solid deposition reaction at ambient pressure. The fabrication of MOF thin films with a dicarboxylate linker, (DMA)2[Zn3(bdc)4] (1) (bdc = 1,4-benzenedicarboxylate), and a carboxy-pyrazolate linker, [Zn4O(dmcapz)6] (2) (dmcapz = 3,5-dimethyl-4-carboxypyrazole), involves the deposition of the linker and/or the preparation of a composite film preliminarily and its subsequent conversion into a MOF film using closed cell thermal treatment. Furthermore, it was possible to isolate thin films with a MOF-5 isotype structure grown along the [110] direction, using a carboxy-pyrazolate linker. This was achieved just by the direct reaction of the ZnO film and the organic linker vapors, employing a simple route that demonstrates the feasibility of MOF thin film fabrication using inexpensive routes at ambient pressure.

  10. A High-Performance Lithium-Ion Battery Anode Based on the Core-Shell Heterostructure of Silicon-Coated Vertically Aligned Carbon Nanofibers

    DTIC Science & Technology

    2013-01-01

    nanotubes ( MWCNTs ) using chemical vapour deposition (CVD) to form a hybrid Si– MWCNT structure consisting of 54 to 57 wt% of Si.16 The initial specic...retained less than 70% aer 100 cycles.16 The wavy and partially entangled structure may still have prevented uniform Si deposition deep into the MWCNT ...silicon shells, as illustrated in Fig. 1. The VACNFs are a special type of MWCNTs which are grown with DC-biased plasma chemical vapour deposition (PECVD

  11. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation

    NASA Astrophysics Data System (ADS)

    Ciambelli, P.; Arurault, L.; Sarno, M.; Fontorbes, S.; Leone, C.; Datas, L.; Sannino, D.; Lenormand, P.; Le Blond Du Plouy, S.

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  12. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation.

    PubMed

    Ciambelli, P; Arurault, L; Sarno, M; Fontorbes, S; Leone, C; Datas, L; Sannino, D; Lenormand, P; Du Plouy, S Le Blond

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  13. A platform for large-scale graphene electronics--CVD growth of single-layer graphene on CVD-grown hexagonal boron nitride.

    PubMed

    Wang, Min; Jang, Sung Kyu; Jang, Won-Jun; Kim, Minwoo; Park, Seong-Yong; Kim, Sang-Woo; Kahng, Se-Jong; Choi, Jae-Young; Ruoff, Rodney S; Song, Young Jae; Lee, Sungjoo

    2013-05-21

    Direct chemical vapor deposition (CVD) growth of single-layer graphene on CVD-grown hexagonal boron nitride (h-BN) film can suggest a large-scale and high-quality graphene/h-BN film hybrid structure with a defect-free interface. This sequentially grown graphene/h-BN film shows better electronic properties than that of graphene/SiO2 or graphene transferred on h-BN film, and suggests a new promising template for graphene device fabrication. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Growth of ZnO nanorods on stainless steel wire using chemical vapour deposition and their photocatalytic activity.

    PubMed

    Abd Aziz, Siti Nor Qurratu Aini; Pung, Swee-Yong; Ramli, Nurul Najiah; Lockman, Zainovia

    2014-01-01

    The photodegradation efficiency of ZnO nanoparticles in removal of organic pollutants deteriorates over time as a high percentage of the nanoparticles can be drained away by water during the wastewater treatment. This problem can be solved by growing the ZnO nanorods on stainless steel wire. In this work, ZnO nanorods were successfully grown on stainless steel wire by chemical vapour deposition. The SAED analysis indicates that ZnO nanorod is a single crystal and is preferentially grown in [0001] direction. The deconvoluted O 1s peak at 531.5 eV in XPS analysis is associated with oxygen deficient, revealing that the ZnO nanorods contain many oxygen vacancies. This observation is further supported by the finding of the small I(uv)/I(vis) ratio, that is, ~1 in the photoluminescence analysis. The growth of ZnO nanorods on stainless steel wire was governed by vapour-solid mechanism as there were no Fe particles observed at the tips of the nanorods. The photodegradation of Rhodamine B solution by ZnO nanorods followed the first-order kinetics.

  15. Growth of ZnO Nanorods on Stainless Steel Wire Using Chemical Vapour Deposition and Their Photocatalytic Activity

    PubMed Central

    Abd Aziz, Siti Nor Qurratu Aini; Pung, Swee-Yong; Ramli, Nurul Najiah; Lockman, Zainovia

    2014-01-01

    The photodegradation efficiency of ZnO nanoparticles in removal of organic pollutants deteriorates over time as a high percentage of the nanoparticles can be drained away by water during the wastewater treatment. This problem can be solved by growing the ZnO nanorods on stainless steel wire. In this work, ZnO nanorods were successfully grown on stainless steel wire by chemical vapour deposition. The SAED analysis indicates that ZnO nanorod is a single crystal and is preferentially grown in [0001] direction. The deconvoluted O 1s peak at 531.5 eV in XPS analysis is associated with oxygen deficient, revealing that the ZnO nanorods contain many oxygen vacancies. This observation is further supported by the finding of the small I uv/I vis ratio, that is, ~1 in the photoluminescence analysis. The growth of ZnO nanorods on stainless steel wire was governed by vapour-solid mechanism as there were no Fe particles observed at the tips of the nanorods. The photodegradation of Rhodamine B solution by ZnO nanorods followed the first-order kinetics. PMID:24587716

  16. High-rate deposition of LiNb 1- xTa xO 3 films by thermal plasma spray CVD

    NASA Astrophysics Data System (ADS)

    Majima, T.; Yamamoto, H.; Kulinich, S. A.; Terashima, K.

    2000-12-01

    LiNb 1- xTa xO 3 films were prepared by a thermal plasma spray CVD method using liquid source materials. Preferentially (0 0 1)-oriented LiNb 1- xTa xO 3 films with satisfactory in-plane and out-of-plane alignment were fabricated on sapphire (0 0 1) substrates. The full-width at half-maximum (FWHM) of the (0 0 6) rocking curve could achieve 0.12°, which was comparable with those of LiNbO 3 and LiTaO 3 films prepared by other conventional vapor-phase deposition methods. The deposition rate was up to 0.07 μm/min, which was 5-40 times faster than those for most other conventional vapor-phase deposition methods. From inductively coupled plasma atomic emission spectroscopy analysis, x values of these films were estimated to be 0.36-0.49.

  17. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    PubMed

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (<100 nm) coatings can easily be obtained by chemical vapor deposition (CVD) for a variety of technological applications. Room temperature modification with functional polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. A Rapid Deposition of Fluorine Doped Zinc Oxide Using the Atmospheric Pressure Chemical Vapour Deposition Method

    NASA Astrophysics Data System (ADS)

    Najafi, Navid; Rozati, S. M.

    2018-03-01

    Fluorine-doped zinc oxide (FZO) (ZnO:F) thin films were manufactured by atmospheric pressure chemical vapor deposition (APCVD) on glass substrates using zinc acetate dihydrate [C4H6O4Zn·2H2O, ZnAc] and ammonium fluoride (NH4F) as the source of fluorine with deposition duration of only 120 s for each sample. The effects of different amounts of fluorine as the dopant on the structural, electrical and optical properties of FZO thin films were investigated. The results show a polycrystalline structure at higher temperatures compared to amorphous structure at lower temperatures. The x-ray diffraction patterns of the polycrystalline films were identified as a hexagonal wurtzite structure of zinc oxide (ZnO) with the (002) preferred orientation. Also, the sheet resistance decreased from 17.8 MΩ/□ to 28.9 KΩ/□ for temperatures 325°C to 450°C, respectively. In order to further decrease the sheet resistance of the undoped ZnO thin films, fluorine was added using NH4F as the precursor, and again a drastic change in sheet resistance of only 17.7 Ω/□ was obtained. Based on the field emission scanning electron microscopy images, the fluorine concentration in CVD source is an important factor affecting the grain size and modifies electrical parameters. Ultraviolet-visible measurements revealed reduction of transparency of the layers with increasing fluorine as the dopant.

  19. Continuous flow chemical vapour deposition of carbon nanotube sea urchins.

    PubMed

    de La Verpilliere, Jean; Jessl, Sarah; Saeed, Khuzaimah; Ducati, Caterina; De Volder, Michael; Boies, Adam

    2018-04-26

    Hybrid structures consisting of functional materials enhanced by carbon nanotubes (CNTs) have potential for a variety of high impact applications, as shown by the impressive progress in sensing and mechanical applications enabled by CNT-enhanced materials. The hierarchical organisation of CNTs with other materials is key to the design of macroscale devices benefiting from the unique properties of individual CNTs, provided CNT density, morphology and binding with other materials are optimized. In this paper, we provide an analysis of a continuous aerosol process to create a hybrid hierarchical sea urchin structure with CNTs organized around a functional metal oxide core. We propose a new mechanism for the growth of these carbon nanotube sea urchins (CNTSU) and give new insight into their chemical composition. To corroborate the new mechanism, we examine the influence of CNT growth conditions on CNTSU morphology and demonstrate a new in-line characterisation technique to continuously monitor aerosol CNT growth during synthesis, which enables industrial-scale production optimization. Based upon the new formation mechanism we describe the first substrate-based chemical vapour deposition growth of CNTSUs which increases CNT length and improves G to D ratio, which also allows for the formation of CNTSU carpets with unique structures.

  20. Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications

    NASA Astrophysics Data System (ADS)

    Faÿ, S.; Shah, A.

    Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.

  1. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caplan, M.; Olstad, R.; Jory, H.

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this projectmore » uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)« less

  2. Substrate patterning with NiOx nanoparticles and hot-wire chemical vapour deposition of WO3x and carbon nanostructures

    NASA Astrophysics Data System (ADS)

    Houweling, Z. S.

    2011-10-01

    The first part of the thesis treats the formation of nickel catalyst nanoparticles. First, a patterning technique using colloids is employed to create ordered distributions of monodisperse nanoparticles. Second, nickel films are thermally dewetted, which produces mobile species that self-arrange in non-ordered distributions of polydisperse particles. Third, the mobility of the nickel species is successfully reduced by the addition of air during the dewetting and the use of a special anchoring layer. Thus, non-ordered distributions of self-arranged monodisperse nickel oxide nanoparticles (82±10 nm x 16±2 nm) are made. Studies on nickel thickness, dewetting time and dewetting temperature are conducted. With these particle templates, graphitic carbon nanotubes are synthesised using catalytic hot-wire chemical vapour deposition (HWCVD), demonstrating the high-temperature processability of the nanoparticles. The second part of this thesis treats the non-catalytic HWCVD of tungsten oxides (WO3-x). Resistively heated tungsten filaments exposed to an air flow at subatmospheric pressures, produce tungsten oxide vapour species, which are collected on substrates and are subsequently characterised. First, a complete study on the process conditions is conducted, whereby the effects of filament radiation, filament temperature, process gas pressure and substrate temperature, are investigated. The thus controlled growth of nanogranular smooth amorphous and crystalline WO3-x thin films is presented for the first time. Partially crystalline smooth hydrous WO3-x thin films consisting of 20 nm grains can be deposited at very high rates. The synthesis of ultrafine powders with particle sizes of about 7 nm and very high specific surface areas of 121.7±0.4 m2·g-1 at ultrahigh deposition rates of 36 µm·min-1, is presented. Using substrate heating to 600°C or more, while using air pressures of 3·10-5 mbar to 0.1 mbar, leads to pronounced crystal structures, from nanowires, to

  3. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  4. Characterization of doped hydrogenated nanocrystalline silicon films prepared by plasma enhanced chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Wang, Jin-Liang; Wu, Er-Xing

    2007-03-01

    The B- and P-doped hydrogenated nanocrystalline silicon films (nc-Si:H) are prepared by plasma-enhanced chemical vapour deposition (PECVD). The microstructures of doped nc-Si:H films are carefully and systematically characterized by using high resolution electron microscopy (HREM), Raman scattering, x-ray diffraction (XRD), Auger electron spectroscopy (AES), and resonant nucleus reaction (RNR). The results show that as the doping concentration of PH3 increases, the average grain size (d) tends to decrease and the crystalline volume percentage (Xc) increases simultaneously. For the B-doped samples, as the doping concentration of B2H6 increases, no obvious change in the value of d is observed, but the value of Xc is found to decrease. This is especially apparent in the case of heavy B2H6 doped samples, where the films change from nanocrystalline to amorphous.

  5. CVD of SiC and AlN using cyclic organometallic precursors

    NASA Technical Reports Server (NTRS)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  6. A mechanical-force-driven physical vapour deposition approach to fabricating complex hydride nanostructures.

    PubMed

    Pang, Yuepeng; Liu, Yongfeng; Gao, Mingxia; Ouyang, Liuzhang; Liu, Jiangwen; Wang, Hui; Zhu, Min; Pan, Hongge

    2014-03-24

    Nanoscale hydrides desorb and absorb hydrogen at faster rates and lower temperatures than bulk hydrides because of their high surface areas, abundant grain boundaries and short diffusion distances. No current methods exist for the direct fabrication of nanoscale complex hydrides (for example, alanates, borohydrides) with unique morphologies because of their extremely high reducibility, relatively low thermodynamic stability and complicated elemental composition. Here, we demonstrate a mechanical-force-driven physical vapour deposition procedure for preparing nanoscale complex hydrides without scaffolds or supports. Magnesium alanate nanorods measuring 20-40 nm in diameter and lithium borohydride nanobelts measuring 10-40 nm in width are successfully synthesised on the basis of the one-dimensional structure of the corresponding organic coordination polymers. The dehydrogenation kinetics of the magnesium alanate nanorods are improved, and the nanorod morphology persists through the dehydrogenation-hydrogenation process. Our findings may facilitate the fabrication of such hydrides with improved hydrogen storage properties for practical applications.

  7. A mechanical-force-driven physical vapour deposition approach to fabricating complex hydride nanostructures

    NASA Astrophysics Data System (ADS)

    Pang, Yuepeng; Liu, Yongfeng; Gao, Mingxia; Ouyang, Liuzhang; Liu, Jiangwen; Wang, Hui; Zhu, Min; Pan, Hongge

    2014-03-01

    Nanoscale hydrides desorb and absorb hydrogen at faster rates and lower temperatures than bulk hydrides because of their high surface areas, abundant grain boundaries and short diffusion distances. No current methods exist for the direct fabrication of nanoscale complex hydrides (for example, alanates, borohydrides) with unique morphologies because of their extremely high reducibility, relatively low thermodynamic stability and complicated elemental composition. Here, we demonstrate a mechanical-force-driven physical vapour deposition procedure for preparing nanoscale complex hydrides without scaffolds or supports. Magnesium alanate nanorods measuring 20-40 nm in diameter and lithium borohydride nanobelts measuring 10-40 nm in width are successfully synthesised on the basis of the one-dimensional structure of the corresponding organic coordination polymers. The dehydrogenation kinetics of the magnesium alanate nanorods are improved, and the nanorod morphology persists through the dehydrogenation-hydrogenation process. Our findings may facilitate the fabrication of such hydrides with improved hydrogen storage properties for practical applications.

  8. Direct growth of ZnO tetrapod on glass substrate by Chemical Vapor Deposition Technique

    NASA Astrophysics Data System (ADS)

    Fadzil, M. F. M.; Rahman, R. A.; Azhar, N. E. A.; Aziz, T. N. T. A.; Zulkifli, Z.

    2018-03-01

    This research demonstrates the growth of ZnO tetrapod structure on glass substrate for different types of flow gas and at different growth temperatures. The study on the morphological structure and electrical properties of ZnO thin film growth by Chemical Vapour Deposition (CVD) technique showed that the optimum growth temperature was obtained at 750°C with ZnO nanotetrapod morphological structure. Introducing Nitrogen gas flow during the growth process exhibited leg-to-leg linking ZnO tetrapods morphology. The electrical properties of ZnO tetrapods film were measured by using two point probes and it shows that, the sample growth in Ar and O2 atmosphere have better I-V characteristic.

  9. Investigation of chemical vapour deposition diamond detectors by X-ray micro-beam induced current and X-ray micro-beam induced luminescence techniques

    NASA Astrophysics Data System (ADS)

    Olivero, P.; Manfredotti, C.; Vittone, E.; Fizzotti, F.; Paolini, C.; Lo Giudice, A.; Barrett, R.; Tucoulou, R.

    2004-10-01

    Tracking detectors have become an important ingredient in high-energy physics experiments. In order to survive the harsh detection environment of the large hadron collider (LHC), trackers need to have special properties. They must be radiation hard, provide fast collection of charge, be as thin as possible and remove heat from readout electronics. The unique properties of diamond allow it to fulfill these requirements. In this work we present an investigation of the charge transport and luminescence properties of "detector grade" artificial chemical vapour deposition (CVD) diamond devices developed within the CERN RD42 collaboration, performed by means of X-ray micro-beam induced current collection (XBICC) and X-ray micro-beam induced luminescence (XBIL) techniques. XBICC technique allows quantitative estimates of the transport parameters of the material to be evaluated and mapped with micrometric spatial resolution. In particular, the high resolution and sensitivity of the technique has allowed a quantitative study of the inhomogeneity of the charge transport parameter defined as the product of mobility and lifetime for both electron and holes. XBIL represents a technique complementary to ion beam induced luminescence (IBIL), which has already been used by our group, since X-ray energy loss profile in the material is different from that of MeV ions. X-ray induced luminescence maps have been performed simultaneously with induced photocurrent maps, to correlate charge transport and induced luminescence properties of diamond. Simultaneous XBICC and XBIL maps exhibit features of partial complementarity that have been interpreted on the basis of considerations on radiative and non-radiative recombination processes which compete with charge transport efficiency.

  10. Direct growth of aligned graphitic nanoribbons from a DNA template by chemical vapour deposition.

    PubMed

    Sokolov, Anatoliy N; Yap, Fung Ling; Liu, Nan; Kim, Kwanpyo; Ci, Lijie; Johnson, Olasupo B; Wang, Huiliang; Vosgueritchian, Michael; Koh, Ai Leen; Chen, Jihua; Park, Jinseong; Bao, Zhenan

    2013-01-01

    Graphene, laterally confined within narrow ribbons, exhibits a bandgap and is envisioned as a next-generation material for high-performance electronics. To take advantage of this phenomenon, there is a critical need to develop methodologies that result in graphene ribbons <10 nm in width. Here we report the use of metal salts infused within stretched DNA as catalysts to grow nanoscopic graphitic nanoribbons. The nanoribbons are termed graphitic as they have been determined to consist of regions of sp(2) and sp(3) character. The nanoscopic graphitic nanoribbons are micrometres in length, <10 nm in width, and take on the shape of the DNA template. The DNA strand is converted to a graphitic nanoribbon by utilizing chemical vapour deposition conditions. Depending on the growth conditions, metallic or semiconducting graphitic nanoribbons are formed. Improvements in the growth method have potential to lead to bottom-up synthesis of pristine single-layer graphene nanoribbons.

  11. Onset conditions for gas phase reaction and nucleation in the CVD of transition metal oxides

    NASA Technical Reports Server (NTRS)

    Collins, J.; Rosner, D. E.; Castillo, J.

    1992-01-01

    A combined experimental/theoretical study is presented of the onset conditions for gas phase reaction and particle nucleation in hot substrate/cold gas CVD of transition metal oxides. Homogeneous reaction onset conditions are predicted using a simple high activation energy reacting gas film theory. Experimental tests of the basic theory are underway using an axisymmetric impinging jet CVD reactor. No vapor phase ignition has yet been observed in the TiCl4/O2 system under accessible operating conditions (below substrate temperature Tw = 1700 K). The goal of this research is to provide CVD reactor design and operation guidelines for achieving acceptable deposit microstructures at the maximum deposition rate while simultaneously avoiding homogeneous reaction/nucleation and diffusional limitations.

  12. Growth of carbon nanotubes (CNTs) on metallic underlayers by diffusion plasma-enhanced chemical vapour deposition (DPECVD)

    NASA Astrophysics Data System (ADS)

    Kim, S. M.; Gangloff, L.

    2009-10-01

    Here, we demonstrate the low-temperature (480-612 °C) synthesis of carbon nanotubes (CNTs) on different metallic underlayers (i.e., NiV, Ir, Ag, Pt, W, and Ta) using diffusion (dc) plasma-enhanced (~20 W, -600 V) chemical vapour deposition (DPECVD). The catalyst used is bi-layered Fe/Al and the feedstock used is a mixture of C 2H 2 and NH 3 (1:4). The crucial component is the diffusion of radical ions and hydrogen generated such as H 2/H +/H 2+/NH 3+/CH 2+/C 2H 2+ (which are confirmed by in-situ mass spectroscopy) from the nozzle, where it is inserted for most effective plasma diffusion between a substrate and a gas distributor.

  13. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya

    2007-01-19

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transitionmore » of 1s{yields}{sigma}* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s{yields}{pi}* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from {approx_equal}2.2% of the as-deposited FIB-CVD DLC to {approx_equal}1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C.« less

  14. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  15. Synthesis of Large-area Crystalline MoTe2 Atomic layer from Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Zhou, Lin; Zubair, Ahmad; Xu, Kai; Kong, Jing; Dresselhaus, Mildred

    The controlled synthesis of highly crystalline large-area molybdenum ditelluride MoTe2 atomic layers is crucial for the practical applications of this emerging material. Here we develop a novel approach for the growth of large-area, uniform and highly crystalline few-layer MoTe2 film via chemical vapour deposition (CVD). Large-area atomically thin MoTe2 film has been successfully synthesized by tellurization of a MoO3 film. The as-grown MoTe2 film is uniform, stoichiometric, and highly crystalline. As a result of the high crystallinity, the electronic properties of MoTe2 film are comparable with that of mechanically exfoliated MoTe2 flakes. Moreover, we found that two different phases of MoTe2 (2H and 1T') can be grown depending on the choice of Mo precursor. Since the MoTe2 film is highly homogenous, and the size of the film is only limited by the substrate and CVD system size, our growth method paves the way for large-scale application of MoTe2 in high performance nanoelectronics and optoelectronics.

  16. Transition Metal Dichalcogenide Growth via Close Proximity Precursor Supply

    NASA Astrophysics Data System (ADS)

    O'Brien, Maria; McEvoy, Niall; Hallam, Toby; Kim, Hye-Young; Berner, Nina C.; Hanlon, Damien; Lee, Kangho; Coleman, Jonathan N.; Duesberg, Georg S.

    2014-12-01

    Reliable chemical vapour deposition (CVD) of transition metal dichalcogenides (TMDs) is currently a highly pressing research field, as numerous potential applications rely on the production of high quality films on a macroscopic scale. Here, we show the use of liquid phase exfoliated nanosheets and patterned sputter deposited layers as solid precursors for chemical vapour deposition. TMD monolayers were realized using a close proximity precursor supply in a CVD microreactor setup. A model describing the growth mechanism, which is capable of producing TMD monolayers on arbitrary substrates, is presented. Raman spectroscopy, photoluminescence, X-ray photoelectron spectroscopy, atomic force microscopy, transmission electron microscopy, scanning electron microscopy and electrical transport measurements reveal the high quality of the TMD samples produced. Furthermore, through patterning of the precursor supply, we achieve patterned growth of monolayer TMDs in defined locations, which could be adapted for the facile production of electronic device components.

  17. Ion beam figuring of CVD silicon carbide mirrors

    NASA Astrophysics Data System (ADS)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  18. Osteoconductive Potential of Barrier NanoSiO2 PLGA Membranes Functionalized by Plasma Enhanced Chemical Vapour Deposition

    PubMed Central

    Terriza, Antonia; Vilches-Pérez, Jose I.; de la Orden, Emilio; Yubero, Francisco; Gonzalez-Caballero, Juan L.; González-Elipe, Agustin R.; Vilches, José; Salido, Mercedes

    2014-01-01

    The possibility of tailoring membrane surfaces with osteoconductive potential, in particular in biodegradable devices, to create modified biomaterials that stimulate osteoblast response should make them more suitable for clinical use, hopefully enhancing bone regeneration. Bioactive inorganic materials, such as silica, have been suggested to improve the bioactivity of synthetic biopolymers. An in vitro study on HOB human osteoblasts was performed to assess biocompatibility and bioactivity of SiO2 functionalized poly(lactide-co-glycolide) (PLGA) membranes, prior to clinical use. A 15 nm SiO2 layer was deposited by plasma enhanced chemical vapour deposition (PECVD), onto a resorbable PLGA membrane. Samples were characterized by X-ray photoelectron spectroscopy, atomic force microscopy, scanning electron microscopy, and infrared spectroscopy (FT-IR). HOB cells were seeded on sterilized test surfaces where cell morphology, spreading, actin cytoskeletal organization, and focal adhesion expression were assessed. As proved by the FT-IR analysis of samples, the deposition by PECVD of the SiO2 onto the PLGA membrane did not alter the composition and other characteristics of the organic membrane. A temporal and spatial reorganization of cytoskeleton and focal adhesions and morphological changes in response to SiO2 nanolayer were identified in our model. The novedous SiO2 deposition method is compatible with the standard sterilization protocols and reveals as a valuable tool to increase bioactivity of resorbable PLGA membranes. PMID:24883304

  19. Plasma enhanced chemical vapour deposition of silica onto Ti: Analysis of surface chemistry, morphology and functional hydroxyl groups

    PubMed Central

    Szili, Endre J.; Kumar, Sunil; Smart, Roger St. C.; Lowe, Rachel; Saiz, Eduardo; Voelcker, Nicolas H.

    2009-01-01

    Previously, we have developed and characterised a procedure for the deposition of thin silica films by a plasma enhanced chemical vapour deposition (PECVD) procedure using tetraethoxysilane (TEOS) as the main precursor. We have used the silica coatings for improving the corrosion resistance of metals and for enhancing the bioactivity of biomedical metallic implants. Recently, we have been fine-tuning the PECVD method for producing high quality and reproducible PECVD-silica (PECVD-Si) coatings on metals, primarily for biomaterial applications. In order to understand the interaction of the PECVD-Si coatings with biological species (such as proteins and cells), it is important to first analyse the properties of the silica films deposited using the optimised parameters. Therefore, this current investigation was carried out to analyse the characteristic features of PECVD-Si deposited on Ti substrates (PECVD-Si-Ti). We determined that the PECVD-Si coatings on Ti were conformal to the substrate surface, strongly adhered to the underlying substrate and were resistant to delamination. The PECVD-Si surface was composed of stoichiometric SiO2, showed a low carbon content (below 10 at.%) and was very hydrophilic (contact angle <10°). Finally, we also showed that the PECVD-Si coatings contain functional hydroxyl groups. PMID:19809536

  20. Recent Results with CVD Diamond Trackers

    NASA Astrophysics Data System (ADS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm 2 diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 μs shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm 2 diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  1. Thermal chemical vapor deposition (T-CVD) growth of carbon nanotubes on different metallic underlayers

    NASA Astrophysics Data System (ADS)

    Kim, S. M.; Gangloff, L.

    2011-06-01

    The synthesis of carbon nanotubes (CNTs) on various substrates by thermal chemical vapor deposition (T-CVD) (500-800 °C) is described. C 2H 2 (98% purity) is used as the carbon feedstock and the metallic underlayers, i.e., AlCu, Cu, Ag, Ta, and NiV are used. A crucial component is the insertion (or inclusion) of an Al layer between the metal and the catalyst, which then leads to the effective growth of CNTs. The types of CNTs (single or multi walled) could be dependent on the diameter of Al xO y nanoparticles that are formed during the annealing process. In situ mass spectroscopy reveals that the increase in CO 2 and H 2O with temperature, during the growth, could be correlated to the formation of longer CNTs (∼2 μm) on NiV and Ta due to their etching effects (i.e. C+CO 2→2CO and C+H 2O→CO+H 2).

  2. Selected Topics in CVD Diamond Research

    NASA Astrophysics Data System (ADS)

    Koizumi, Satoshi; Nebel, Christoph E.; Nesladek, Milos

    2006-10-01

    Since the discovery of Chemical Vapor Deposition (CVD) diamond growth in 1976, the steady scientific progress often resulted in surprising new discoveries and breakthroughs. This brought us to the idea to publish the special issue Selected Topics in CVD Diamond Research in physica status solidi (a), reflecting such advancements and interesting results at the leading edge of diamond research.The present issue summarizes this progress in the CVD diamond field by selecting contributions from several areas such as superconductivity, super-excitonic radiation, quantum computing, bio-functionalization, surface electronic properties, the nature of phosphorus doping, transport properties in high energy detectors, CVD growth and properties of nanocrystalline diamond. In all these directions CVD diamond appears to be very competitive in comparison with other semiconducting materials.As Editors of this special issue, we must admit that the selection is biased by our opinion. Nonetheless, we are sure that each contribution introduces new ideas and results which will improve the understanding of the current level of physics and chemistry of this attractive wide-bandgap semiconductor and which will help to bring it closer to applications.All submissions were invited based on the contributions of the authors to their specific research field. The Feature Articles have the format of topical reviews to give the reader a comprehensive summary. Partially, however, they are written in research paper style to report new results of ongoing research.We hope that this issue will attract the attention of a broad community of scientists and engineers, and that it will facilitate the utilization of diamond in electronic applications and technologies of the future.

  3. Fabrication of nanostructured electrodes and interfaces using combustion CVD

    NASA Astrophysics Data System (ADS)

    Liu, Ying

    Reducing fabrication and operation costs while maintaining high performance is a major consideration for the design of a new generation of solid-state ionic devices such as fuel cells, batteries, and sensors. The objective of this research is to fabricate nanostructured materials for energy storage and conversion, particularly porous electrodes with nanostructured features for solid oxide fuel cells (SOFCs) and high surface area films for gas sensing using a combustion CVD process. This research started with the evaluation of the most important deposition parameters: deposition temperature, deposition time, precursor concentration, and substrate. With the optimum deposition parameters, highly porous and nanostructured electrodes for low-temperature SOFCs have been then fabricated. Further, nanostructured and functionally graded La0.8Sr0.2MnO2-La 0.8SrCoO3-Gd0.1Ce0.9O2 composite cathodes were fabricated on YSZ electrolyte supports. Extremely low interfacial polarization resistances (i.e. 0.43 Ocm2 at 700°C) and high power densities (i.e. 481 mW/cm2 at 800°C) were generated at operating temperature range of 600°C--850°C. The original combustion CVD process is modified to directly employ solid ceramic powder instead of clear solution for fabrication of porous electrodes for solid oxide fuel cells. Solid particles of SOFC electrode materials suspended in an organic solvent were burned in a combustion flame, depositing a porous cathode on an anode supported electrolyte. Combustion CVD was also employed to fabricate highly porous and nanostructured SnO2 thin film gas sensors with Pt interdigitated electrodes. The as-prepared SnO2 gas sensors were tested for ethanol vapor sensing behavior in the temperature range of 200--500°C and showed excellent sensitivity, selectivity, and speed of response. Moreover, several novel nanostructures were synthesized using a combustion CVD process, including SnO2 nanotubes with square-shaped or rectangular cross sections, well

  4. Initiated Chemical Vapor Deposition (iCVD) of Highly Cross-Linked Polymer Films for Advanced Lithium-Ion Battery Separators.

    PubMed

    Yoo, Youngmin; Kim, Byung Gon; Pak, Kwanyong; Han, Sung Jae; Song, Heon-Sik; Choi, Jang Wook; Im, Sung Gap

    2015-08-26

    We report an initiated chemical vapor deposition (iCVD) process to coat polyethylene (PE) separators in Li-ion batteries with a highly cross-linked, mechanically strong polymer, namely, polyhexavinyldisiloxane (pHVDS). The highly cross-linked but ultrathin pHVDS films can only be obtained by a vapor-phase process, because the pHVDS is insoluble in most solvents and thus infeasible with conventional solution-based methods. Moreover, even after the pHVDS coating, the initial porous structure of the separator is well preserved owing to the conformal vapor-phase deposition. The coating thickness is delicately controlled by deposition time to the level that the pore size decreases to below 7% compared to the original dimension. The pHVDS-coated PE shows substantially improved thermal stability and electrolyte wettability. After incubation at 140 °C for 30 min, the pHVDS-coated PE causes only a 12% areal shrinkage (versus 90% of the pristine separator). The superior wettability results in increased electrolyte uptake and ionic conductivity, leading to significantly improved rate performance. The current approach is applicable to a wide range of porous polymeric separators that suffer from thermal shrinkage and poor electrolyte wetting.

  5. Chemical vapor deposition of anisotropic ultrathin gold films on optical fibers: real-time sensing by tilted fiber Bragg gratings and use of a dielectric pre-coating

    NASA Astrophysics Data System (ADS)

    Mandia, David J.; Zhou, Wenjun; Ward, Matthew J.; Joress, Howie; Giorgi, Javier B.; Gordon, Peter; Albert, Jacques; Barry, Seán. T.

    2014-09-01

    Tilted fiber Bragg gratings (TFBGs) are refractometry-based sensor platforms that have been employed herein as devices for the real-time monitoring of chemical vapour deposition (CVD) in the near-infrared range (NIR). The coreguided light launched within the TFBG core is back-reflected off a gold mirror sputtered onto the fiber-end and is scattered out into the cladding where it can interact with a nucleating thin film. Evanescent fields of the growing gold nanostructures behave differently depending on the polarization state of the core-guided light interrogating the growing film, therefore the resulting spectral profile is typically decomposed into two separate peak families for the orthogonal S- and P-polarizations. Wavelength shifts and attenuation profiles generated from gold films in the thickness regime of 5-100 nm are typically degenerate for deposition directly onto the TFBG. However, a polarization-dependence can be imposed by adding a thin dielectric pre-coating onto the TFBG prior to using the device for CVD monitoring of the ultrathin gold films. It is found that addition of the pre-coating enhances the sensitivity of the P-polarized peak family to the deposition of ultrathin gold films and renders the films optically anisotropic. It is shown herein that addition of the metal oxide coating can increase the peak-to-peak wavelength separation between orthogonal polarization modes as well as allow for easy resonance tracking during deposition. This is also the first reporting of anisotropic gold films generated from this particular gold precursor and CVD process. Using an ensemble of x-ray techniques, the local fine structure of the gold films deposited directly on the TFBG is compared to gold films of similar thicknesses deposited on the Al2O3 pre-coated TFBG and witness slides.

  6. MEDUSA: The ExoMars experiment for in-situ monitoring of dust and water vapour

    NASA Astrophysics Data System (ADS)

    Colangeli, L.; Lopez-Moreno, J. J.; Nørnberg, P.; Della Corte, V.; Esposito, F.; Mazzotta Epifani, E.; Merrison, J.; Molfese, C.; Palumbo, P.; Rodriguez-Gomez, J. F.; Rotundi, A.; Visconti, G.; Zarnecki, J. C.; The International Medusa Team

    2009-07-01

    Dust and water vapour are fundamental components of the Martian atmosphere. In view of tracing the past environmental conditions on Mars, that possibly favoured the appearing of life forms, it is important to study the present climate and its evolution. Here dust and water vapour have (and have had) strong influence. Of major scientific interest is the quantity and physical, chemical and electrical properties of dust and the abundance of water vapour dispersed in the atmosphere and their exchange with the surface. Moreover, in view of the exploration of the planet with automated systems and in the future by manned missions, it is of primary importance to analyse the hazards linked to these environmental factors. The Martian Environmental Dust Systematic Analyser (MEDUSA) experiment, included in the scientific payload of the ESA ExoMars mission, accommodates a complement of sensors, based on optical detection and cumulative mass deposition, that aims to study dust and water vapour in the lower Martian atmosphere. The goals are to study, for the first time, in-situ and quantitatively, physical properties of the airborne dust, including the cumulative dust mass flux, the dust deposition rate, the physical and electrification properties, the size distribution of sampled particles and the atmospheric water vapour abundance versus time.

  7. Highly photostable NV centre ensembles in CVD diamond produced by using N2O as the doping gas

    NASA Astrophysics Data System (ADS)

    Tallaire, A.; Mayer, L.; Brinza, O.; Pinault-Thaury, M. A.; Debuisschert, T.; Achard, J.

    2017-10-01

    High density Nitrogen-Vacancy (NV) centre ensembles incorporated in plasma assisted chemical vapour deposition (CVD) diamond are crucial to the development of more efficient sensing devices that use the properties of luminescent defects. Achieving high NV doping with N2 as the dopant gas source during diamond growth is, however, plagued by the formation of macroscopic and point defects that quench luminescence. Moreover, such NVs are found to exhibit poor photostability under high laser powers. Although this effect can be harnessed to locally and durably switch off NV luminescence for data storage, it is usually undesirable for most applications. In this work, the use of N2O as an alternative doping source is proposed. Much higher amounts of the doping gas can be added without significantly generating defects, which allows the incorporation of perfectly photostable and higher density NV ensembles. This effect is believed to be related to the lower dissociation energy of the N2O molecule together with the beneficial effect of the presence of a low and controlled amount of oxygen near the growing surface.

  8. CVD Growth of Carbon Nanotubes: Structure, Catalyst, and Growth

    NASA Technical Reports Server (NTRS)

    Delzeit, Lance

    2003-01-01

    Carbon nanotubes (CNTs) exhibit extraordinary mechanical and unique electronic properties and hence have been receiving much attention in recent years for their potential in nanoelectronics, field emission devices, scanning probes, high strength composites and many more applications. Catalytic decomposition of hydrocarbon feedstock with the aid of supported transition metal catalysts - also known as chemical vapor deposition (CVD) - has become popular to produce single-walled and multi-walled nanotubes (SWNTs, MWNTs) and multiwalled nanofibers (MWNFs). The ability to grow CNTs on patterned substrates and in vertically aligned arrays, and the simplicity of the process, has made CVD growth of CNTs an attractive approach.

  9. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    NASA Astrophysics Data System (ADS)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-12-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the UnrealTM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures.

  10. Al-Mn CVD-FBR coating on P92 steel as protection against steam oxidation at 650 °C: TGA-MS study

    NASA Astrophysics Data System (ADS)

    Castañeda, S. I.; Pérez, F. J.

    2018-02-01

    The initial stages oxidation of the P92 ferritic/martensitic steel with and without Al-Mn coating at 650 °C in Ar+40%H2O for 240 h were investigated by mass spectrometry (MS) and thermogravimetric analysis (TGA). TGA-MS measurements were conducted in a closed steam loop. An Al-Mn coating was deposited on P92 steel at 580 °C for 2 h by chemical vapour deposition in a fluidized bed reactor (CVD-FBR). The coating as-deposited was treated in the same reactor at 700 °C in Ar for 2h, in order to produce aluminide phases that form the protective alumina layer (Al2O3) during oxidation. MS measurements at 650 °C of the Al-Mn/P92 sample for 200 h indicated the presence of (Al-Mn-Cr-Fe-O) volatile species of small intensity. Uncoated P92 steel oxidized under the same steam oxidation conditions emitted greater intensities of volatile species of Cr, Fe and Mo in comparison with intensities from coated steel. TGA measurements verified that the mass gained by the coated sample was up to 300 times lower than for uncoated P92 steel. The morphology, composition and structure of samples by Scanning Electron Microscopy SEM, Backscattered Electron (BSE) detection, X-ray Energy Dispersive Spectrometry (EDAX) and X-ray Diffraction (XRD) are described.

  11. Vapour sensitivity of an ALD hierarchical photonic structure inspired by Morpho.

    PubMed

    Poncelet, Olivier; Tallier, Guillaume; Mouchet, Sébastien R; Crahay, André; Rasson, Jonathan; Kotipalli, Ratan; Deparis, Olivier; Francis, Laurent A

    2016-05-09

    The unique architecture of iridescent Morpho butterfly scales is known to exhibit different optical responses to various vapours. However, the mechanism behind this phenomenon is not fully quantitatively understood. This work reports on process developments in the micro-fabrication of a Morpho-inspired photonic structure in atomic layer deposited (ALD) materials in order to investigate the vapour optical sensitivity of such artificial nanostructures. By developing recipes for dry and wet etching of ALD oxides, we micro-fabricated two structures: one combining Al2O3 and TiO2, and the other combining Al2O3 and HfO2. For the first time, we report the optical response of such ALD Morpho-like structures measured under a controlled flow of either ethanol or isopropyl alcohol (IPA) vapour. In spite of the small magnitude of the effect, the results show a selective vapour response (depending on the materials used).

  12. iCVD Cyclic Polysiloxane and Polysilazane as Nanoscale Thin-Film Electrolyte: Synthesis and Properties.

    PubMed

    Chen, Nan; Reeja-Jayan, B; Liu, Andong; Lau, Jonathan; Dunn, Bruce; Gleason, Karen K

    2016-03-01

    A group of crosslinked cyclic siloxane (Si-O) and silazane (Si-N) polymers are synthesized via solvent-free initiated chemical vapor deposition (iCVD). Notably, this is the first report of cyclic polysilazanes synthesized via the gas-phase iCVD method. The deposited nanoscale thin films are thermally stable and chemically inert. By iCVD, they can uniformly and conformally cover nonplanar surfaces having complex geometry. Although polysiloxanes are traditionally utilized as dielectric materials and insulators, our research shows these cyclic organosilicon polymers can conduct lithium ions (Li(+) ) at room temperature. The conformal coating and the room temperature ionic conductivity make these cyclic organosilicon polymers attractive for use as thin-film electrolytes in solid-state batteries. Also, their synthesis process and properties have been systemically studied and discussed. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Growth mechanisms in chemical vapour deposited carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Vinciguerra, Vincenzo; Buonocore, Francesco; Panzera, Giuseppe; Occhipinti, Luigi

    2003-06-01

    We present a model for the process of the growth of carbon nanotubes (CNTs) obtained by chemical vapour deposition in the presence of transition metal nanoparticles (Me-NPs) which act as a catalyst. We have deduced that the growth of a CNT occurs in the presence of two forces: (i) a viscous force, due to the surrounding hot gas, which opposes and slows down the growth of the CNT, and (ii) an extrusive force that causes the growth and that in the steady-state stage of the growth is completely balanced by the viscous force. We believe that it is the great decrease in free energy in the assembling reaction that occurs at the interface of the Me-NP catalyst that causes the extrusive force for the growth of a CNT. Moreover, the process of chemisorption of a C2 fragment, through the interaction of the C2-pi system with the 3d metal orbitals, has been considered as well as the coordination action of the Fe, Ni and Co metal surfaces. The structural properties of the Fe, Co and Ni surfaces show that the (1, - 1, 0) planes of Fe and the (1, 1, 1) planes of Co and Ni exhibit the symmetry and distances required to overlap with the lattice of a graphene sheet. This gives us information about the coordination mechanism responsible for assembling the CNTs. In fact, we show that it is possible to cleave an Me-NP in such a way as to match the correct symmetry and dimension of the armchair structure of a single-walled nanotube. The mechanism of C2 addition at the edge of the growing CNT has also been considered in relation to the highest occupied molecular orbital-lowest unoccupied molecular orbital (HOMO-LUMO) symmetry. We demonstrate that the action of d orbitals of the metal atoms forming the Me-NP makes possible the thermally forbidden reaction, which involves the C2-pi system.

  14. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  15. CVD of silicon carbide on structural fibers - Microstructure and composition

    NASA Technical Reports Server (NTRS)

    Veitch, Lisa C.; Terepka, Francis M.; Gokoglu, Suleyman A.

    1992-01-01

    Structural fibers are currently being considered as reinforcements for intermetallic and ceramic materials. Some of these fibers, however, are easily degraded in a high temperature oxidative environment. Therefore, coatings are needed to protect the fibers from environmental attack. Silicon carbide (SiC) was chemically vapor deposited (CVD) on Textron's SCS6 fibers. Fiber temperatures ranging from 1350 to 1500 C were studied. Silane (SiH4) and propane (C2H8) were used for the source gases and different concentrations of these source gases were studied. Deposition rates were determined for each group of fibers at different temperatures. Less variation in deposition rates were observed for the dilute source gas experiments than the concentrated source gas experiments. A careful analysis was performed on the stoichiometry of the CVD SiC coating using electron microprobe. Microstructures for the different conditions were compared. At 1350 C, the microstructures were similar; however, at higher temperatures, the microstructure for the more concentrated source gas group were porous and columnar in comparison to the cross sections taken from the same area for the dilute source gas group.

  16. CVD of silicon carbide on structural fibers: Microstructure and composition

    NASA Technical Reports Server (NTRS)

    Veitch, Lisa C.; Terepka, Francis M.; Gokoglu, Suleyman A.

    1992-01-01

    Structural fibers are currently being considered as reinforcements for intermetallic and ceramic materials. Some of these fibers, however, are easily degraded in a high temperature oxidative environment. Therefore, coatings are needed to protect the fibers from environmental attack. Silicon carbide (SiC) was chemically vapor deposited (CVD) on Textron's SCS6 fibers. Fiber temperatures ranging from 1350 to 1500 C were studied. Silane (SiH4) and propane (C2H8) were used for the source gases and different concentrations of these source gases were studied. Deposition rates were determined for each group of fibers at different temperatures. Less variation in deposition rates were observed for the dilute source gas experiments than the concentrated source gas experiments. A careful analysis was performed on the stoichiometry of the CVD SiC coating using electron microprobe. Microstructures for the different conditions were compared. At 1350 C, the microstructures were similar; however, at higher temperatures, the microstructure for the more concentrated source gas group were porous and columnar in comparison to the cross sections taken from the same area for the dilute source gas group.

  17. Variability in Chemical Vapor Deposited Zinc Sulfide: Assessment of Legacy and International CVD ZnS Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Korenstein, Ralph

    2009-10-06

    Samples of CVD ZnS from the United States, Germany, Israel, and China were evaluated using transmission spectroscopy, x-ray diffraction, photoluminescence, and biaxial flexure testing. Visible and near-infrared scattering, 6 μm absorption, and ultraviolet cut-on edge varied substantially in tested materials. Crystallographic hexagonality and texture was determined and correlated with optical scattering. Transmission cut-on (ultraviolet edge) blue-shifts with annealing and corresponds to visible color but not the 6 μm absorption. Photoluminescence results suggest that CVD ZnS exhibits a complex suite of electronic bandgap defects. All CVD ZnS tested with biaxial flexure exhibit similar fracture strength values and Weibull moduli. This surveymore » suggests that technical understanding of the structure and optical properties CVD ZnS is still in its infancy.« less

  18. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  20. Mapping of Low-Frequency Raman Modes in CVD-Grown Transition Metal Dichalcogenides: Layer Number, Stacking Orientation and Resonant Effects

    PubMed Central

    O’Brien, Maria; McEvoy, Niall; Hanlon, Damien; Hallam, Toby; Coleman, Jonathan N.; Duesberg, Georg S.

    2016-01-01

    Layered inorganic materials, such as the transition metal dichalcogenides (TMDs), have attracted much attention due to their exceptional electronic and optical properties. Reliable synthesis and characterization of these materials must be developed if these properties are to be exploited. Herein, we present low-frequency Raman analysis of MoS2, MoSe2, WSe2 and WS2 grown by chemical vapour deposition (CVD). Raman spectra are acquired over large areas allowing changes in the position and intensity of the shear and layer-breathing modes to be visualized in maps. This allows detailed characterization of mono- and few-layered TMDs which is complementary to well-established (high-frequency) Raman and photoluminescence spectroscopy. This study presents a major stepping stone in fundamental understanding of layered materials as mapping the low-frequency modes allows the quality, symmetry, stacking configuration and layer number of 2D materials to be probed over large areas. In addition, we report on anomalous resonance effects in the low-frequency region of the WS2 Raman spectrum. PMID:26766208

  1. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    PubMed

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  2. Chemical reactivity of CVC and CVD SiC with UO2 at high temperatures

    NASA Astrophysics Data System (ADS)

    Silva, Chinthaka M.; Katoh, Yutai; Voit, Stewart L.; Snead, Lance L.

    2015-05-01

    Two types of silicon carbide (SiC) synthesized using two different vapor deposition processes were embedded in UO2 pellets and evaluated for their potential chemical reaction with UO2. While minor reactivity between chemical-vapor-composited (CVC) SiC and UO2 was observed at comparatively low temperatures of 1100 and 1300 °C, chemical-vapor-deposited (CVD) SiC did not show any such reactivity. However, both CVD and CVC SiCs showed some reaction with UO2 at a higher temperature (1500 °C). Elemental maps supported by phase maps obtained using electron backscatter diffraction indicated that CVC SiC was more reactive than CVD SiC at 1500 °C. Furthermore, this investigation indicated the formation of uranium carbides and uranium silicide chemical phases such as UC, USi2, and U3Si2 as a result of SiC reaction with UO2.

  3. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    NASA Astrophysics Data System (ADS)

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-04-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10-9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices.

  4. Quantum Hall resistance standards from graphene grown by chemical vapour deposition on silicon carbide

    PubMed Central

    Lafont, F.; Ribeiro-Palau, R.; Kazazis, D.; Michon, A.; Couturaud, O.; Consejo, C.; Chassagne, T.; Zielinski, M.; Portail, M.; Jouault, B.; Schopfer, F.; Poirier, W.

    2015-01-01

    Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10−9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices. PMID:25891533

  5. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    NASA Astrophysics Data System (ADS)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  6. Development of CVD-W coatings on CuCrZr and graphite substrates with a PVD intermediate layer

    NASA Astrophysics Data System (ADS)

    Song, Jiupeng; Lian, Youyun; Lv, Yanwei; Liu, Junyong; Yu, Yang; Liu, Xiang; Yan, Binyou; Chen, Zhigang; Zhuang, Zhigang; Zhao, Ximeng; Qi, Yang

    2014-12-01

    In order to apply tungsten (W) coatings by chemical vapor deposition (CVD) for repairing or updating the plasma facing components (PFCs) of the first wall and divertor in existing or future tokomaks, where CuCrZr or graphite is the substrate material, an intermediate layer by physical vapor deposition (PVD) has been used to accommodate the interface stress due to the mismatch of thermal expansion or act as a diffusion barrier between the CVD-W coating and the substrate. The prepared CuCrZr/PVD-Cu/CVD-W sample with active cooling has passed thermal fatigue tests by electron beam with an absorbed power of 2.2 MW/m2, 50 s on/50 s off, for 100 cycles. Another graphite/PVD-Si/CVD-W sample without active cooling underwent thermal fatigue testing with an absorbed power density of 4.62 MW/m2, 5 s on/25 s off, for 200 cycles, and no catastrophic failure was found.

  7. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  8. Fabricating Large-Area Sheets of Single-Layer Graphene by CVD

    NASA Technical Reports Server (NTRS)

    Bronikowski, Michael; Manohara, Harish

    2008-01-01

    This innovation consists of a set of methodologies for preparing large area (greater than 1 cm(exp 2)) domains of single-atomic-layer graphite, also called graphene, in single (two-dimensional) crystal form. To fabricate a single graphene layer using chemical vapor deposition (CVD), the process begins with an atomically flat surface of an appropriate substrate and an appropriate precursor molecule containing carbon atoms attached to substituent atoms or groups. These molecules will be brought into contact with the substrate surface by being flowed over, or sprayed onto, the substrate, under CVD conditions of low pressure and elevated temperature. Upon contact with the surface, the precursor molecules will decompose. The substituent groups detach from the carbon atoms and form gas-phase species, leaving the unfunctionalized carbon atoms attached to the substrate surface. These carbon atoms will diffuse upon this surface and encounter and bond to other carbon atoms. If conditions are chosen carefully, the surface carbon atoms will arrange to form the lowest energy single-layer structure available, which is the graphene lattice that is sought. Another method for creating the graphene lattice includes metal-catalyzed CVD, in which the decomposition of the precursor molecules is initiated by the catalytic action of a catalytic metal upon the substrate surface. Another type of metal-catalyzed CVD has the entire substrate composed of catalytic metal, or other material, either as a bulk crystal or as a think layer of catalyst deposited upon another surface. In this case, the precursor molecules decompose directly upon contact with the substrate, releasing their atoms and forming the graphene sheet. Atomic layer deposition (ALD) can also be used. In this method, a substrate surface at low temperature is covered with exactly one monolayer of precursor molecules (which may be of more than one type). This is heated up so that the precursor molecules decompose and form one

  9. Chemical reactivity of CVC and CVD SiC with UO 2 at high temperatures

    DOE PAGES

    Silva, Chinthaka M.; Katoh, Yutai; Voit, Stewart L.; ...

    2015-02-11

    Two types of silicon carbide (SiC) synthesized using two different vapor deposition processes were embedded in UO 2 pellets and evaluated for their potential chemical reaction with UO 2. While minor reactivity between chemical-vapor-composited (CVC) SiC and UO 2 was observed at comparatively low temperatures of 1100 and 1300 C, chemical-vapor-deposited (CVD) SiC did not show any such reactivity, according to microstructural investigations. But, both CVD and CVC SiCs showed some reaction with UO 2 at a higher temperature (1500 C). Elemental maps supported by phase maps obtained using electron backscatter diffraction indicated that CVC SiC was more reactive thanmore » CVD SiC at 1500 C. Moreover, this investigation indicated the formation of uranium carbides and uranium silicide chemical phases such as UC, USi 2, and U 3Si 2 as a result of SiC reaction with UO 2.« less

  10. CVD-Enabled Graphene Manufacture and Technology

    PubMed Central

    2015-01-01

    Integrated manufacturing is arguably the most challenging task in the development of technology based on graphene and other 2D materials, particularly with regard to the industrial demand for “electronic-grade” large-area films. In order to control the structure and properties of these materials at the monolayer level, their nucleation, growth and interfacing needs to be understood to a level of unprecedented detail compared to existing thin film or bulk materials. Chemical vapor deposition (CVD) has emerged as the most versatile and promising technique to develop graphene and 2D material films into industrial device materials and this Perspective outlines recent progress, trends, and emerging CVD processing pathways. A key focus is the emerging understanding of the underlying growth mechanisms, in particular on the role of the required catalytic growth substrate, which brings together the latest progress in the fields of heterogeneous catalysis and classic crystal/thin-film growth. PMID:26240694

  11. A Comparative Study of the Dispersion of Multi-Wall Carbon Nanotubes Made by Arc-Discharge and Chemical Vapour Deposition.

    PubMed

    Frømyr, Tomas-Roll; Bourgeaux-Goget, Marie; Hansen, Finn Knut

    2015-05-01

    A method has been developed to characterize the dispersion of multi-wall carbon nanotubes in water using a disc centrifuge for the detection of individual carbon nanotubes, residual aggregates, and contaminants. Carbon nanotubes produced by arc-discharge have been measured and compared with carbon nanotubes produced by chemical vapour deposition. Studies performed on both pristine (see text) arc-discharge nanotubes is rather strong and that high ultra-sound intensity is required to achieve complete dispersion of carbon nanotube bundles. The logarithm of the mode of the particle size distribution of the arc-discharge carbon nanotubes was found to be a linear function of the logarithm of the total ultrasonic energy input in the dispersion process.

  12. High-performance perovskite CH3NH3PbI3 thin films for solar cells prepared by single-source physical vapour deposition

    PubMed Central

    Fan, Ping; Gu, Di; Liang, Guang-Xing; Luo, Jing-Ting; Chen, Ju-Long; Zheng, Zhuang-Hao; Zhang, Dong-Ping

    2016-01-01

    In this work, an alternative route to fabricating high-quality CH3NH3PbI3 thin films is proposed. Single-source physical vapour deposition (SSPVD) without a post-heat-treating process was used to prepare CH3NH3PbI3 thin films at room temperature. This new process enabled complete surface coverage and moisture stability in a non-vacuum solution. Moreover, the challenges of simultaneously controlling evaporation processes of the organic and inorganic sources via dual-source vapour evaporation and the heating process required to obtain high crystallization were avoided. Excellent composition with stoichiometry transferred from the powder material, a high level of tetragonal phase-purity, full surface coverage, well-defined grain structure, high crystallization and reproducibility were obtained. A PCE of approximately 10.90% was obtained with a device based on SSPVD CH3NH3PbI3. These initial results suggest that SSPVD is a promising method to significantly optimize perovskite CH3NH3PbI3 solar cell efficiency. PMID:27426686

  13. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    DOE PAGES

    Campo, Teresa; Cotto, María; Márquez, Francisco; ...

    2016-03-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plasma blue flash is required for obtaining graphene flakes. The synthesized graphene was characterized by different techniques, showing the presence of non-oxidized graphenemore » with high purity.« less

  14. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    NASA Astrophysics Data System (ADS)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  15. CVD diamond substrate for microelectronics. Final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burden, J.; Gat, R.

    1996-11-01

    Chemical Vapor Deposition (CVD) of diamond films has evolved dramatically in recent years, and commercial opportunities for diamond substrates in thermal management applications are promising. The objective of this technology transfer initiative (TTI) is for Applied Science and Technology, Inc. (ASTEX) and AlliedSignal Federal Manufacturing and Technologies (FM&T) to jointly develop and document the manufacturing processes and procedures required for the fabrication of multichip module circuits using CVD diamond substrates, with the major emphasis of the project concentrating on lapping/polishing prior to metallization. ASTEX would provide diamond films for the study, and FM&T would use its experience in lapping, polishing,more » and substrate metallization to perform secondary processing on the parts. The primary goal of the project was to establish manufacturing processes that lower the manufacturing cost sufficiently to enable broad commercialization of the technology.« less

  16. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  17. Single crystalline ZnO radial homojunction light-emitting diodes fabricated by metalorganic chemical vapour deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoo, Jinkyoung; Ahmed, Towfiq; Tang, Wei

    ZnO radial p–n junction architecture has the potential for forward-leap of light-emitting diode (LED) technology in terms of higher efficacy and economical production. Here, we report on ZnO radial p–n junction-based light emitting diodes prepared by full metalorganic chemical vapour deposition (MOCVD) with hydrogen-assisted p-type doping approach. The p-type ZnO(P) thin films were prepared by MOCVD with the precursors of dimethylzinc, tert-butanol, and tertiarybutylphosphine. Controlling the precursor flow for dopant results in the systematic change of doping concentration, Hall mobility, and electrical conductivity. Moreover, the approach of hydrogen-assisted phosphorous doping in ZnO expands the understanding of doping behaviour in ZnO.more » Ultraviolet and visible electroluminescence of ZnO radial p–n junction was demonstrated through a combination of position-controlled nano/microwire and crystalline p-type ZnO(P) radial shell growth on the wires. Lastly, the reported research opens a pathway of realisation of production-compatible ZnO p–n junction LEDs.« less

  18. Single crystalline ZnO radial homojunction light-emitting diodes fabricated by metalorganic chemical vapour deposition

    DOE PAGES

    Yoo, Jinkyoung; Ahmed, Towfiq; Tang, Wei; ...

    2017-09-05

    ZnO radial p–n junction architecture has the potential for forward-leap of light-emitting diode (LED) technology in terms of higher efficacy and economical production. Here, we report on ZnO radial p–n junction-based light emitting diodes prepared by full metalorganic chemical vapour deposition (MOCVD) with hydrogen-assisted p-type doping approach. The p-type ZnO(P) thin films were prepared by MOCVD with the precursors of dimethylzinc, tert-butanol, and tertiarybutylphosphine. Controlling the precursor flow for dopant results in the systematic change of doping concentration, Hall mobility, and electrical conductivity. Moreover, the approach of hydrogen-assisted phosphorous doping in ZnO expands the understanding of doping behaviour in ZnO.more » Ultraviolet and visible electroluminescence of ZnO radial p–n junction was demonstrated through a combination of position-controlled nano/microwire and crystalline p-type ZnO(P) radial shell growth on the wires. Lastly, the reported research opens a pathway of realisation of production-compatible ZnO p–n junction LEDs.« less

  19. Modifying friction between ultra-high molecular weight polyethylene (UHMWPE) yarns with plasma enhanced chemical vapour deposition (PCVD)

    NASA Astrophysics Data System (ADS)

    Chu, Yanyan; Chen, Xiaogang; Tian, Lipeng

    2017-06-01

    Ultra-high molecular weight polyethylene (UHMWPE) yarns are widely used in military applications for protection owing to its high modulus and high strength; however, the friction between UHMWPE yarns is too small, which is a weakness for ballistic applications. The purpose of current research is to increase the friction between UHMWPE yarns by plasma enhanced chemical vapour deposition (PCVD). The changes of morphology and chemical structure were characterised by SEM and FTIR individually. The coefficients of friction between yarns were tested by means of Capstan method. Results from tests showed that the yarn-yarn coefficient of static friction (CSF) has been improved from 0.12 to 0.23 and that of kinetic friction (CSF) increased from 0.11 to 0.19, as the samples exposure from 21 s to 4 min. The more inter-yarn friction can be attributed to more and more particles and more polar groups deposited on the surfaces of yarns, including carboxyl, carbonyl, hydroxyl and amine groups and compounds containing silicon. The tensile strength and modulus of yarns, which are essential to ballistic performance, keep stable and are not affected by the treatments, indicating that PCVD treatment is an effective way to improve the inter-yarn friction without mechanical property degradation.

  20. Delaminated Transfer of CVD Graphene

    NASA Astrophysics Data System (ADS)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  1. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  2. The use of CVD diamond burs for ultraconservative cavity preparations: a report of two cases.

    PubMed

    Carvalho, Carlos Augusto R; Fagundes, Ticiane C; Barata, Terezinha J E; Trava-Airoldi, Vladimir Jesus; Navarro, Maria Fidela L

    2007-01-01

    During the past decades, scientific developments in cutting instruments have changed the conventional techniques used to remove caries lesions. Ultrasound emerged as an alternative for caries removal since the 1950s. However, the conventional technology for diamond powder aggregation with nickel metallic binders could not withstand ultrasonic power. Around 5 years ago, an alternative approach using chemical vapor deposition (CVD) resulted in synthetic diamond technology. CVD diamond burs are obtained with high adherence of the diamond as a unique stone on the metallic surface with excellent abrading performance. This technology allows for diamond deposition with coalescent granulation in different formats of substrates. When connected to an ultrasonic handpiece, CVD diamond burs become an option for cavity preparation, maximizing preservation of tooth structure. Potential advantages such as reduced noise, minimal damage to the gingival tissue, extended bur durability, improved proximal cavity access, reduced risk of hitting the adjacent tooth resulting from the high inclination angles, and minimal patient's risk of metal contamination. These innovative instruments also potentially eliminate some problems regarding decreased cutting efficiency of conventional diamond burs. This clinical report presents the benefits of using CVD diamond burs coupled with an ultrasonic handpiece in the treatment of incipient caries. CVD diamond burs coupled with an ultrasonic device offer a promising alternative for removal of carious lesions when ultraconservative cavity preparations are required. Additionally, this system provides a less-painful technique for caries removal, with minimal noise.

  3. Tribological properties of CVD diamond coated ceramic surfaces

    NASA Astrophysics Data System (ADS)

    Abreu, Cristiano Simoes de

    Recent developments in chemical vapour deposited (CVD) diamond coatings have attracted considerable interest and a host of new applications, each more challenging than the others. This increased attention results from the fact that CVD diamond lms retain to a large extent the outstanding physical and chemical properties of natural single crystal diamond such as extreme hardness, chemical inertness and high corrosion resistance, optical transparency and high thermal conductivity. Diamond features also surprisingly low friction and high wear resistance in unlubricated sliding contacts. Moreover, as opposed to natural diamond where the friction and wear behaviour is highly dependent on crystal orientation, polycrystalline CVD diamond lms supersede the monocrystalline variety due to isotropic tribological properties and possibility of coating complex shapes. Several materials have been tested and more or less successfully used as substrates for CVD diamond coatings. Nonetheless, satisfactory adherence of diamond coatings lms is often only attainable by the use of interlayers, in order to compensate for the large interfacial thermal expansion mismatch between the coating and substrate, which represent an additional processing step and added costs. A promising route will consist in using substrate materials with a low thermal expansion mismatch relative to that of diamond and, therefore, enhanced 1m adhesion. Among these, the ceramic silicon nitride (Si3N4) arises as a serious candidate. As a general rule, available literature regarding the tribological performance of CVD diamond coated Si3N4 lms is scarce, and the few available tribological data only deals with low applied loads. That being said, the correct tribological assessment of CVD diamond coated Si3N4 lms under more realistic sliding conditions, reproducing the stresses found in applications fields such as the fluid handling and metalworking industry, as well as in emerging biotribological areas, is on the

  4. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    PubMed

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  5. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  6. Surface passivation of InGaP/GaAs HBT using silicon-nitride film deposited by ECR CVD plasma

    NASA Astrophysics Data System (ADS)

    Manera, L. T.; Zoccal, L. B.; Diniz, J. A.; Tatsch, P. J.; Doi, I.

    2008-07-01

    In this paper we have developed a passivation technique with silicon-nitride (SiN X) film that requires no surface pre-treatment, and is fully compatible to monolithic microwave integrated circuits (MMICs). The nitride depositions were carried out by ECR-CVD (electron cyclotron resonance-chemical vapor deposition) directly over InGaP/GaAs heterojunction structures, which are used for heterojunction bipolar transistors (HBTs). Optical emission spectrometry (OES) was used for plasma characterization, and low formation of H and NH molecules in the gas phase was detected at pressure of 2.5 mTorr. These molecules can degrade III-V semiconductor surfaces due to the preferential loss of As or P and hydrogen incorporation at the substrate. The substrates were cleaned with organic solvents using a Sox-let distillate. The ECR depositions were carried out at a fixed substrate temperature of 20 °C, SiH 4/N 2 flow ratio of 1, Ar flow of 5 sccm pressure of 2.5 mTorr and microwave (2.45 GHz) power of 250 W and RF (13.56 MHz) power of 4 W. We have applied this film for InGaP/GaAs HBT fabrication process with excellent results, where two major contribuiton is related to this passivation technique, the enhancement in the transistor dc gain β and the improvement in the signal-to-noise ratio when compared unpassivated and passivated devices.

  7. Plasma CVD of hydrogenated boron-carbon thin films from triethylboron

    NASA Astrophysics Data System (ADS)

    Imam, Mewlude; Höglund, Carina; Schmidt, Susann; Hall-Wilton, Richard; Birch, Jens; Pedersen, Henrik

    2018-01-01

    Low-temperature chemical vapor deposition (CVD) of B—C thin films is of importance for neutron voltaics and semiconductor technology. The highly reactive trialkylboranes, with alkyl groups of 1-4 carbon atoms, are a class of precursors that have been less explored for low-temperature CVD of B—C films. Herein, we demonstrate plasma CVD of B—C thin films using triethylboron (TEB) as a single source precursor in an Ar plasma. We show that the film density and B/C ratio increases with increasing plasma power, reaching a density of 2.20 g/cm3 and B/C = 1.7. This is attributed to a more intense energetic bombardment during deposition and more complete dissociation of the TEB molecule in the plasma at higher plasma power. The hydrogen content in the films ranges between 14 and 20 at. %. Optical emission spectroscopy of the plasma shows that BH, CH, C2, and H are the optically active plasma species from TEB. We suggest a plasma chemical model based on β-hydrogen elimination of C2H4 to form BH3, in which BH3 and C2H4 are then dehydrogenated to form BH and C2H2. Furthermore, C2H2 decomposes in the plasma to produce C2 and CH, which together with BH and possibly BH3-x(C2H5)x are the film forming species.

  8. Applying a potential difference to minimise damage to carbon fibres during carbon nanotube grafting by chemical vapour deposition.

    PubMed

    Anthony, David B; Qian, Hui; Clancy, Adam J; Greenhalgh, Emile S; Bismarck, Alexander; Shaffer, Milo S P

    2017-07-28

    The application of an in situ potential difference between carbon fibres and a graphite foil counter electrode (300 V, generating an electric field ca 0.3-0.7 V μm -1 ), during the chemical vapour deposition synthesis of carbon nanotube (CNT) grafted carbon fibres, significantly improves the uniformity of growth without reducing the tensile properties of the underlying carbon fibres. Grafted CNTs with diameters 55 nm ± 36 nm and lengths around 10 μm were well attached to the carbon fibre surface, and were grown without the requirement for protective barrier coatings. The grafted CNTs increased the surface area to 185 m 2 g -1 compared to the as-received sized carbon fibre 0.24 m 2 g -1 . The approach is not restricted to batch systems and has the potential to improve CNT grafted carbon fibre production for continuous processing.

  9. Applying a potential difference to minimise damage to carbon fibres during carbon nanotube grafting by chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Anthony, David B.; Qian, Hui; Clancy, Adam J.; Greenhalgh, Emile S.; Bismarck, Alexander; Shaffer, Milo S. P.

    2017-07-01

    The application of an in situ potential difference between carbon fibres and a graphite foil counter electrode (300 V, generating an electric field ca 0.3-0.7 V μm-1), during the chemical vapour deposition synthesis of carbon nanotube (CNT) grafted carbon fibres, significantly improves the uniformity of growth without reducing the tensile properties of the underlying carbon fibres. Grafted CNTs with diameters 55 nm ± 36 nm and lengths around 10 μm were well attached to the carbon fibre surface, and were grown without the requirement for protective barrier coatings. The grafted CNTs increased the surface area to 185 m2 g-1 compared to the as-received sized carbon fibre 0.24 m2 g-1. The approach is not restricted to batch systems and has the potential to improve CNT grafted carbon fibre production for continuous processing.

  10. The Seasonal Cycle of Water Vapour on Mars from Assimilation of Thermal Emission Spectrometer Data

    NASA Technical Reports Server (NTRS)

    Steele, Liam J.; Lewis, Stephen R.; Patel, Manish R.; Montmessin, Franck; Forget, Francois; Smith, Michael D.

    2014-01-01

    We present for the first time an assimilation of Thermal Emission Spectrometer (TES) water vapour column data into a Mars global climate model (MGCM). We discuss the seasonal cycle of water vapour, the processes responsible for the observed water vapour distribution, and the cross-hemispheric water transport. The assimilation scheme is shown to be robust in producing consistent reanalyses, and the global water vapour column error is reduced to around 2-4 pr micron depending on season. Wave activity is shown to play an important role in the water vapour distribution, with topographically steered flows around the Hellas and Argyre basins acting to increase transport in these regions in all seasons. At high northern latitudes, zonal wavenumber 1 and 2 stationary waves during northern summer are responsible for spreading the sublimed water vapour away from the pole. Transport by the zonal wavenumber 2 waves occurs primarily to the west of Tharsis and Arabia Terra and, combined with the effects of western boundary currents, this leads to peak water vapour column abundances here as observed by numerous spacecraft. A net transport of water to the northern hemisphere over the course of one Mars year is calculated, primarily because of the large northwards flux of water vapour which occurs during the local dust storm around L(sub S) = 240-260deg. Finally, outlying frost deposits that surround the north polar cap are shown to be important in creating the peak water vapour column abundances observed during northern summer.

  11. A beam radiation monitor based on CVD diamonds for SuperB

    NASA Astrophysics Data System (ADS)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  12. Highly Crystalline CVD-grown Multilayer MoSe2 Thin Film Transistor for Fast Photodetector

    PubMed Central

    Jung, Chulseung; Kim, Seung Min; Moon, Hyunseong; Han, Gyuchull; Kwon, Junyeon; Hong, Young Ki; Omkaram, Inturu; Yoon, Youngki; Kim, Sunkook; Park, Jozeph

    2015-01-01

    Hexagonal molybdenum diselenide (MoSe2) multilayers were grown by chemical vapor deposition (CVD). A relatively high pressure (>760 Torr) was used during the CVD growth to achieve multilayers by creating multiple nuclei based on the two-dimensional crystal growth model. Our CVD-grown multilayer MoSe2 thin-film transistors (TFTs) show p-type-dominant ambipolar behaviors, which are attributed to the formation of Se vacancies generated at the decomposition temperature (650 °C) after the CVD growth for 10 min. Our MoSe2 TFT with a reasonably high field-effect mobility (10 cm2/V · s) exhibits a high photoresponsivity (93.7 A/W) and a fast photoresponse time (τrise ~ 0.4 s) under the illumination of light, which demonstrates the practical feasibility of multilayer MoSe2 TFTs for photodetector applications. PMID:26477744

  13. Evaluation of infrared thermography as a diagnostic tool in CVD applications

    NASA Astrophysics Data System (ADS)

    Johnson, E. J.; Hyer, P. V.; Culotta, P. W.; Clark, I. O.

    1998-05-01

    This research is focused on the feasibility of using infrared temperature measurements on the exterior of a chemical vapor deposition (CVD) reactor to ascertain both real-time information on the operating characteristics of a CVD system and provide data which could be post-processed to provide quantitative information for research and development on CVD processes. Infrared thermography techniques were used to measure temperatures on a horizontal CVD reactor of rectangular cross section which were correlated with the internal gas flow field, as measured with the laser velocimetry (LV) techniques. For the reactor tested, thermal profiles were well correlated with the gas flow field inside the reactor. Correlations are presented for nitrogen and hydrogen carrier gas flows. The infrared data were available to the operators in real time with sufficient sensitivity to the internal flow field so that small variations such as misalignment of the reactor inlet could be observed. The same data were post-processed to yield temperature measurements at known locations on the reactor surface. For the experiments described herein, temperatures associated with approximately 3.3 mm 2 areas on the reactor surface were obtained with a precision of ±2°C. These temperature measurements were well suited for monitoring a CVD production reactor, development of improved thermal boundary conditions for use in CFD models of reactors, and for verification of expected thermal conditions.

  14. Calculation of residual principal stresses in CVD boron on carbon filaments

    NASA Technical Reports Server (NTRS)

    Behrendt, D. R.

    1980-01-01

    A three-dimensional finite element model of the chemical vapor deposition (CVD) of boron on a carbon substrate (B/C) is developed. The model includes an expansion of the boron after deposition due to atomic rearrangement and includes creep of the boron and carbon. Curves are presented to show how the principal residual stresses and the filament elongation vary as the parameters defining deposition strain and creep are varied. The calculated results are compared with experimental axial residual stress and elongation measurements made on B/C filaments. This comparison requires that for good agreement between calculated and experimental results, the deposited boron must continue to expand after deposition, and that the build-up of residual stresses is limited by significant boron and carbon creep rates.

  15. Significance of vapor phase chemical reactions on CVD rates predicted by chemically frozen and local thermochemical equilibrium boundary layer theories

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1988-01-01

    This paper investigates the role played by vapor-phase chemical reactions on CVD rates by comparing the results of two extreme theories developed to predict CVD mass transport rates in the absence of interfacial kinetic barrier: one based on chemically frozen boundary layer and the other based on local thermochemical equilibrium. Both theories consider laminar convective-diffusion boundary layers at high Reynolds numbers and include thermal (Soret) diffusion and variable property effects. As an example, Na2SO4 deposition was studied. It was found that gas phase reactions have no important role on Na2SO4 deposition rates and on the predictions of the theories. The implications of the predictions of the two theories to other CVD systems are discussed.

  16. The optical properties of transferred graphene and the dielectrics grown on it obtained by ellipsometry

    NASA Astrophysics Data System (ADS)

    Kasikov, Aarne; Kahro, Tauno; Matisen, Leonard; Kodu, Margus; Tarre, Aivar; Seemen, Helina; Alles, Harry

    2018-04-01

    Graphene layers grown by chemical vapour deposition (CVD) method and transferred from Cu-foils to the oxidized Si-substrates were investigated by spectroscopic ellipsometry (SE), Raman and X-Ray Photoelectron Spectroscopy (XPS) methods. The optical properties of transferred CVD graphene layers do not always correspond to the ones of the exfoliated graphene due to the contamination from the chemicals used in the transfer process. However, the real thickness and the mean properties of the transferred CVD graphene layers can be found using ellipsometry if a real thickness of the SiO2 layer is taken into account. The pulsed laser deposition (PLD) and atomic layer deposition (ALD) methods were used to grow dielectric layers on the transferred graphene and the obtained structures were characterized using optical methods. The approach demonstrated in this work could be useful for the characterization of various materials grown on graphene.

  17. Injection doping of ultrathin microcrystalline silicon films prepared by CC-CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koynov, S.; Grebner, S.; Schwarz, R.

    1997-07-01

    Recently, the authors have proposed a cyclic method, referred to as Closed Chamber CVD (CC-CVD), for the preparation of {micro}c-Si films of high crystalline fraction at increased deposition rates. In this work, they first report new process conditions of CC-CVD, which result in growth of highly crystalline films with a sharp interface on a foreign substrate. Then these conditions are further used together with a pulsed injection of B{sub 2}H{sub 6} in an appropriate moment of each cycle, so that the disturbance of the crystallization process is prevented. A series of ultrathin {micro}c-Si films, doped by this technique, is characterizedmore » by conductivity measurements, SEM, Raman Scattering, optical transmission and UV reflection. A strong reduction of the transient interface layer is achieved and conductivity as high as 2 S/cm with an activation energy of 27 meV is reached.« less

  18. Spectroscopic investigation of different concentrations of the vapour deposited copper phthalocyanine as a "guest" in polyimide matrix.

    PubMed

    Georgiev, Anton; Yordanov, Dancho; Dimov, Dean; Assa, Jacob; Spassova, Erinche; Danev, Gencho

    2015-04-05

    Nanocomposite layers 250 nm copper phthalocyanine/polyimide prepared by simultaneous vapour deposition of three different sources were studied. Different concentrations of copper phthalocyanine as a "guest" in polyimide matrix as a function of conditions of the preparation have been determined by FTIR (Fourier Transform Infrared) and UV-VIS (Ultraviolet-Visible) spectroscopies. The aim was to estimate the possibility of the spectroscopic methods for quantitative determination of the "guest" and compare with the quality of the polyimide thin films in relation to the "guest" concentration. The band at 1334 cm(-1) has been used for quantitative estimation of "guest" in polyimide matrix. The concentrations of the copper phthalocyanine less than 20% require curve fitting techniques with Fourier self deconvolution. The relationship between "guest" concentrations and degree of imidization, as well as the electronic UV-VIS spectra are discussed in relation to the composition, imidization degree and the two crystallographic modification of the embedded chromophore. Copyright © 2015 Elsevier B.V. All rights reserved.

  19. Chemical vapour deposition: Transition metal carbides go 2D

    DOE PAGES

    Gogotsi, Yury

    2015-08-17

    Here, the research community has been steadily expanding the family of few-atom-thick crystals beyond graphene, discovering new materials or producing known materials in a 2D state and demonstrating their unique properties 1, 2. Recently, nanometre-thin 2D transition metal carbides have also joined this family 3. Writing in Nature Materials, Chuan Xu and colleagues now report a significant advance in the field, showing the synthesis of large-area, high-quality, nanometre-thin crystals of molybdenum carbide that demonstrate low-temperature 2D superconductivity 4. Moreover, they also show that other ultrathin carbide crystals, such as tungsten and tantalum carbides, can be grown by chemical vapour depositionmore » with a high crystallinity and very low defect concentration.« less

  20. Photo-oxidation of Polymers Synthesized by Plasma and Initiated CVD

    DOE PAGES

    Baxamusa, Salmaan H.; Suresh, Aravind; Ehrmann, Paul; ...

    2015-11-09

    Plasma polymers are often limited by their susceptibility to spontaneous and photo-oxidation. We show that the unusual photoluminescence (PL) behavior of a plasma polymer of trans-2-butene is correlated with its photoluminescence strength. These photo-processes occur under blue light illumination (λ=405 nm), distinguishing them from traditional ultraviolet degradation of polymers. These photo-active defects are likely formed during the plasma deposition process and we show that a polymer synthesized using initiated (i)CVD, non-plasma method, has 1000× lower PL signal and enhanced photo-stability. In conclusion, non-plasma methods such as iCVD may therefore be a route to overcoming material aging issues that limit themore » adoption of plasma polymers.« less

  1. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    NASA Astrophysics Data System (ADS)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  2. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  3. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Measurement of optical absorption in polycrystalline CVD diamond plates by the phase photothermal method at a wavelength of 10.6 μm

    NASA Astrophysics Data System (ADS)

    Luk'yanov, A. Yu; Ral'chenko, Viktor G.; Khomich, A. V.; Serdtsev, E. V.; Volkov, P. V.; Savel'ev, A. V.; Konov, Vitalii I.

    2008-12-01

    A highly-efficient phase photothermal method is developed for quantitative measurements of the small optical absorption coefficient in thin plates made of highly transparent materials in which bulk losses significantly exceed surface losses. The bulk absorption coefficient at 10.6 μm is estimated in polycrystalline diamond plates grown from the vapour phase (a CVD diamond). The results are compared with those for natural and synthetic diamond single crystals and with the concentrations of nitrogen and hydrogen impurities. The absorption coefficient of the best samples of the CVD diamond did not exceed 0.06 cm-1, which, taking into account the high thermal conductivity of the CVD diamond (1800-2200 W mK-1 at room temperature), makes this material attractive for fabricating output windows of high-power CO2 lasers, especially for manufacturing large-size optics.

  4. Structurally controlled deposition of silicon onto nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Weijie; Liu, Zuqin; Han, Song

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  5. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  6. CVD growth of graphene at low temperature

    NASA Astrophysics Data System (ADS)

    Zeng, Changgan

    2012-02-01

    Graphene has attracted a lot of research interest owing to its exotic properties and a wide spectrum of potential applications. Chemical vapor deposition (CVD) from gaseous hydrocarbon sources has shown great promises for large-scale graphene growth. However, high growth temperature, typically 1000^oC, is required for such growth. In this talk, I will show a revised CVD route to grow graphene on Cu foils at low temperature, adopting solid and liquid hydrocarbon feedstocks. For solid PMMA and polystyrene precursors, centimeter-scale monolayer graphene films are synthesized at a growth temperature down to 400^oC. When benzene is used as the hydrocarbon source, monolayer graphene flakes with excellent quality are achieved at a growth temperature as low as 300^oC. I will also talk about our recent progress on low-temperature graphene growth using paraterphenyl as precursor. The successful low-temperature growth can be qualitatively understood from the first principles calculations. Our work might pave a way to economical and convenient growth route of graphene, as well as better control of the growth pattern of graphene at low temperature.

  7. Family history of cardiovascular disease (CVD), perceived CVD risk, and health-related behavior: A review of the literature

    PubMed Central

    Imes, Christopher C.; Lewis, Frances Marcus

    2012-01-01

    Background Over 82 million Americans have one or more forms of cardiovascular disease (CVD), accounting for 32.8% of all deaths in the United States. Although the evidence for the familial aggregation of CVD is strong, the relationship between family history (FH) of CVD, perceived risk for CVD and their relationship to health-related behavior is poorly understood. Objective The objective of this article is to review and summarize the published research on the relationship between a FH of CVD, an individual’s perceived risk, and health-related behavior in order to make recommendations for clinical practice and future research. Methods A literature search was conducted using PubMed, CINAHL Plus, and PsycINFO to identify articles that examined the relationship between a FH of CVD, perceived CVD risk, and health-promoting behaviors. A total of 263 unique articles were reviewed. Two hundred thirty-eight were excluded, resulting in a total of 25 articles included in the paper. Results There was a positive relationship between a reported FH of CVD and perceived risk. However, the relationship between a FH of CVD and health-related behavior change and perceived risk and behavior change was inconsistent. Conclusions A person’s awareness of their FH of CVD or their own risk for CVD is not a sufficient predictor of changes in their health-related behavior. Future studies are needed to better explain the processes by which perceived CVD risk or FH of CVD can be used to affect health-related behavior changes. It appears that both FH and perceived personal risk for CVD are necessary but not sufficient conditions to change health-related behavior in high-risk populations. Future studies should also test interventions that help individuals with a FH of CVD attribute increased personal risk to themselves for developing CVD, while providing lifestyle management options to minimize their risk. PMID:23321782

  8. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    NASA Astrophysics Data System (ADS)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-01

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasive and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.

  9. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film ismore » also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.« less

  10. Development Status of a CVD System to Deposit Tungsten onto UO2 Powder via the WCI6 Process

    NASA Technical Reports Server (NTRS)

    Mireles, O. R.; Kimberlin, A.; Broadway, J.; Hickman, R.

    2014-01-01

    Nuclear Thermal Propulsion (NTP) is under development for deep space exploration. NTP's high specific impulse (> 850 second) enables a large range of destinations, shorter trip durations, and improved reliability. W-60vol%UO2 CERMET fuel development efforts emphasize fabrication, performance testing and process optimization to meet service life requirements. Fuel elements must be able to survive operation in excess of 2850 K, exposure to flowing hydrogen (H2), vibration, acoustic, and radiation conditions. CTE mismatch between W and UO2 result in high thermal stresses and lead to mechanical failure as a result UO2 reduction by hot hydrogen (H2) [1]. Improved powder metallurgy fabrication process control and mitigated fuel loss can be attained by coating UO2 starting powders within a layer of high density tungsten [2]. This paper discusses the advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process.

  11. CVD and Oxidative Stress

    PubMed Central

    Cervantes Gracia, Karla; Llanas-Cornejo, Daniel; Husi, Holger

    2017-01-01

    Nowadays, it is known that oxidative stress plays at least two roles within the cell, the generation of cellular damage and the involvement in several signaling pathways in its balanced normal state. So far, a substantial amount of time and effort has been expended in the search for a clear link between cardiovascular disease (CVD) and the effects of oxidative stress. Here, we present an overview of the different sources and types of reactive oxygen species in CVD, highlight the relationship between CVD and oxidative stress and discuss the most prominent molecules that play an important role in CVD pathophysiology. Details are given regarding common pharmacological treatments used for cardiovascular distress and how some of them are acting upon ROS-related pathways and molecules. Novel therapies, recently proposed ROS biomarkers, as well as future challenges in the field are addressed. It is apparent that the search for a better understanding of how ROS are contributing to the pathophysiology of CVD is far from over, and new approaches and more suitable biomarkers are needed for the latter to be accomplished. PMID:28230726

  12. 3D imaging of vapour and liquid inclusions from the Mole Granite, Australia, using helical fluorescence tomography

    NASA Astrophysics Data System (ADS)

    Cauzid, J.; Philippot, P.; Bleuet, P.; Simionovici, A.; Somogyi, A.; Golosio, B.

    2007-08-01

    World class Cu resources are concentrated in porphyry and epithermal ore deposits. Their formation remains partially understood, however, due to a lack of constraints on the partitioning properties of trace elements in general, and Cu in particular, between vapour and liquid phases evolved from boiling fluids at depth in the Earth's crust. Immiscible liquid and vapour fluid inclusions coexisting in a single quartz grain have been imaged in three dimensions by X-ray Fluorescence Computed Tomography (XFCT). Elemental spatial distributions confirm that Cu, and to a lesser extent As, partition into the vapour phase, whereas Mn, Fe, Zn, Br, Rb, Sr and Pb concentrate in the liquid inclusion. High resolution mapping of the vapour inclusions revealed that Cu is heterogeneously distributed at the scale of a single inclusion and is mostly concentrated as tiny daughter crystals.

  13. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  14. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  15. Spray CVD for Making Solar-Cell Absorber Layers

    NASA Technical Reports Server (NTRS)

    Banger, Kulbinder K.; Harris, Jerry; Jin, Michael H.; Hepp, Aloysius

    2007-01-01

    Spray chemical vapor deposition (spray CVD) processes of a special type have been investigated for use in making CuInS2 absorber layers of thin-film solar photovoltaic cells from either of two subclasses of precursor compounds: [(PBu3) 2Cu(SEt)2In(SEt)2] or [(PPh3)2Cu(SEt)2 In(SEt)2]. The CuInS2 films produced in the experiments have been characterized by x-ray diffraction, scanning electron microscopy, energy-dispersive spectroscopy, and four-point-probe electrical tests.

  16. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Jin, Yongzhong; Chen, Jian; Fu, Qingshan; Li, Binghong; Zhang, Huazhi; Gong, Yong

    2015-01-01

    Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry-differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C4H4CuO6 → Cu reaction occurs at ∼250-310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100-400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5-1 μm and fiber diameter of 100-200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system.

  17. Scattering of low-energetic atoms and molecules from a boron-doped CVD diamond surface

    NASA Astrophysics Data System (ADS)

    Allenbach, M.; Neuland, M. B.; Riedo, A.; Wurz, P.

    2018-01-01

    For the detection of low energetic neutral atoms for the remote sensing of space plasmas, charge state conversion surfaces are used to ionize the neutrals for their subsequent measurement. We investigated a boron-doped Chemical Vapor Deposition (CVD) diamond sample for its suitability to serve as a conversion surface on future space missions, such as NASA's Interstellar Mapping and Acceleration Probe. For H and O atoms incident on conversion surface with energies ranging from 195 to 1000 eV and impact angles from 6° to 15° we measured the angular scattering distributions and the ionization yields. Atomic force microscope and laser ablation ionization mass spectrometry analyses were applied to further characterize the sample. Based on a figure-of-merit, which included the ionization yield and angular scatter distribution, the B-doped CVD surface was compared to other, previously characterized conversion surfaces, including e.g. an undoped CVD diamond with a metallized backside. For particle energies below 390 eV the performance of the B-doped CVD conversion surfaces is comparable to surfaces studied before. For higher energies the figure-of-merit indicates a superior performance. From our studies we conclude that the B-doped CVD diamond sample is well suited for its application on future space missions.

  18. Enhanced cold wall CVD reactor growth of horizontally aligned single-walled carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Mu, Wei; Kwak, Eun-Hye; Chen, Bingan; Huang, Shirong; Edwards, Michael; Fu, Yifeng; Jeppson, Kjell; Teo, Kenneth; Jeong, Goo-Hwan; Liu, Johan

    2016-05-01

    HASynthesis of horizontally-aligned single-walled carbon nanotubes (HA-SWCNTs) by chemical vapor deposition (CVD) directly on quartz seems very promising for the fabrication of future nanoelectronic devices. In comparison to hot-wall CVD, synthesis of HA-SWCNTs in a cold-wall CVD chamber not only means shorter heating, cooling and growth periods, but also prevents contamination of the chamber. However, since most synthesis of HA-SWCNTs is performed in hot-wall reactors, adapting this well-established process to a cold-wall chamber becomes extremely crucial. Here, in order to transfer the CVD growth technology from a hot-wall to a cold-wall chamber, a systematic investigation has been conducted to determine the influence of process parameters on the HA-SWCNT's growth. For two reasons, the cold-wall CVD chamber was upgraded with a top heater to complement the bottom substrate heater; the first reason to maintain a more uniform temperature profile during HA-SWCNTs growth, and the second reason to preheat the precursor gas flow before projecting it onto the catalyst. Our results show that the addition of a top heater had a significant effect on the synthesis. Characterization of the CNTs shows that the average density of HA-SWCNTs is around 1 - 2 tubes/ μm with high growth quality as shown by Raman analysis. [Figure not available: see fulltext.

  19. The ESA DUE GlobVapour Project

    NASA Astrophysics Data System (ADS)

    Schröder, M.; ESA Due Globvapour Project Team

    2010-12-01

    The European Space Agency (ESA) Data User Element (DUE) project series aims at bridging the gap between research projects and the sustainable provision of Earth Observation (EO) climate data products at an information level that fully responds to the operational needs of user communities. The ultimate objective of GlobVapour is to provide long-term coherent water vapour data sets exploiting the synergistic capabilities of different EO missions aiming at improved accuracies and enhanced temporal and spatial sampling better than those provided by the single sources. The project seeks to utilize the increasing potential of the synergistic capabilities of past, existing and upcoming satellite missions (ERS-1 and -2, ENVISAT, METOP, MSG as well as relevant non-European missions and in-situ data) in order to meet the increasing needs for coherent long-term water vapour datasets required by the scientific community. GlobVapour develops, validates and applies novel water vapour climate data sets derived from various sensors. More specifically, the primary objectives of the GlobVapour project are: 1)The development of multi-annual global water vapour data sets inclusive of error estimates based on carefully calibrated and inter-calibrated radiances. 2)The validation of the water vapour products against ground based, airborne and other satellite based measurements. 3) The provision of an assessment of the quality of different IASI water vapour profile algorithms developed by the project partners and other groups. 4) The provision of a complete processing system that can further strengthen operational production of the developed products. 5) A demonstration of the use of the products in the field of climate modelling, including applying alternative ways of climate model validation using forward radiation operators. 6) The promotion of the strategy of data set construction and the data sets themselves to the global research and operational community. The ultimate goal of the

  20. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  1. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-17

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasivemore » and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.« less

  2. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  3. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  4. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  5. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  6. Reproducibility of CVD diamond detectors for radiotherapy dosimetry

    NASA Astrophysics Data System (ADS)

    Betzel, G. T.; Lansley, S. P.; McKay, D.; Meyer, J.

    2012-11-01

    Three in-house X-ray detectors based on diamond chemical vapor deposition (CVD) from the same manufactured batch of single crystal films were investigated for their reproducibility. Leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate and angular dependence were used to evaluate differences between detectors. Slight differences were seen in leakage currents before (<1.5 pA) and after (<12 pA) irradiation. A priming dose of ˜7 Gy and rise and fall times of 2 s were found for all three detectors. Sensitivities differed by up to 10%. Dependence on dose rate were similar (∆=0.92-0.94). Angular dependence was minimal (97-102% avg.). Differences in detector performance appeared to be primarily due to film thickness, which can significantly change sensitivities (nC Gy-1) and applied fields (V μm-1) for detectors with small sensitive volumes. Results suggest that preselection of CVD diamond films according to thickness in addition to material quality would be required to avoid individual calibration, which is performed for commercially available natural diamond detectors.

  7. Growth of InAs NWs with controlled morphology by CVD

    NASA Astrophysics Data System (ADS)

    Huang, Y. S.; Li, M.; Wang, J.; Xing, Y.; Xu, H. Q.

    2017-06-01

    We report on the growth of single crystal InAs NWs on Si/SiOx substrates by chemical vapor deposition (CVD). By adjusting growth parameters, the diameters, morphology, length and the proportion of superlattice ZB InAs NWs (NWs) can be controlled on a Si/SiOx substrate. Our work provides a low-cost route to grow and phase-engineer single crystal InAs NWs for a wide range of potential applications.

  8. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  9. Observation of Charge Generation and Transfer during CVD Growth of Carbon Nanotubes.

    PubMed

    Wang, Jiangtao; Liu, Peng; Xia, Bingyu; Wei, Haoming; Wei, Yang; Wu, Yang; Liu, Kai; Zhang, Lina; Wang, Jiaping; Li, Qunqing; Fan, Shoushan; Jiang, Kaili

    2016-07-13

    Carbon nanotube (CNT) is believed to be the most promising material for next generation IC industries with the prerequisite of chirality specific growth. For various approaches to controlling the chiral indices of CNTs, the key is to deepen the understanding of the catalytic growth mechanism in chemical vapor deposition (CVD). Here we show our discovery that the as-grown CNTs are all negatively charged after Fe-catalyzed CVD process. The extra electrons come from the charge generation and transfer during the growth of CNTs, which indicates that an electrochemical process happens in the surface reaction step. We then designed an in situ measurement equipment, verifying that the CVD growth of CNTs can be regarded as a primary battery system. Furthermore, we found that the variation of the Fermi level in Fe catalysts have a significant impact on the chirality of CNTs when different external electric fields are applied. These findings not only provide a new perspective on the growth of CNTs but also open up new possibilities for controlling the growth of CNTs by electrochemical methods.

  10. Fast method for reactor and feature scale coupling in ALD and CVD

    DOEpatents

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  11. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  12. Nitrogen and silicon defect incorporation during homoepitaxial CVD diamond growth on (111) surfaces

    DOE PAGES

    Moore, Samuel L.; Vohra, Yogesh K.

    2015-01-01

    Chemical Vapor Deposited (CVD) diamond growth on (111)-diamond surfaces has received increased attention lately because of the use of N-V related centers in quantum computing as well as application of these defect centers in sensing nano-Tesla strength magnetic fields. We have carried out a detailed study of homoepitaxial diamond deposition on (111)-single crystal diamond (SCD) surfaces using a 1.2 kW microwave plasma CVD (MPCVD) system employing methane/hydrogen/nitrogen/oxygen gas phase chemistry. We have utilized Type Ib (111)-oriented single crystal diamonds as seed crystals in our study. The homoepitaxially grown diamond films were analyzed by Raman spectroscopy, Photoluminescence Spectroscopy (PL), X-ray Photoelectronmore » Spectroscopy (XPS), Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). The nitrogen concentration in the plasma was carefully varied between 0 and 1500 ppm while a ppm level of silicon impurity is present in the plasma from the quartz bell jar. The concentration of N-V defect centers with PL zero phonon lines (ZPL) at 575nm and 637nm and the Si-defect center with a ZPL at 737nm were experimentally detected from a variation in CVD growth conditions and were quantitatively studied. As a result, altering nitrogen and oxygen concentration in the plasma was observed to directly affect N-V and Si-defect incorporation into the (111)-oriented diamond lattice and these findings are presented.« less

  13. The Oxidation of CVD Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynchGiao N.

    1997-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 100 and 500 hours at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic laws are discussed. Oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants typically found in combustion environments: oxygen and water vapor.

  14. Ultratough CVD single crystal diamond and three dimensional growth thereof

    DOEpatents

    Hemley, Russell J [Washington, DC; Mao, Ho-kwang [Washington, DC; Yan, Chih-shiue [Washington, DC

    2009-09-29

    The invention relates to a single-crystal diamond grown by microwave plasma chemical vapor deposition that has a toughness of at least about 30 MPa m.sup.1/2. The invention also relates to a method of producing a single-crystal diamond with a toughness of at least about 30 MPa m.sup.1/2. The invention further relates to a process for producing a single crystal CVD diamond in three dimensions on a single crystal diamond substrate.

  15. Synthesis and Structural characterization of β-ketoiminate-stabilized gallium hydrides for chemical vapor deposition applications.

    PubMed

    Marchand, Peter; Pugh, David; Parkin, Ivan P; Carmalt, Claire J

    2014-08-11

    Bis-β-ketoimine ligands of the form [(CH2 )n {N(H)C(Me)CHC(Me)O}2 ] (L(n) H2 , n=2, 3 and 4) were employed in the formation of a range of gallium complexes [Ga(L(n) )X] (X=Cl, Me, H), which were characterised by NMR spectroscopy, mass spectrometry and single-crystal X-ray diffraction analysis. The β-ketoimine ligands have also been used for the stabilisation of rare gallium hydride species [Ga(L(n) )H] (n=2 (7); n=3 (8)), which have been structurally characterised for the first time, confirming the formation of five-coordinate, monomeric species. The stability of these hydrides has been probed through thermal analysis, revealing stability at temperatures in excess of 200 °C. The efficacy of all the gallium β-ketoiminate complexes as molecular precursors for the deposition of gallium oxide thin films by chemical vapour deposition (CVD) has been investigated through thermogravimetric analysis and deposition studies, with the best results being found for a bimetallic gallium methyl complex [L(3) {GaMe2 }2 ] (5) and the hydride [Ga(L(3) )H] (8). The resulting films (F5 and F8, respectively) were amorphous as-deposited and thus were characterised primarily by XPS, EDXA and SEM techniques, which showed the formation of stoichiometric (F5) and oxygen-deficient (F8) Ga2 O3 thin films. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    NASA Astrophysics Data System (ADS)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  17. Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    2000-01-01

    The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.

  18. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    PubMed

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  19. Synthesis of Different Layers of Graphene on Stainless Steel Using the CVD Method

    NASA Astrophysics Data System (ADS)

    Ghaemi, Ferial; Abdullah, Luqman Chuah; Tahir, Paridah Md; Yunus, Robiah

    2016-11-01

    In this study, different types of graphene, including single-, few-, and multi-layer graphene, were grown on a stainless steel (SS) mesh coated with Cu catalyst by using the chemical vapor deposition (CVD) method. Even though the SS mesh consisted of different types of metals, such as Fe, Ni, and Cr, which can also be used as catalysts, the reason for coating Cu catalyst on the SS surface had been related to the nature of the Cu, which promotes the growth of graphene with high quality and quantity at low temperature and time. The reaction temperature and run time, as the most important parameters of the CVD method, were varied, and thus led to the synthesis of different layers of graphene. Moreover, the presence of single-, few-, and multi-layer graphene was confirmed by employing two techniques, namely transmission electron microscopy (TEM) and Raman spectroscopy. On top of that, electron dispersive X-ray (EDX) was further applied to establish the influence of the CVD parameters on the growth of graphene.

  20. Synthesis of Different Layers of Graphene on Stainless Steel Using the CVD Method.

    PubMed

    Ghaemi, Ferial; Abdullah, Luqman Chuah; Tahir, Paridah Md; Yunus, Robiah

    2016-12-01

    In this study, different types of graphene, including single-, few-, and multi-layer graphene, were grown on a stainless steel (SS) mesh coated with Cu catalyst by using the chemical vapor deposition (CVD) method. Even though the SS mesh consisted of different types of metals, such as Fe, Ni, and Cr, which can also be used as catalysts, the reason for coating Cu catalyst on the SS surface had been related to the nature of the Cu, which promotes the growth of graphene with high quality and quantity at low temperature and time. The reaction temperature and run time, as the most important parameters of the CVD method, were varied, and thus led to the synthesis of different layers of graphene. Moreover, the presence of single-, few-, and multi-layer graphene was confirmed by employing two techniques, namely transmission electron microscopy (TEM) and Raman spectroscopy. On top of that, electron dispersive X-ray (EDX) was further applied to establish the influence of the CVD parameters on the growth of graphene.

  1. Thermoelectic properties of CVD grown large area graphene

    NASA Astrophysics Data System (ADS)

    Sherehiy, Andriy

    This thesis is based on experimental work on thermoelectric properties of CVD grown large area graphene. The thermoelectric power (TEP) of CVD (Chemical Vapor Deposition) grown large area graphene transferred onto a Si/SiO 2_substrate was measured by simply attaching two miniature thermocouples and a resistive heater. Availability of such large area graphene facilitates straight forward TEP measurement without the use of any microfabrication processes. All investigated graphene samples showed a positive TEP S ≈ 20 mVK in ambient conditions and saturated at a negative value as low as S ≈ -50 mVK after vacuum-annealing at 500 K in a vacuum of 10-7 Torr. The observed p-type behavior under ambient conditions is attributed to the oxygen doping, while the n-type behavior under degassed conditions is due to electron doping from SiO2 surface states. It was observed that the sign of the TEP switched from negative to positive for the degassed graphene when exposed to acceptor gases. Conversely, the TEP of vacuum-annealed graphene exposed to the donor gases became even more negative than the TEP of vacuum-annealed sample.

  2. Deposition of amorphous carbon thin films by aerosol-assisted CVD method

    NASA Astrophysics Data System (ADS)

    Fadzilah, A. N.; Dayana, K.; Rusop, M.

    2018-05-01

    This paper reports on the deposition of amorphous carbon (a-C) by Aerosol-assisted Chemical Vapor Deposition (AACVD) using natural source of camphor oil as the precursor material. 4 samples were deposited at 4 different deposition flow rate from 15 sccm to 20 sccm, with 5 sccm interval for each sample. The analysis includes the electrical, optical and structural analysis of the data. The a-C structure which came from the manipulation of synthesis parameter was characterized by the solar simulator system, UV-VIS-NIR, Raman spectroscope and AFM. The properties of a-C are highly dependent on the deposition techniques and deposition parameters; hence the influences of gas flow rate were studied.

  3. Chemical vapor deposition modeling for high temperature materials

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1992-01-01

    The formalism for the accurate modeling of chemical vapor deposition (CVD) processes has matured based on the well established principles of transport phenomena and chemical kinetics in the gas phase and on surfaces. The utility and limitations of such models are discussed in practical applications for high temperature structural materials. Attention is drawn to the complexities and uncertainties in chemical kinetics. Traditional approaches based on only equilibrium thermochemistry and/or transport phenomena are defended as useful tools, within their validity, for engineering purposes. The role of modeling is discussed within the context of establishing the link between CVD process parameters and material microstructures/properties. It is argued that CVD modeling is an essential part of designing CVD equipment and controlling/optimizing CVD processes for the production and/or coating of high performance structural materials.

  4. Vapour Intrusion into Buildings - A Literature Review

    EPA Science Inventory

    This chapter provides a review of recent research on vapour intrusion of volatile organic compounds (VOCs) into buildings. The chapter builds on a report from Tillman and Weaver (2005) which reviewed the literature on vapour intrusion through 2005. Firstly, the term ‘vapour intru...

  5. Control of Reaction Surface in Low Temperature CVD to Enhance Nucleation and Conformal Coverage

    ERIC Educational Resources Information Center

    Kumar, Navneet

    2009-01-01

    The Holy Grail in CVD community is to find precursors that can afford the following: good nucleation on a desired substrate and conformal deposition in high AR features. Good nucleation is not only necessary for getting ultra-thin films at low thicknesses; it also offers films that are smooth at higher thickness values. On the other hand,…

  6. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    NASA Astrophysics Data System (ADS)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  7. Diamond detectors for high energy physics experiments

    NASA Astrophysics Data System (ADS)

    Bäni, L.; Alexopoulos, A.; Artuso, M.; Bachmair, F.; Bartosik, M.; Beacham, J.; Beck, H.; Bellini, V.; Belyaev, V.; Bentele, B.; Berdermann, E.; Bergonzo, P.; Bes, A.; Brom, J.-M.; Bruzzi, M.; Cerv, M.; Chiodini, G.; Chren, D.; Cindro, V.; Claus, G.; Collot, J.; Cumalat, J.; Dabrowski, A.; D'Alessandro, R.; Dauvergne, D.; de Boer, W.; Dorfer, C.; Dünser, M.; Eremin, V.; Eusebi, R.; Forcolin, G.; Forneris, J.; Frais-Kölbl, H.; Gallin-Martel, L.; Gallin-Martel, M. L.; Gan, K. K.; Gastal, M.; Giroletti, C.; Goffe, M.; Goldstein, J.; Golubev, A.; Gorišek, A.; Grigoriev, E.; Grosse-Knetter, J.; Grummer, A.; Gui, B.; Guthoff, M.; Haughton, I.; Hiti, B.; Hits, D.; Hoeferkamp, M.; Hofmann, T.; Hosslet, J.; Hostachy, J.-Y.; Hügging, F.; Hutton, C.; Jansen, H.; Janssen, J.; Kagan, H.; Kanxheri, K.; Kasieczka, G.; Kass, R.; Kassel, F.; Kis, M.; Konovalov, V.; Kramberger, G.; Kuleshov, S.; Lacoste, A.; Lagomarsino, S.; Lo Giudice, A.; Lukosi, E.; Maazouzi, C.; Mandic, I.; Mathieu, C.; Menichelli, M.; Mikuž, M.; Morozzi, A.; Moss, J.; Mountain, R.; Murphy, S.; Muškinja, M.; Oh, A.; Oliviero, P.; Passeri, D.; Pernegger, H.; Perrino, R.; Picollo, F.; Pomorski, M.; Potenza, R.; Quadt, A.; Re, A.; Reichmann, M.; Riley, G.; Roe, S.; Sanz, D.; Scaringella, M.; Schaefer, D.; Schmidt, C. J.; Schnetzer, S.; Sciortino, S.; Scorzoni, A.; Seidel, S.; Servoli, L.; Smith, S.; Sopko, B.; Sopko, V.; Spagnolo, S.; Spanier, S.; Stenson, K.; Stone, R.; Sutera, C.; Tannenwald, B.; Taylor, A.; Traeger, M.; Tromson, D.; Trischuk, W.; Tuve, C.; Uplegger, L.; Velthuis, J.; Venturi, N.; Vittone, E.; Wagner, S.; Wallny, R.; Wang, J. C.; Weingarten, J.; Weiss, C.; Wengler, T.; Wermes, N.; Yamouni, M.; Zavrtanik, M.

    2018-01-01

    Beam test results of the radiation tolerance study of chemical vapour deposition (CVD) diamond against different particle species and energies is presented. We also present beam test results on the independence of signal size on incident particle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition functionality of poly-crystalline CVD diamond 3D devices was demonstrated in beam tests and 3D diamond detectors are shown to be a promising technology for applications in future high luminosity experiments.

  8. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  9. All-Cause and CVD Mortality in Native Hawaiians

    PubMed Central

    Aluli, N. Emmett; Reyes, Phillip W.; Brady, S. Kalani; Tsark, JoAnn U.; Jones, Kristina L.; Mau, Marjorie; Howard, Wm. J.; Howard, Barbara V.

    2010-01-01

    Aims Cardiovascular disease (CVD) is the leading cause of death among Native Hawaiians. In this article, all-cause and cardiovascular mortality rates among Native Hawaiians are examined, along with associated CVD risk factors. Methods A total of 855 Native Hawaiians (343 men and 512 women, ages 19–88) were examined as participants of the Cardiovascular Risk Clinics program (1992–1998) and underwent surveillance through September 2007. Cause of each death was determined by review of medical records, death certificates, newspapers, and through queries to community members. Results CVD accounted for 55% of deaths. Coronary heart disease (CHD) accounted for the majority of CVD deaths. CVD increased with age and was higher in those with diabetes, hypertension, or high low-density lipoprotein cholesterol (LDL-C). CVD rates were higher in men than in women and 4-fold higher in those with diabetes. In addition to age, diabetes, hypertension, and elevated LDL-C were major risk factors. Conclusions Diabetes is a major determinant of CVD in this population and most of the CVD is occurring in those with diabetes. Strategies to prevent diabetes and manage blood pressure and lipids should reduce CVD rates in Native Hawaiians. PMID:20392507

  10. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  11. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    NASA Astrophysics Data System (ADS)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  12. Correlations between properties and applications of the CVD amorphous silicon carbide films

    NASA Astrophysics Data System (ADS)

    Kleps, Irina; Angelescu, Anca

    2001-12-01

    The aim of this paper is to emphasise the correlation between film preparation conditions, film properties and their applications. Low pressure chemical vapour deposition amorphous silicon carbide (a-SiC) and silicon carbonitride (SiCN) films obtained from liquid precursors have different structure and composition depending on deposition conditions. Thus, the films deposited under kinetic working conditions reveal a stable structure and composition. Deposition at moderate temperature leads to stoichiometric SiC, while the films deposited at high temperatures have a composition closer to Si 1- xC x, with x=0.75. These films form a very reactive interface with metallic layers. The films realised under kinetic working regime can be used in Si membrane fabrication process or as coating films for field emission applications. SiC layers field emission properties were investigated; the field emission current density of the a-SiC/Si structures was 2.4 mA/cm 2 at 25 V/μm. An Si membrane technology based on moderate temperatures (770-850 °C) a-SiC etching mask is presented.

  13. Measuring the proton selectivity of graphene membranes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walker, Michael I.; Keyser, Ulrich F., E-mail: ufk20@cam.ac.uk; Braeuninger-Weimer, Philipp

    2015-11-23

    By systematically studying the proton selectivity of free-standing graphene membranes in aqueous solutions, we demonstrate that protons are transported by passing through defects. We study the current-voltage characteristics of single-layer graphene grown by chemical vapour deposition (CVD) when a concentration gradient of HCl exists across it. Our measurements can unambiguously determine that H{sup +} ions are responsible for the selective part of the ionic current. By comparing the observed reversal potentials with positive and negative controls, we demonstrate that the as-grown graphene is only weakly selective for protons. We use atomic layer deposition to block most of the defects inmore » our CVD graphene. Our results show that a reduction in defect size decreases the ionic current but increases proton selectivity.« less

  14. Silicon deposition in nanopores using a liquid precursor.

    PubMed

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-22

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  15. Silicon deposition in nanopores using a liquid precursor

    NASA Astrophysics Data System (ADS)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  16. Studies of copper and gold vapour lasers

    NASA Astrophysics Data System (ADS)

    Clark, Graeme Lawrence

    The work described in this thesis covers various aspects of pulsed copper and gold vapour lasers. The work is divided into four main parts : a computer model of the kinetics of the copper vapour laser discharge; construction and characterization of a copper vapour laser and a gold vapour laser system (to be used for photodynamic cancer treatment); analysis of the thermal processes occurring in the various forms of thermal insulation used in these lasers; and studies of the use of metal walls to confine a discharge plasma. The results of this work were combined in the design of the first copper vapour laser to use metal rather than an electrically insulating ceramic material for confinement of the discharge plasma. Laser action in copper vapour has been achieved in a number of metal-walled designs, with continuous lengths of metal ranging from 30 mm, in a segmented design, to 400 mm, where the discharge plasma was confined by two molybdenum tubes of this length. A theoretical explanation of the behaviour of plasmas in metal-walled discharge vessels is described.

  17. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    NASA Astrophysics Data System (ADS)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  18. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    PubMed

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  19. Alternative group V precursors for CVD applications

    NASA Astrophysics Data System (ADS)

    Lum, R. M.; Klingert, J. K.

    1991-01-01

    The chemical vapor deposition (CVD) techniques used to grow III/V semiconductors films, such as metalorganic vapor phase epitaxy (MOVPE), hydride VPE, chemical beam epitaxy (CBE) and gas source molecular beam epitaxy (GS-MBE), all use hydrides (AsH 3 and PH 3) as the Group V source. However, the hydrides are extremely toxic gases which are stored under high pressure (200-2000 psi). To reduce the safety hazards associated with these gases, alternative Group V precursors have been investigated. Organoarsenic and phosphorous compounds have received the most attention as replacements for AsH 3 and PH 3 because they are typically low vapor pressure liquids, and thus present significantly lower exposure risks than the hydrides. For AsH 3 these have included the methyl, ethyl and butyl-based derivatives RnAsH 3- n, with varying degrees ( n = 1-3) of hydrogen atom substitution. In this paper the growth properties, thermochemistry and toxicity of the various alkylarsine precursors are compared with arsine. Data are presented on the impact of the thermochemistry of these compounds on film electrical properties, and on the effects of precursor composition and purity on overall film quality. The suitability of alternative As-precursors for device applications is demonstrated, and selection criteria are presented for the most effective alkylarsine compound for a particular CVD growth process.

  20. Multilayered micro/nanocrystalline CVD diamond coatings for biotribology =

    NASA Astrophysics Data System (ADS)

    Salgueiredo, Ermelinda da Conceicao Portela

    In the present work multilayered micro/nanocrystalline (MCD/NCD) diamond coatings were developed by Hot Filament Chemical Vapour Deposition (HFCVD). The aim was to minimize the surface roughness with a top NCD layer, to maximize adhesion onto the Si3N4 ceramic substrates with a starting MCD coating and to improve the mechanical resistance by the presence of MCD/NCD interfaces in these composite coatings. This set of features assures high wear resistance and low friction coefficients which, combined to diamond biocompatibility, set this material as ideal for biotribological applications. The deposition parameters of MCD were optimized using the Taguchi method, and two varieties of NCD were used: NCD-1, grown in a methane rich gas phase, and NCD-2 where a third gas, Argon, was added to the gas mixture. The best combination of surface pre-treatments in the Si3N4 substrates is obtained by polishing the substrates with a 15 mum diamond slurry, further dry etching with CF4 plasma for 10 minutes and final ultrasonic seeding in a diamond powder suspension in ethanol for 1 hour. The interfaces of the multilayered CVD diamond films were characterized with high detail using HRTEM, STEM-EDX and EELS. The results show that at the transition from MCD to NCD a thin precursor graphitic film is formed. On the contrary, the transition of the NCD to MCD grade is free of carbon structures other than diamond, as a result of the richer atomic hydrogen content and of the higher substrate temperature for MCD deposition. At those transitions, WC nanoparticles were found due to contamination from the filament, being also present at the first interface of the MCD layer with the silicon nitride substrate. In order to study the adhesion and mechanical resistance of the diamond coatings, indentation and particle jet blasting tests were conducted, as well as tribological experiments with homologous pairs. Indentation tests proved the superior behaviour of the multilayered coatings that attained

  1. Optimal Magnetorheological Fluid for Finishing of Chemical-Vapor-Deposited Zinc Sulfide

    NASA Astrophysics Data System (ADS)

    Salzman, Sivan

    Magnetorheological finishing (MRF) of polycrystalline, chemical-vapor- deposited zinc sulfide (ZnS) optics leaves visible surface artifacts known as "pebbles". These artifacts are a direct result of the material's inner structure that consists of cone-like features that grow larger (up to a few millimeters in size) as deposition takes place, and manifest on the top deposited surface as "pebbles". Polishing the pebble features from a CVD ZnS substrate to a flat, smooth surface to below 10 nm root-mean-square is challenging, especially for a non-destructive polishing process such as MRF. This work explores ways to improve the surface finish of CVD ZnS processed with MRF through modification of the magnetorheological (MR) fluid's properties. A materials science approach is presented to define the anisotropy of CVD ZnS through a combination of chemical and mechanical experiments and theoretical predictions. Magnetorheological finishing experiments with single crystal samples of ZnS, whose cuts and orientations represent most of the facets known to occur in the polycrystalline CVD ZnS, were performed to explore the influence of material anisotropy on the material removal rate during MRF. By adjusting the fluid's viscosity, abrasive type concentration, and pH to find the chemo-mechanical conditions that equalize removal rates among all single crystal facets during MRF, we established an optimized, novel MR formulation to polish CVD ZnS without degrading the surface finish of the optic.

  2. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  3. CVD Rhenium Engines for Solar-Thermal Propulsion Systems

    NASA Technical Reports Server (NTRS)

    Williams, Brian E.; Fortini, Arthur J.; Tuffias, Robert H.; Duffy, Andrew J.; Tucker, Stephen P.

    1999-01-01

    Solar-thermal upper-stage propulsion systems have the potential to provide specific impulse approaching 900 seconds, with 760 seconds already demonstrated in ground testing. Such performance levels offer a 100% increase in payload capability compared to state-of-the-art chemical upper-stage systems, at lower cost. Although alternatives such as electric propulsion offer even greater performance, the 6- to 18- month orbital transfer time is a far greater deviation from the state of the art than the one to two months required for solar propulsion. Rhenium metal is the only material that is capable of withstanding the predicted thermal, mechanical, and chemical environment of a solar-thermal propulsion device. Chemical vapor deposition (CVD) is the most well-established and cost-effective process for the fabrication of complex rhenium structures. CVD rhenium engines have been successfully constructed for the Air Force ISUS program (bimodal thrust/electricity) and the NASA Shooting Star program (thrust only), as well as under an Air Force SBIR project (thrust only). The bimodal engine represents a more long-term and versatile approach to solar-thermal propulsion, while the thrust-only engines provide a potentially lower weight/lower cost and more near-term replacement for current upper-stage propulsion systems.

  4. Radio Frequency Transistors and Circuits Based on CVD MoS2.

    PubMed

    Sanne, Atresh; Ghosh, Rudresh; Rai, Amritesh; Yogeesh, Maruthi Nagavalli; Shin, Seung Heon; Sharma, Ankit; Jarvis, Karalee; Mathew, Leo; Rao, Rajesh; Akinwande, Deji; Banerjee, Sanjay

    2015-08-12

    We report on the gigahertz radio frequency (RF) performance of chemical vapor deposited (CVD) monolayer MoS2 field-effect transistors (FETs). Initial DC characterizations of fabricated MoS2 FETs yielded current densities exceeding 200 μA/μm and maximum transconductance of 38 μS/μm. A contact resistance corrected low-field mobility of 55 cm(2)/(V s) was achieved. Radio frequency FETs were fabricated in the ground-signal-ground (GSG) layout, and standard de-embedding techniques were applied. Operating at the peak transconductance, we obtain short-circuit current-gain intrinsic cutoff frequency, fT, of 6.7 GHz and maximum intrinsic oscillation frequency, fmax, of 5.3 GHz for a device with a gate length of 250 nm. The MoS2 device afforded an extrinsic voltage gain Av of 6 dB at 100 MHz with voltage amplification until 3 GHz. With the as-measured frequency performance of CVD MoS2, we provide the first demonstration of a common-source (CS) amplifier with voltage gain of 14 dB and an active frequency mixer with conversion gain of -15 dB. Our results of gigahertz frequency performance as well as analog circuit operation show that large area CVD MoS2 may be suitable for industrial-scale electronic applications.

  5. VOx effectively doping CVD-graphene for transparent conductive films

    NASA Astrophysics Data System (ADS)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  6. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Terranova, M. L.; Rossi, M.; Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  7. The role of magmas in the formation of hydrothermal ore deposits

    USGS Publications Warehouse

    Hedenquist, Jeffrey W.; Lowenstern, Jacob B.

    1994-01-01

    Magmatic fluids, both vapour and hypersaline liquid, are a primary source of many components in hydrothermal ore deposits formed in volcanic arcs. These components, including metals and their ligands, become concentrated in magmas in various ways from various sources, including subducted oceanic crust. Leaching of rocks also contributes components to the hydrothermal fluid—a process enhanced where acid magmatic vapours are absorbed by deeply circulating meteoric waters. Advances in understanding the hydrothermal systems that formed these ore deposits have come from the study of their active equivalents, represented at the surface by hot springs and volcanic fumaroles.

  8. Fluid inclusion study of some Sarrabus fluorite deposits, Sardinia, Italy.

    USGS Publications Warehouse

    Belkin, H.E.; de Vivo, B.; Valera, R.

    1984-01-01

    Fluid inclusions in six deposits of fluorite fracture fillings associated with Hercynian (Carboniferous) cycle magmatism were studied by microthermometric techniques. All the inclusions were liquid dominated, aqueous, and homogenized in the liquid phase. One-phase (liquid), two-phase (liquid + vapour) and three-phase (liquid, vapour, and solid NaCl daughter mineral) fluid inclusions were noted. This study indicates that five of the fluorite deposits formed from 95o-125oC fluids with approx 15 wt.% NaCl. One other deposit appears to have been formed by very dilute solutions at approx 125oC. It is suggested that the local fluorite-forming process was the formation of fracture-localized hydrothermal systems in which magmatic water interaction with some other fluid-connate, meteoric, or marine.-G.J.N.

  9. The synthesis of graphene at different deposition time from palm oil via thermal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Salifairus, M. J.; Soga, T.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    The basic building of graphitic materials is graphene that can range from zero-dimensional to three-dimensional. Graphene is a single atomic layer of sp2 bonded carbon atoms. It becomes most potential new materials to replace silicon due to its fascinating properties. In this study, the graphene growth was observed at different deposition time. The 1cm x 1cm polycrystalline nickel substrate was cleaned by etching process. The palm oil, carbon source, was placed in the precursor furnace and the nickel substrate was placed in the second furnace (deposition furnace). The palm oil will mix with Argon and Hydrogen gas was used as carrier gas in the CVD under certain temperature and pressure to undergo pyrolysis process. The deposition temperature was set at 900 °C and the deposition time was varied from 5 - 60 minutes. The graphene was growth at ambient pressure in the CVD system. Raman spectrometer and atomic force microscopy revealed the structural properties and surface topography of the grapheme on the nickel substrate. The D, G and 2D band appear approximately at 1378 cm-1, 1580 cm-1 and 2696 cm-1. It can be concluded that the graphene has successfully synthesized at different deposition time.

  10. Near Field Scanning Optical Microscopy (NSOM) of Nano Devices

    DTIC Science & Technology

    2008-12-01

    FEATURES OF GaN NANOWIRES Gallium Nitride (GaN) nanowires are semiconductor wires of great interest lately for its some of its unique properties. These...via chemical vapour deposition (CVD) [19] or even with gas source molecular beam epitaxy (MBE) [20] The GaN nanowires growth techniques will not be...Denlinger, and Peidong Yang, Crystallographic alignment of high-density gallium nitride nanowire arrays, Nature Materials, Issue 3 Vol 8, pg 524

  11. Process in manufacturing high efficiency AlGaAs/GaAs solar cells by MO-CVD

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Chang, K. I.; Tandon, J.

    1984-01-01

    Manufacturing technology for mass producing high efficiency GaAs solar cells is discussed. A progress using a high throughput MO-CVD reactor to produce high efficiency GaAs solar cells is discussed. Thickness and doping concentration uniformity of metal oxide chemical vapor deposition (MO-CVD) GaAs and AlGaAs layer growth are discussed. In addition, new tooling designs are given which increase the throughput of solar cell processing. To date, 2cm x 2cm AlGaAs/GaAs solar cells with efficiency up to 16.5% were produced. In order to meet throughput goals for mass producing GaAs solar cells, a large MO-CVD system (Cambridge Instrument Model MR-200) with a susceptor which was initially capable of processing 20 wafers (up to 75 mm diameter) during a single growth run was installed. In the MR-200, the sequencing of the gases and the heating power are controlled by a microprocessor-based programmable control console. Hence, operator errors can be reduced, leading to a more reproducible production sequence.

  12. Evaluating electrically insulating films deposited on V-4% Cr-4% Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.; Cho, W.D.

    1997-04-01

    Previous CaO coatings on V-4%Cr-4%Ti exhibited high-ohmic insulator behavior even though a small amount of vanadium from the alloy was incorporated in the coating. However, when the vanadium concentration in the coatings is > 15 wt%, the coating becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. To explore this situation, CaO and Ca-V-O coatings were produced on vanadium alloys by chemical vapor deposition (CVD) and by a metallic-vapor process to investigate the electrical resistance of the coatings. Initially, the vanadium alloy specimens were either charged with oxygenmore » in argon that contained trace levels of oxygen, or oxidized for 1.5-3 h in a 1% CO-CO{sub 2} gas mixture or in air to form vanadium oxide at 625-650{degrees}C. Most of the specimens were exposed to calcium vapor at 800-850{degrees}C. Initial and final weights were obtained to monitor each step, and surveillance samples were removed for examination by optical and scanning electron microscopy and electron-energy-dispersive and X-ray diffraction analysis; the electrical resistivity was also measured. The authors found that Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film was > 0.9, and semiconductor or conductor behavior for R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, the authors conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  13. Parametric study of waste chicken fat catalytic chemical vapour deposition for controlled synthesis of vertically aligned carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Suriani, A. B.; Dalila, A. R.; Mohamed, A.; Rosmi, M. S.; Mamat, M. H.; Malek, M. F.; Ahmad, M. K.; Hashim, N.; Isa, I. M.; Soga, T.; Tanemura, M.

    2016-12-01

    High-quality vertically aligned carbon nanotubes (VACNTs) were synthesised using ferrocene-chicken oil mixture utilising a thermal chemical vapour deposition (TCVD) method. Reaction parameters including vaporisation temperature, catalyst concentration and synthesis time were examined for the first time to investigate their influence on the growth of VACNTs. Analysis via field emission scanning electron microscopy and micro-Raman spectroscopy revealed that the growth rate, diameter and crystallinity of VACNTs depend on the varied synthesis parameters. Vaporisation temperature of 570°C, catalyst concentration of 5.33 wt% and synthesis time of 60 min were considered as optimum parameters for the production of VACNTs from waste chicken fat. These parameters are able to produce VACNTs with small diameters in the range of 15-30 nm and good quality (ID/IG 0.39 and purity 76%) which were comparable to those synthesised using conventional carbon precursor. The low turn on and threshold fields of VACNTs synthesised using optimum parameters indicated that the VACNTs synthesised using waste chicken fat are good candidate for field electron emitter. The result of this study therefore can be used to optimise the growth and production of VACNTs from waste chicken fat in a large scale for field emission application.

  14. Effects of ball-milling on lithium insertion into multi-walled carbon nanotubes synthesized by thermal chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Eom, JiYong; Kim, DongYung; Kwon, HyukSang

    The effects of ball-milling on Li insertion into multi-walled carbon nanotubes (MWNTs) are presented. The MWNTs are synthesized on supported catalysts by thermal chemical vapour deposition, purified, and mechanically ball-milled by the high energy ball-milling. The purified MWNTs and the ball-milled MWNTs were electrochemically inserted with Li. Structural and chemical modifications in the ball-milled MWNTs change the insertion-extraction properties of Li ions into/from the ball-milled MWNTs. The reversible capacity (C rev) increases with increasing ball-milling time, namely, from 351 mAh g -1 (Li 0.9C 6) for the purified MWNTs to 641 mAh g -1 (Li 1.7C 6) for the ball-milled MWNTs. The undesirable irreversible capacity (C irr) decreases continuously with increase in the ball-milling time, namely, from 1012 mAh g -1 (Li 2.7C 6) for the purified MWNTs to 518 mAh g -1 (Li 1.4C 6) for the ball-milled MWNTs. The decrease in C irr of the ball-milled samples results in an increase in the coulombic efficiency from 25% for the purified samples to 50% for the ball-milled samples. In addition, the ball-milled samples maintain a more stable capacity than the purified samples during charge-discharge cycling.

  15. Fermented dairy food and CVD risk.

    PubMed

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  16. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Low temperature growth of diamond films on optical fibers using Linear Antenna CVD system

    NASA Astrophysics Data System (ADS)

    Ficek, M.; Drijkoningen, S.; Karczewski, J.; Bogdanowicz, R.; Haenen, K.

    2016-01-01

    It is not trivial to achieve a good quality diamond-coated fibre interface due to a large difference in the properties and composition of the diamond films (or use coating even) and the optical fibre material, i.e. fused silica. One of the biggest problems is the high temperature during the deposition which influences the optical fibre or optical fibre sensor structure (e.g. long-period gratings (LPG)). The greatest advantage of a linear antenna microwave plasma enhanced chemical vapor deposition system (LA MW CVD) is the fact that it allows to grow the diamond layers at low temperature (below 300°C) [1]. High quality nanocrystalline diamond (NCD) thin films with thicknesses ranging from 70 nm to 150 nm, were deposited on silicon, glass and optical fibre substrates [2]. Substrates pretreatment by dip-coating and spin coating process with a dispersion consisting of detonation nanodiamond (DND) in dimethyl sulfoxide (DMSO) with polyvinyl alcohol (PVA) has been applied. During the deposition process the continuous mode of operation of the LA MW CVD system was used, which produces a continuous wave at a maximum power of 1.9 kW (in each antenna). Diamond films on optical fibres were obtained at temperatures below 350°C, providing a clear improvement of results compared to our earlier work [3]. The samples were characterized by scanning electron microscopy (SEM) imaging to investigate the morphology of the nanocrystalline diamond films. The film growth rate, film thickness, and optical properties in the VIS-NIR range, i.e. refractive index and extinction coefficient will be discussed based on measurements on reference quartz plates by using spectroscopic ellipsometry (SE).

  18. Roughness and compressive strength of FDM 3D printed specimens affected by acetone vapour treatment

    NASA Astrophysics Data System (ADS)

    Beniak, Juraj; Križan, Peter; Šooš, Ľubomír; Matúš, Miloš

    2018-01-01

    Rapid Prototyping technologies are the fastest growing technologies in the manufacturing of components and parts. There are many techniques which can be used with different materials and different purposes of produced part. Gradually, Rapid Prototyping systems have grown into Additive Manufacturing, because technology expansion brings faster production, improved manufactured components, and expanded palette of used materials. So now this techniques are also used for regular production of special parts, where is usual change of part design, where is necessary to produce variety of different designs and shapes. The following article deals with Fused Deposition Modelling (FDM) technology, the core of which is the manufacture models and components from thermoplastic polymers by deposition single fibres of semi-molten plastic material layer by layer. The article focuses on the results of research for testing of manufactured specimens by FDM technology. Components are modified by acetone vapour for surface smoothing. The purpose is to point out how the additional specimen treatment influence the strength properties. Presented paper shows realized experiments and measurements of compressive force on specimens and surface roughness which are influenced by acetone vapour treatment.

  19. Fracture Characteristics of Monolayer CVD-Graphene

    PubMed Central

    Hwangbo, Yun; Lee, Choong-Kwang; Kim, Sang-Min; Kim, Jae-Hyun; Kim, Kwang-Seop; Jang, Bongkyun; Lee, Hak-Joo; Lee, Seoung-Ki; Kim, Seong-Su; Ahn, Jong-Hyun; Lee, Seung-Mo

    2014-01-01

    We have observed and analyzed the fracture characteristics of the monolayer CVD-graphene using pressure bulge testing setup. The monolayer CVD-graphene has appeared to undergo environmentally assisted subcritical crack growth in room condition, i.e. stress corrosion cracking arising from the adsorption of water vapor on the graphene and the subsequent chemical reactions. The crack propagation in graphene has appeared to be able to be reasonably tamed by adjusting applied humidity and stress. The fracture toughness, describing the ability of a material containing inherent flaws to resist catastrophic failure, of the CVD-graphene has turned out to be exceptionally high, as compared to other carbon based 3D materials. These results imply that the CVD-graphene could be an ideal candidate as a structural material notwithstanding environmental susceptibility. In addition, the measurements reported here suggest that specific non-continuum fracture behaviors occurring in 2D monoatomic structures can be macroscopically well visualized and characterized. PMID:24657996

  20. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  1. Evaporation Source for Deposition of Protective Layers inside Tubes

    NASA Astrophysics Data System (ADS)

    Musa, Geavit; Mustata, Ion; Dinescu, Gheorghe; Bajeu, George; Raiciu, Elena

    1992-09-01

    A heated cathode arc can be ignited in vacuum in the vapours of the anode material due to the accelerated electron beam from the cathode. A small assembly, consisting of an electron gun as the cathode and a refractory metal crucible, containing the material to be evaporated, as the anode, can be moved along the axis of the tube whose inside wall is to be covered with a protective layer. The vacuum arc ignited between the electrodes in the vapours of the evaporating anode material ensures a high deposition rate with low thermal energy transport to the tube wall. This new method can be used for the deposition of various metal layers inside different kinds of tubes (metallic, glass, ceramics or plastics).

  2. The CVD ZrB2 as a selective solar absorber

    NASA Astrophysics Data System (ADS)

    Randich, E.; Allred, D. D.

    Coatings of ZrB2 and TiB2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB2 and 800 K for Z4B2. Both materials exhibit innate spectral selectivity with emittance at 375 K ranging from 0.06 to 0.09 and solar absorptance for ZrB2 ranging from 0.67 to 0.77 and solar absorptance for TiB2 ranging from 0.46 to 0.58. ZrB2 has better solar selectivity and more desirable oxidation behavior than TiB2. A 0.071 micrometer antireflection coating of Si3N4 deposited on the ZrB2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  3. Revealing the microscopic CVD growth mechanism of MoSe2 and the role of hydrogen gas during the growth procedure.

    PubMed

    Wang, Hulian; Zhu, Dancheng; Jiang, Feng; Zhao, Pei; Wang, Hongtao; Zhang, Ze; Chen, Xin; Jin, Chuanhong

    2018-08-03

    Understanding the microscopic mechanisms for the nucleation and growth of two-dimensional molybdenum diselenide (2D MoSe 2 ) via chemical vapor deposition (CVD) is crucial towards the precisely controlled growth of the 2D material. In this work, we employed a joint use of transmission electron microscopy and CVD, in which the 2D MoSe 2 were directly grown on a graphene membrane based on grids, that enables the microstructural characterization of as-grown MoSe 2 flakes. We further explore the role of hydrogen gas and find: in an argon ambient, the primary products are few-layer MoSe 2 flakes, along with MoO x nanoparticles; while with the introduction of H 2 , single-layer MoSe 2 became the dominant product during the CVD growth. Quantitative analysis of the effects of H 2 flow rate on the flake sizes, and areal coverage was also given. Nevertheless, we further illuminated the evolution of shape morphology and edge structures of single-layer MoSe 2 , and proposed the associated growth routes during a typical CVD process.

  4. Low-temperature growth of nitrogen-doped carbon nanofibers by acetonitrile catalytic CVD using Ni-based catalysts

    NASA Astrophysics Data System (ADS)

    Iwasaki, Tomohiro; Makino, Yuri; Fukukawa, Makoto; Nakamura, Hideya; Watano, Satoru

    2016-11-01

    To synthesize nitrogen-doped carbon nanofibers (N-CNFs) at high growth rates and low temperatures less than 673 K, nickel species (metallic nickel and nickel oxide) supported on alumina particles were used as the catalysts for an acetonitrile catalytic chemical vapor deposition (CVD) process. The nickel:alumina mass ratio in the catalysts was fixed at 0.05:1. The catalyst precursors were prepared from various nickel salts (nitrate, chloride, sulfate, acetate, and lactate) and then calcined at 1073 K for 1 h in oxidative (air), reductive (hydrogen-containing argon), or inert (pure argon) atmospheres to activate the nickel-based catalysts. The effects of precursors and calcination atmosphere on the catalyst activity at low temperatures were studied. We found that the catalysts derived from nickel nitrate had relatively small crystallite sizes of nickel species and provided N-CNFs at high growth rates of 57 ± 4 g-CNF/g-Ni/h at 673 K in the CVD process using 10 vol% hydrogen-containing argon as the carrier gas of acetonitrile vapor, which were approximately 4 times larger than that of a conventional CVD process. The obtained results reveal that nitrate ions in the catalyst precursor and hydrogen in the carrier gas can contribute effectively to the activation of catalysts in low-temperature CVD. The fiber diameter and nitrogen content of N-CNFs synthesized at high growth rates were several tens of nanometers and 3.5 ± 0.3 at.%, respectively. Our catalysts and CVD process may lead to cost reductions in the production of N-CNFs.

  5. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    PubMed

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  6. Growth of ZnOx:Al by high-throughput CVD at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Illiberi, A.; Simons, P. J. P. M.; Kniknie, B.; van Deelen, J.; Theelen, M.; Zeman, M.; Tijssen, M.; Zijlmans, W.; Steijvers, H. L. A. H.; Habets, D.; Janssen, A. C.; Beckers, E. H. A.

    2012-05-01

    Aluminum doped zinc oxide films (ZnOx:Al) have been deposited on a moving glass substrate by a metalorganic CVD process at atmospheric pressure in an in-line industrial type reactor. Tertiary-butanol has been used as an oxidant for diethylzinc and trimethylaluminium as the dopant gas. The effect of the deposition temperature (from 380 to 540 °C) on the deposition rate has been investigated by a numerical code, where a gas phase reaction among tertiary-butanol and diethylzinc is assumed to occur. The structural (crystallinity and morphology) properties of the films as a function of the deposition temperature have been analyzed by using X-ray diffraction and Scanning Electron Microscopy. A maximum growth rate of ˜11 nm/s was found at a deposition temperature of 480 °C, for which ZnOx:Al films show (002) preferential orientation, good crystalline quality and a naturally rough surface. ZnOx:Al films deposited at 480 °C are also highly conductive (R<10 Ω/□ for film thicknesses above 1050 nm) and transparent (>85% in the visible range). These films have been used as front transparent conductive oxide layers in p i n a-Si:H solar cells, achieving an initial efficiency approaching 8%.

  7. Chemical vapor deposition fluid flow simulation modelling tool

    NASA Technical Reports Server (NTRS)

    Bullister, Edward T.

    1992-01-01

    Accurate numerical simulation of chemical vapor deposition (CVD) processes requires a general purpose computational fluid dynamics package combined with specialized capabilities for high temperature chemistry. In this report, we describe the implementation of these specialized capabilities in the spectral element code NEKTON. The thermal expansion of the gases involved is shown to be accurately approximated by the low Mach number perturbation expansion of the incompressible Navier-Stokes equations. The radiative heat transfer between multiple interacting radiating surfaces is shown to be tractable using the method of Gebhart. The disparate rates of reaction and diffusion in CVD processes are calculated via a point-implicit time integration scheme. We demonstrate the use above capabilities on prototypical CVD applications.

  8. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  9. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    NASA Astrophysics Data System (ADS)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  10. High efficiency epitaxial GaAs/GaAs and GaAs/Ge solar cell technology using OM/CVD

    NASA Technical Reports Server (NTRS)

    Wang, K. L.; Yeh, Y. C. M.; Stirn, R. J.; Swerdling, S.

    1980-01-01

    A technology for fabricating high efficiency, thin film GaAs solar cells on substrates appropriate for space and/or terrestrial applications was developed. The approach adopted utilizes organometallic chemical vapor deposition (OM-CVD) to form a GaAs layer epitaxially on a suitably prepared Ge epi-interlayer deposited on a substrate, especially a light weight silicon substrate which can lead to a 300 watt per kilogram array technology for space. The proposed cell structure is described. The GaAs epilayer growth on single crystal GaAs and Ge wafer substrates were investigated.

  11. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  12. Charge multiplication effect in thin diamond films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skukan, N., E-mail: nskukan@irb.hr; Grilj, V.; Sudić, I.

    2016-07-25

    Herein, we report on the enhanced sensitivity for the detection of charged particles in single crystal chemical vapour deposition (scCVD) diamond radiation detectors. The experimental results demonstrate charge multiplication in thin planar diamond membrane detectors, upon impact of 18 MeV O ions, under high electric field conditions. Avalanche multiplication is widely exploited in devices such as avalanche photo diodes, but has never before been reproducibly observed in intrinsic CVD diamond. Because enhanced sensitivity for charged particle detection is obtained for short charge drift lengths without dark counts, this effect could be further exploited in the development of sensors based on avalanchemore » multiplication and radiation detectors with extreme radiation hardness.« less

  13. Enhanced water vapour flow in silica microchannels and interdiffusive water vapour flow through anodic aluminium oxide (AAO) membranes

    NASA Astrophysics Data System (ADS)

    Lei, Wenwen; McKenzie, David R.

    2015-12-01

    Enhanced liquid water flows through carbon nanotubes reinvigorated the study of moisture permeation through membranes and micro- and nano-channels. The study of water vapour through micro-and nano-channels has been neglected even though water vapour is as important as liquid water for industry, especially for encapsulation of electronic devices. Here we measure moisture flow rates in silica microchannels and interdiffusive water vapour flows in anodic aluminium oxide (AAO) membrane channels for the first time. We construct theory for the flow rates of the dominant modes of water transport through four previously defined standard configurations and benchmark it against our new measurements. The findings show that measurements of leak behaviour made using other molecules, such as helium, are not reliable. Single phase water vapour flow is overestimated by a helium measurement, while Washburn or capillary flow is underestimated or for all channels when boundary slip applies, to an extent that depends on the slip length for the liquid phase flows.

  14. Atomic origins of water-vapour-promoted alloy oxidation

    NASA Astrophysics Data System (ADS)

    Luo, Langli; Su, Mao; Yan, Pengfei; Zou, Lianfeng; Schreiber, Daniel K.; Baer, Donald R.; Zhu, Zihua; Zhou, Guangwen; Wang, Yanting; Bruemmer, Stephen M.; Xu, Zhijie; Wang, Chongmin

    2018-06-01

    The presence of water vapour, intentional or unavoidable, is crucial to many materials applications, such as in steam generators, turbine engines, fuel cells, catalysts and corrosion1-4. Phenomenologically, water vapour has been noted to accelerate oxidation of metals and alloys5,6. However, the atomistic mechanisms behind such oxidation remain elusive. Through direct in situ atomic-scale transmission electron microscopy observations and density functional theory calculations, we reveal that water-vapour-enhanced oxidation of a nickel-chromium alloy is associated with proton-dissolution-promoted formation, migration, and clustering of both cation and anion vacancies. Protons derived from water dissociation can occupy interstitial positions in the oxide lattice, consequently lowering vacancy formation energy and decreasing the diffusion barrier of both cations and anions, which leads to enhanced oxidation in moist environments at elevated temperatures. This work provides insights into water-vapour-enhanced alloy oxidation and has significant implications in other material and chemical processes involving water vapour, such as corrosion, heterogeneous catalysis and ionic conduction.

  15. Atomic origins of water-vapour-promoted alloy oxidation.

    PubMed

    Luo, Langli; Su, Mao; Yan, Pengfei; Zou, Lianfeng; Schreiber, Daniel K; Baer, Donald R; Zhu, Zihua; Zhou, Guangwen; Wang, Yanting; Bruemmer, Stephen M; Xu, Zhijie; Wang, Chongmin

    2018-06-01

    The presence of water vapour, intentional or unavoidable, is crucial to many materials applications, such as in steam generators, turbine engines, fuel cells, catalysts and corrosion 1-4 . Phenomenologically, water vapour has been noted to accelerate oxidation of metals and alloys 5,6 . However, the atomistic mechanisms behind such oxidation remain elusive. Through direct in situ atomic-scale transmission electron microscopy observations and density functional theory calculations, we reveal that water-vapour-enhanced oxidation of a nickel-chromium alloy is associated with proton-dissolution-promoted formation, migration, and clustering of both cation and anion vacancies. Protons derived from water dissociation can occupy interstitial positions in the oxide lattice, consequently lowering vacancy formation energy and decreasing the diffusion barrier of both cations and anions, which leads to enhanced oxidation in moist environments at elevated temperatures. This work provides insights into water-vapour-enhanced alloy oxidation and has significant implications in other material and chemical processes involving water vapour, such as corrosion, heterogeneous catalysis and ionic conduction.

  16. Method for localized deposition of noble metal catalysts with control of morphology

    DOEpatents

    Ricco, Antonio J.; Manginell, Ronald P.; Huber, Robert J.

    1998-01-01

    A combustible gas sensor that uses a resistively heated, noble metal-coated, micromachined polycrystalline Si filament to calorimetrically detect the presence and concentration of combustible gases. A thin catalytic Pt film was deposited by CVD from the precursor Pt(acac).sub.2 onto microfilaments resistively heated to approximately 500 .degree. C.; Pt deposits only on the hot filament. The filaments tested to date are 2 .mu.m thick .times.10 .mu.m wide .times.100, 250, 500, or 1000 .mu.m-long polycrystalline Si; some are overcoated with a 0.25 .mu.m-thick protective CVD Si.sub.3 N.sub.4 layer.

  17. AuCl3 doping-induced conductive unstability for CVD-grown graphene on glass substrate

    NASA Astrophysics Data System (ADS)

    Wang, Jiaqing; Liu, Xianming; Cao, Xueying; Zhang, Peng; Lei, Xiaohua; Chen, Weimin

    2017-09-01

    Graphene is a candidate material for next-generation high performance transparent conducting film (TCF) to replace indium tin oxide (ITO) materials. However, the sheet resistance of large area graphene obtained by the chemical vapor deposition (CVD) method is higher than other kinds of TCFs. The main strategies for improving the electrical conductivity of graphene films have been based on various doping treatments. AuCl3 is one of the most effective dopants. In this paper, we investigate the influence of AuCl3 doping on the conductive stability of CVD-grown graphene. Large area graphene film synthesized by CVD and transferred to glass substrates is taken as experimental sample. AuCl3 in nitromethane is used to dope the graphene films to improve the electrical conductivity. Another sample without doping is prepared for comparison. The resistances of graphene under periodic visible light irradiation with and without AuCl3 doping are measured. Results show that the resistances for all samples increase exponentially under lighting, while decrease slowly in an exponential form as well after the light is switched off. The relative resistance changes for undoped and doped samples are compared under 445nm light irradiation with 40mW/cm2, 60mW/cm2, 80mW/cm2, 100mW/cm2 in atmosphere and vacuum. The change rate and degree for doped graphene are greater than that of undoped graphene. It is evident from the experimental data that AuCl3 doping may induce conductive unstability for CVD-grown graphene on glass substrate.

  18. Growth of nitrogen-doped graphene on copper: Multiscale simulations

    NASA Astrophysics Data System (ADS)

    Gaillard, P.; Schoenhalz, A. L.; Moskovkin, P.; Lucas, S.; Henrard, L.

    2016-02-01

    We used multiscale simulations to model the growth of nitrogen-doped graphene on a copper substrate by chemical vapour deposition (CVD). Our simulations are based on ab-initio calculations of energy barriers for surface diffusion, which are complemented by larger scale Kinetic Monte Carlo (KMC) simulations. Our results indicate that the shape of grown doped graphene flakes depends on the temperature and deposition flux they are submitted during the process, but we found no significant effect of nitrogen doping on this shape. However, we show that nitrogen atoms have a preference for pyridine-like sites compared to graphite-like sites, as observed experimentally.

  19. The ignitability of petrol vapours and potential for vapour phase explosion by use of TASER® law enforcement electronic control device.

    PubMed

    Clarke, C; Andrews, S P

    2014-12-01

    An experimental study was made of the potential of the TASER-X26™ law enforcement electronic control device to ignite petrol vapours if used by an officer to incapacitate a person soaked in petrol, or within a flammable atmosphere containing petrol vapour. Bench scale tests have shown that a wooden mannequin with pig skin covering the chest was a suitable representation of a human target. Full scale tests using the mannequin have shown that the arc from a TASER-X26™ is capable of igniting petrol/air vapours on a petrol-soaked person. Further tests in a 1/5 scale and a full scale compartment have shown that if a TASER is used within a compartment, a petrol vapour explosion (deflagration) may be achieved. It is evident from this research that if used in a flammable vapour rich environment, the device could prove fatal not only to the target but the TASER® operator as well. Copyright © 2014 Forensic Science Society. Published by Elsevier Ireland Ltd. All rights reserved.

  20. Synthesis and characterization of a mixed phase of anatase TiO2 and TiO2(B) by low pressure chemical vapour deposition (LPCVD) for high photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Chimupala, Y.; Hyett, G.; Simpson, R.; Brydson, R.

    2014-06-01

    This project is concerned with enhancing photocatalytic activity by preparing a mixed phase of nano-sized TiO2. TiO2 thin films were synthesized by using Low Pressure Chemical Vapour Deposition (LPCVD). Titanium isopropoxide and N2 gas were used as the precursor and carrier gas respectively. The effects of reaction temperature, carrier gas flow rate and deposited area were studied. TiO2 thin films with nano-sized TiO2 particles were obtained under suitable conditions and SEM, TEM, powder XRD and Raman spectroscopy were employed to characterize the phase and physical appearance of synthesized materials. Preliminary results show that a dual phase (TiO2(B) and anatase) thin film nanopowder was successfully prepared by LPCVD with needle- and polygonal plate-shape crystallites respectively. This thin film deposit produced a preferred orientation of TiO2(B) needles in the [001] direction of average crystallite size 50-80 nm in length and 5-10 nm in width, whilst the crystallite size of anatase polygonal-plates was around 200 nm. The optimal LPCVD condition for preparing this mixed phase of TiO2 was 600°C with a 1 mL/s N2 flow rate.

  1. Characteristics and Machining Performance of TiN and TiAlN Coatings on a Milling Cutter

    NASA Astrophysics Data System (ADS)

    Sarwar, Mohammed; Haider, Julfikar

    2011-01-01

    Titanium Nitride (TiN) coating deposited by Physical Vapour Deposition (PVD) or Chemical Vapour Deposition (CVD) techniques on cutting tools (single point or multipoint) has contributed towards the improvement of tool life, productivity and product quality [1]. Addition of Al in TiN coating (e.g., TiAlN or AlTiN) has further improved the coating properties required for machining applications [2, 3]. This work presents a comparative investigation on TiN and TiAlN coatings deposited on to a Powder Metallurgy High Speed Steel (PM HSS) milling cutter used for machining bimetal (M42+D6A) steel strips. PVD (Arc evaporation) technique was used to deposit the coatings after carefully preparing the cutting edges of the milling cutter. Microstructure, chemical composition, hardness and adhesion of the coatings have been characterised using different techniques. The incorporation of Al into TiN coating results in an improvement in hardness, wear resistance and cutting performance. Examination of the worn flank in the coated cutting edges revealed that abrasive and adhesive wear are the predominant failure mechanisms. Tool designers, coating suppliers and manufacturing engineers could benefit from the information provided.

  2. Influence of low energy argon plasma treatment on the moisture barrier performance of hot wire-CVD grown SiNx multilayers

    NASA Astrophysics Data System (ADS)

    Majee, Subimal; Fátima Cerqueira, Maria; Tondelier, Denis; Geffroy, Bernard; Bonnassieux, Yvan; Alpuim, Pedro; Bourée, Jean Eric

    2014-01-01

    The reliability and stability are key issues for the commercial utilization of organic photovoltaic devices based on flexible polymer substrates. To increase the shelf-lifetime of these devices, transparent moisture barriers of silicon nitride (SiNx) films are deposited at low temperature by hot wire CVD (HW-CVD) process. Instead of the conventional route based on organic/inorganic hybrid structures, this work defines a new route consisting in depositing multilayer stacks of SiNx thin films, each single layer being treated by argon plasma. The plasma treatment allows creating smoother surface and surface atom rearrangement. We define a critical thickness of the single layer film and focus our attention on the effect of increasing the number of SiNx single-layers on the barrier properties. A water vapor transmission rate (WVTR) of 2 × 10-4 g/(m2·day) is reported for SiNx multilayer stack and a physical interpretation of the plasma treatment effect is given.

  3. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    NASA Astrophysics Data System (ADS)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  4. Amplitude and phase modulation in microwave ring resonators by doped CVD graphene.

    PubMed

    Grande, M; Bianco, G V; Capezzuto, P; Petruzzelli, V; Prudenzano, F; Scalora, M; Bruno, G; D'Orazio, A

    2018-08-10

    In this paper, we numerically and experimentally demonstrate how to modulate the amplitude and phase of a microwave ring resonator by means of few-layers chemical vapour deposition graphene. In particular, both numerical and experimental results show a modulation of about 10 dB and a 90 degrees-shift (quadrature phase shift) when the graphene sheet-resistance is varied. These findings prove once again that graphene could be efficiently exploited for the dynamically tuning and modulation of microwave devices fostering the realization of (i) innovative beam-steering and beam-forming systems and (ii) graphene-based sensors.

  5. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Chung, S. J.; Senthil Kumar, M.; Lee, Y. S.; Suh, E.-K.; An, M. H.

    2010-05-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  6. Nucleation and growth of single layer graphene on electrodeposited Cu by cold wall chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Das, Shantanu; Drucker, Jeff

    2017-03-01

    The nucleation density and average size of graphene crystallites grown using cold wall chemical vapor deposition (CVD) on 4 μm thick Cu films electrodeposited on W substrates can be tuned by varying growth parameters. Growth at a fixed substrate temperature of 1000 °C and total pressure of 700 Torr using Ar, H2 and CH4 mixtures enabled the contribution of total flow rate, CH4:H2 ratio and dilution of the CH4/H2 mixture by Ar to be identified. The largest variation in nucleation density was obtained by varying the CH4:H2 ratio. The observed morphological changes are analogous to those that would be expected if the deposition rate were varied at fixed substrate temperature for physical deposition using thermal evaporation. The graphene crystallite boundary morphology progresses from irregular/jagged through convex hexagonal to regular hexagonal as the effective C deposition rate decreases. This observation suggests that edge diffusion of C atoms along the crystallite boundaries, in addition to H2 etching, may contribute to shape evolution of the graphene crystallites. These results demonstrate that graphene grown using cold wall CVD follows a nucleation and growth mechanism similar to hot wall CVD. As a consequence, the vast knowledge base relevant to hot wall CVD may be exploited for graphene synthesis by the industrially preferable cold wall method.

  7. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  8. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  9. Large scale integration of CVD-graphene based NEMS with narrow distribution of resonance parameters

    NASA Astrophysics Data System (ADS)

    Arjmandi-Tash, Hadi; Allain, Adrien; (Vitto Han, Zheng; Bouchiat, Vincent

    2017-06-01

    We present a novel method for the fabrication of the arrays of suspended micron-sized membranes, based on monolayer pulsed-CVD graphene. Such devices are the source of an efficient integration of graphene nano-electro-mechanical resonators, compatible with production at the wafer scale using standard photolithography and processing tools. As the graphene surface is continuously protected by the same polymer layer during the whole process, suspended graphene membranes are clean and free of imperfections such as deposits, wrinkles and tears. Batch fabrication of 100 μm-long multi-connected suspended ribbons is presented. At room temperature, mechanical resonance of electrostatically-actuated devices show narrow distribution of their characteristic parameters with high quality factor and low effective mass and resonance frequencies, as expected for low stress and adsorbate-free membranes. Upon cooling, a sharp increase of both resonant frequency and quality factor is observed, enabling to extract the thermal expansion coefficient of CVD graphene. Comparison with state-of-the-art graphene NEMS is presented.

  10. Radio frequency and microwave plasma for optical thin-film deposition

    NASA Astrophysics Data System (ADS)

    Otto, Juergen; Paquet, Volker; Kersten, Ralf T.; Etzkorn, Heinz-Werner; Brusasco, Raymond M.; Britten, Jerald A.; Campbell, Jack H.; Thorsness, J. B.

    1990-12-01

    For the next generation of fusion lasers reflecting mirrors with laser damage thresholds of at least 40 J/cm2 for 1 0 ns laser pulses at 1 .064 pm are needed. Up to now, no deposition technique has been developed to produce such mirrors. Best R&D-values realized today are around 30 J/cm2 for e-beam evaporated mirrors. R&D on conventional e-beam coating processes over the last 1 0 years has come up with marginal improvements in laser damage thresholds only. However, new technologies, like PICVD (Plasma-Impulse CVD) developed for the fabrication of ultra-low loss fiber preforms, seem to offer the potential to solve this problem. First results have been reported already [1-3]. It is well known that fused silica produced by CVD processes can have laser damage thresholds as high as 80 J/cm2. However, the thickness of a single deposited film is in the pm-range for most of the CVD-processes used for preform manufacturing; since interference optics need films in the ; /4n range (where n is the refractive index of the dielectric material) the use of preform-fabrication processes for the purpose of interference mirror fabrication is limited to a few plasma based CVD technologies, namely PCVD (Plasma-CVD, Philips [4]; PICVD, SCHOTT [5]). Especially PICVD is a very powerful technology to fabricate thin film multilayers for interference mirrors, because this technique is able to produce films down to monolayer thickness with nearly perfect stoichiometry and morphology. In first and preliminary experiments the usual deposition in a circular tube at high temperatures has been used for simplicity. However, to produce large area high quality laser mirrors this principle know-how has to be transfered from circular to planar geometry. Experiments showed, that there may be some limitations with respect to the homogeneity of a planar deposition using microwave excitation for the plasma. Therefore experiments have been performed in parallel with both RF and microwave excitation for

  11. Water Vapour Effects in Mass Measurement

    NASA Astrophysics Data System (ADS)

    Khélifa, N.

    2008-01-01

    Water vapour density inside the mass comparator enclosure is a critical parameter whose fluctuations during mass weighing can lead to errors in the determination of an unknown mass. To monitor them, a method using DFB laser diode in the near infrared has been proposed and tested. Preliminary results of our observation of water vapour sorption and de-sorption processes from the walls and the mass standard are reported.

  12. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Avshish; Parveen, Shama; Husain, Samina

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current densitymore » of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.« less

  13. CVD-grown horizontally aligned single-walled carbon nanotubes: synthesis routes and growth mechanisms.

    PubMed

    Ibrahim, Imad; Bachmatiuk, Alicja; Warner, Jamie H; Büchner, Bernd; Cuniberti, Gianaurelio; Rümmeli, Mark H

    2012-07-09

    Single-walled carbon nanotubes (SWCNTs) have attractive electrical and physical properties, which make them very promising for use in various applications. For some applications however, in particular those involving electronics, SWCNTs need to be synthesized with a high degree of control with respect to yield, length, alignment, diameter, and chirality. With this in mind, a great deal of effort is being directed to the precision control of vertically and horizontally aligned nanotubes. In this review the focus is on the latter, horizontally aligned tubes grown by chemical vapor deposition (CVD). The reader is provided with an in-depth review of the established vapor deposition orientation techniques. Detailed discussions on the characterization routes, growth parameters, and growth mechanisms are also provided. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Chemical vapor deposition of aluminum oxide

    DOEpatents

    Gordon, Roy; Kramer, Keith; Liu, Xinye

    2000-01-01

    An aluminum oxide film is deposited on a heated substrate by CVD from one or more alkylaluminum alkoxide compounds having composition R.sub.n Al.sub.2 (OR').sub.6-n, wherein R and R' are alkyl groups and n is in the range of 1 to 5.

  15. Dimensionless Numbers Expressed in Terms of Common CVD Process Parameters

    NASA Technical Reports Server (NTRS)

    Kuczmarski, Maria A.

    1999-01-01

    A variety of dimensionless numbers related to momentum and heat transfer are useful in Chemical Vapor Deposition (CVD) analysis. These numbers are not traditionally calculated by directly using reactor operating parameters, such as temperature and pressure. In this paper, these numbers have been expressed in a form that explicitly shows their dependence upon the carrier gas, reactor geometry, and reactor operation conditions. These expressions were derived for both monatomic and diatomic gases using estimation techniques for viscosity, thermal conductivity, and heat capacity. Values calculated from these expressions compared well to previously published values. These expressions provide a relatively quick method for predicting changes in the flow patterns resulting from changes in the reactor operating conditions.

  16. Surface modification of pitch-based spherical activated carbon by CVD of NH 3 to improve its adsorption to uric acid

    NASA Astrophysics Data System (ADS)

    Liu, Chaojun; Liang, Xiaoyi; Liu, Xiaojun; Wang, Qin; Zhan, Liang; Zhang, Rui; Qiao, Wenming; Ling, Licheng

    2008-08-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  17. Lateral gas phase diffusion length of boron atoms over Si/B surfaces during CVD of pure boron layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohammadi, V., E-mail: V.Mohammadi@tudelft.nl; Nihtianov, S.

    The lateral gas phase diffusion length of boron atoms, L{sub B}, along silicon and boron surfaces during chemical vapor deposition (CVD) using diborane (B{sub 2}H{sub 6}) is reported. The value of L{sub B} is critical for reliable and uniform boron layer coverage. The presented information was obtained experimentally and confirmed analytically in the boron deposition temperature range from 700 °C down to 400 °C. For this temperature range the local loading effect of the boron deposition is investigated on the micro scale. A L{sub B} = 2.2 mm was determined for boron deposition at 700 °C, while a L{sub B}more » of less than 1 mm was observed at temperatures lower than 500 °C.« less

  18. New method to assess the water vapour permeance of wound coverings.

    PubMed

    Jonkman, M F; Molenaar, I; Nieuwenhuis, P; Bruin, P; Pennings, A J

    1988-05-01

    A new method for assessing the permeability to water vapour of wound coverings is presented, using the evaporimeter developed by Nilsson. This new method combines the water vapour transmission rate (WVTR) and the vapour pressure difference across a wound covering in one absolute measure: the water vapour permeance (WVP). The WVP of a wound covering is the steady flow (g) of water vapour per unit (m2) area of surface in unit (h) time induced by unit (kPa) vapour pressure difference, g.m-2.h-1.kPa-1. Since the WVP of a wound covering is a more accurate measure for the permeability than the WVTR is, it facilitates the prediction of the water exchange of a wound covering in clinical situations.

  19. Large Area CVD MoS2 RF transistors with GHz performance

    NASA Astrophysics Data System (ADS)

    Nagavalli Yogeesh, Maruthi; Sanne, Atresh; Park, Saungeun; Akinwade, Deji; Banerjee, Sanjay

    Molybdenum disulfide (MoS2) is a 2D semiconductor in the family of transition metal dichalcogenides (TMDs). Its single layer direct bandgap of 1.8 eV allows for high ION/IOFF metal-oxide semiconducting field-effect transistors (FETs). More relevant for radio frequency (RF) wireless applications, theoretical studies predict MoS2 to have saturation velocities, vsat >3×106 cm/s. Facilitated by cm-scale CVD MoS2, here we design and fabricate both top-gated and embedded gate short channel MoS2 RF transistors, and provide a systematic comparison of channel length scaling, extrinsic doping from oxygen-deficient dielectrics, and a gate-first gate-last process flow. The intrinsic fT (fmax) obtained from the embedded gate transistors shows 3X (2X) improvement over top-gated CVD MoS2 RF FETs, and the largest high-field saturation velocity, vsat = 1.88 ×106 cm/s, in MoS2 reported so far. The gate-first approach, offers enhancement mode operation, ION/IOFF ratio of 10, 8< and the highest reported transconductance (gm) of 70 μS/ μm. By manipulating the interfacial oxygen vacancies in atomic layer deposited (ALD) HfO2-x we are able to achieve 2X current density over stoichiometric Al2O3. We demonstrate a common-source (CS) amplifier with voltage gain of 14 dB and an active frequency mixer with conversion gain of -15 dB. Our results of gigahertz frequency performance as well as analog circuit operation show that large area CVD MoS2 may be suitable for industrial-scale electronic applications.

  20. Medical cannabis use in Canada: vapourization and modes of delivery.

    PubMed

    Shiplo, Samantha; Asbridge, Mark; Leatherdale, Scott T; Hammond, David

    2016-10-29

    The mode of medical cannabis delivery-whether cannabis is smoked, vapourized, or consumed orally-may have important implications for its therapeutic efficacy and health risks. However, there is very little evidence on current patterns of use among Canadian medical cannabis users, particularly with respect to modes of delivery. The current study examined modes of medical cannabis delivery following regulatory changes in 2014 governing how Canadians access medical cannabis. A total of 364 approved adult Canadian medical cannabis users completed an online cross-sectional survey between April and June 2015. The survey examined patterns of medical cannabis use, modes of delivery used, and reasons for use. Participants were recruited through a convenience sample from nine Health Canada licensed producers. Using a vapourizer was the most popular mode of delivery for medical cannabis (53 %), followed by smoking a joint (47 %). The main reason for using a vapourizer was to reduce negative health consequences associated with smoking. A majority of current vapourizer users reported using a portable vapourizer (67.2 %), followed by a stationary vapourizer (41.7 %), and an e-cigarette or vape pen (19.3 %). Current use of a vapourizer was associated with fewer respiratory symptoms (AOR = 1.28, 95 % CI 1.05-1.56, p = 0.01). The findings suggest an increase in the popularity of vapourizers as the primary mode of delivery among approved medical users. Using vapourizers has the potential to prevent some of the adverse respiratory health consequences associated with smoking and may serve as an effective harm reduction method. Monitoring implications of such current and future changes to medical cannabis regulations may be beneficial to policymakers.

  1. Kirkendall void formation in reverse step graded Si1-xGex/Ge/Si(001) virtual substrates

    NASA Astrophysics Data System (ADS)

    Sivadasan, Vineet; Rhead, Stephen; Leadley, David; Myronov, Maksym

    2018-02-01

    Formation of Kirkendall voids is demonstrated in the Ge underlayer of reverse step graded Si1-xGex/Ge buffer layers grown on Si(001) using reduced pressure chemical vapour deposition (RP-CVD). This phenomenon is seen when the constant composition Si1-xGex layer is grown at high temperatures and for x ≤ 0.7. The density and size of the spherical voids can be tuned by changing Ge content in the Si1-xGex and other growth parameters.

  2. Grating-assisted coupling to nanophotonic circuits in microcrystalline diamond thin films.

    PubMed

    Rath, Patrik; Khasminskaya, Svetlana; Nebel, Christoph; Wild, Christoph; Pernice, Wolfram Hp

    2013-01-01

    Synthetic diamond films can be prepared on a waferscale by using chemical vapour deposition (CVD) on suitable substrates such as silicon or silicon dioxide. While such films find a wealth of applications in thermal management, in X-ray and terahertz window design, and in gyrotron tubes and microwave transmission lines, their use for nanoscale optical components remains largely unexplored. Here we demonstrate that CVD diamond provides a high-quality template for realizing nanophotonic integrated optical circuits. Using efficient grating coupling devices prepared from partially etched diamond thin films, we investigate millimetre-sized optical circuits and achieve single-mode waveguiding at telecoms wavelengths. Our results pave the way towards broadband optical applications for sensing in harsh environments and visible photonic devices.

  3. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  4. C_7F_16/He rf plasma CVD of a-C:F films

    NASA Astrophysics Data System (ADS)

    Hokoi, Kohji; Akazawa, Masamichi; Sugawara, Hirotake; Sakai, Yosuke

    2000-10-01

    Fluorinated carbon is one of the most promissing materials with low dielectric constant ɛr and high dielectric strength V_b. We have deposited a-C:F films by rf (13.56 MHz) plasma enhanced CVD method using the following liquid materials; C_7F_16, (C_3F_7)_3N/(C_4F_9)_3N and C_8F_18/C_8F_16O.(C. P. Lungu et al.), Jpn. J. Appl. Phys. 38, L1544--6 (1999) The films showed ɛr values in a range of 1.9--3.0 and V_b>2 MV/cm. In this work, we added He (3 Pa) to C_7F_16 (60 Pa) plasmas, expecting that He atoms in the metastable excited state (He*, 19.8 eV) would promote C_7F_16 decomposition in gas phase or activation of the film surface during deposition. The films with the thickness up to 2300 nm were deposited on unheated Si substrate with an rf power of 100 W. The deposition rate derived from the film thickness measurement by SEM and ellipsometry was about 230 nm/min. This value is roughly two times as large as that of the films deposited by C_7F_16 (60 Pa) plasmas without He. We discuss the mechanism that leads to such a significant increase in the deposition rate.

  5. Mechanical properties of ultrahigh molecular weight PHEMA hydrogels synthesized using initiated chemical vapor deposition.

    PubMed

    Bose, Ranjita K; Lau, Kenneth K S

    2010-08-09

    In this work, poly(2-hydroxyethyl methacrylate) (PHEMA), a widely used hydrogel, is synthesized using initiated chemical vapor deposition (iCVD), a one-step surface polymerization that does not use any solvents. iCVD synthesis is capable of producing linear stoichiometric polymers that are free from entrained unreacted monomer or solvent and, thus, do not require additional purification steps. The resulting films, therefore, are found to be noncytotoxic and also have low nonspecific protein adsorption. The kinetics of iCVD polymerization are tuned so as to achieve rapid deposition rates ( approximately 1.5 microm/min), which in turn yield ultrahigh molecular weight polymer films that are mechanically robust with good water transport and swellability. The films have an extremely high degree of physical chain entanglement giving rise to high tensile modulus and storage modulus without the need for chemical cross-linking that compromises hydrophilicity.

  6. Advances in the Development of a WCl6 CVD System for Coating UO2 Powders with Tungsten

    NASA Technical Reports Server (NTRS)

    Mireles, Omar R.; Tieman, Alyssa; Broadway, Jeramie; Hickman, Robert

    2013-01-01

    W-UO2 CERMET fuels are under development to enable Nuclear Thermal Propulsion (NTP) for deep space exploration. Research efforts with an emphasis on fuel fabrication, testing, and identification of potential risks is underway. One primary risk is fuel loss due to CTE mismatch between W and UO2 and the grain boundary structure of W particles resulting in higher thermal stresses. Mechanical failure can result in significant reduction of the UO2 by hot hydrogen. Fuel loss can be mitigated if the UO2 particles are coated with a layer of high density tungsten before the consolidation process. This paper discusses the work to date, results, and advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process. Keywords: Space, Nuclear, Thermal, Propulsion, Fuel, CERMET, CVD, Tungsten, Uranium

  7. Origin of the relatively low transport mobility of graphene grown through chemical vapor deposition

    PubMed Central

    Song, H. S.; Li, S. L.; Miyazaki, H.; Sato, S.; Hayashi, K.; Yamada, A.; Yokoyama, N.; Tsukagoshi, K.

    2012-01-01

    The reasons for the relatively low transport mobility of graphene grown through chemical vapor deposition (CVD-G), which include point defect, surface contamination, and line defect, were analyzed in the current study. A series of control experiments demonstrated that the determinant factor for the low transport mobility of CVD-G did not arise from point defects or surface contaminations, but stemmed from line defects induced by grain boundaries. Electron microscopies characterized the presence of grain boundaries and indicated the polycrystalline nature of the CVD-G. Field-effect transistors based on CVD-G without the grain boundary obtained a transport mobility comparative to that of Kish graphene, which directly indicated the detrimental effect of grain boundaries. The effect of grain boundary on transport mobility was qualitatively explained using a potential barrier model. Furthermore, the conduction mechanism of CVD-G was also investigated using the temperature dependence measurements. This study can help understand the intrinsic transport features of CVD-G. PMID:22468224

  8. No sodium in the vapour plumes of Enceladus.

    PubMed

    Schneider, Nicholas M; Burger, Matthew H; Schaller, Emily L; Brown, Michael E; Johnson, Robert E; Kargel, Jeffrey S; Dougherty, Michele K; Achilleos, Nicholas A

    2009-06-25

    The discovery of water vapour and ice particles erupting from Saturn's moon Enceladus fuelled speculation that an internal ocean was the source. Alternatively, the source might be ice warmed, melted or crushed by tectonic motions. Sodium chloride (that is, salt) is expected to be present in a long-lived ocean in contact with a rocky core. Here we report a ground-based spectroscopic search for atomic sodium near Enceladus that places an upper limit on the mixing ratio in the vapour plumes orders of magnitude below the expected ocean salinity. The low sodium content of escaping vapour, together with the small fraction of salt-bearing particles, argues against a situation in which a near-surface geyser is fuelled by a salty ocean through cracks in the crust. The lack of observable sodium in the vapour is consistent with a wide variety of alternative eruption sources, including a deep ocean, a freshwater reservoir, or ice. The existing data may be insufficient to distinguish between these hypotheses.

  9. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated thatmore » a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.« less

  10. Electronic structure of polycrystalline CVD-graphene revealed by Nano-ARPES

    NASA Astrophysics Data System (ADS)

    Chen, Chaoyu; Avila, José; Asensio, Maria C.

    2017-06-01

    The ability to explore electronic structure and their role in determining material’s macroscopic behaviour is essential to explain and engineer functions of material and device. Since its debut in 2004, graphene has attracted global research interest due to its unique properties. Chemical vapor deposition (CVD) has emerged as an important method for the massive preparation and production of graphene for various applications. Here by employing angle-resolved photoemission spectroscopy with nanoscale spatial resolution ˜ 100 nm (Nano-ARPES), we describe the approach to measure the electronic structure of polycrystalline graphene on copper foils, demonstrating the power of Nano-ARPES to detect the electronic structure of microscopic single crystalline domains, being fully compatible with conventional ARPES. Similar analysis could be employed to other microscopic materials

  11. Prediction of vapour-liquid and vapour-liquid-liquid equilibria of nitrogen-hydrocarbon mixtures used in J-T refrigerators

    NASA Astrophysics Data System (ADS)

    Narayanan, Vineed; Venkatarathnam, G.

    2018-03-01

    Nitrogen-hydrocarbon mixtures are widely used as refrigerants in J-T refrigerators operating with mixtures, as well as in natural gas liquefiers. The Peng-Robinson equation of state has traditionally been used to simulate the above cryogenic process. Multi parameter Helmholtz energy equations are now preferred for determining the properties of natural gas. They have, however, been used only to predict vapour-liquid equilibria, and not vapour-liquid-liquid equilibria that can occur in mixtures used in cryogenic mixed refrigerant processes. In this paper the vapour-liquid equilibrium of binary mixtures of nitrogen-methane, nitrogen-ethane, nitrogen-propane, nitrogen-isobutane and three component mixtures of nitrogen-methane-ethane and nitrogen-methane-propane have been studied with the Peng-Robinson and the Helmholtz energy equations of state of NIST REFPROP and compared with experimental data available in the literature.

  12. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  13. Chemical vapor deposition of yttria-stabilized zirconia as a thermal barrier coating for gas turbine engines

    NASA Astrophysics Data System (ADS)

    Varanasi, Venu Gopal

    The gas turbine engine uses an yttria-stabilized zirconia (YSZ) coating to provide thermal insulation for its turbine blades. This YSZ coating must be tetragonal in crystal structure, columnar in microstructure, and be 100--250 mum thick to provide for adequate protection for the turbine blades in the severe engine environment. Currently, YSZ coatings are fabricated by electron-beam physical vapor deposition (EB-PVD), but this fabrication method is cost intensive. Chemical vapor deposition (CVD) is a more commercially viable processing method and a possible alternative to EB-PVD. The deposition of tetragonal YSZ from gaseous metal and oxidation sources were studied. A chemical equilibrium analysis modeled the feasibility of depositing tetragonal YSZ for both chloride CVD (Zr-Y-C-O-Cl-H-Inert system) and metal-organic CVD (MOCVD) (Zr-Y-C-O-H system). Pure thermochemical properties and the assessed YSZ phase diagram were used in this analysis. Using the molar input of metals ((nY + nZr) and ( nY/(nY + nZr ) = 0.08)) as bases, equilibrium calculations showed that tetragonal YSZ formation was feasible. Tetragonal YSZ formation was feasible with high oxygen content (nO/(nY + nZr) > 8) and high temperature (T > 100°C) in the case of chloride CVD (Zr-Y-C-O-Cl-H-Inert). Tetragonal YSZ formation was feasible with high oxygen content (nO/( nY + nZr) > 5) and high temperature (T > 950°C) in the case of MOCVD (Zr-Y-C-O-H). Although solid carbon formation did not appear in chloride CVD, additional oxygen (nO/( nY + nZr) > 32) and low hydrogen content relative to carbon (nH/nC < 2) were required to avoid solid carbon formation in MOCVD. Coatings were deposited using a set of base conditions derived from the chemical equilibrium analysis. In chloride CVD, YCl3 was not included because of its low vapor pressure, thus, ZrCl4 was oxidized with the H2-CO2 gas mixture. Monoclinic ZrO2 coatings were deposited at the thermochemically optimized conditions (n O/(nY + nZr) > 8, T > 1004

  14. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  15. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    DTIC Science & Technology

    2013-01-01

    catalyst thermal CVD (FCT-CVD) with xylene and ferrocene liquid mixture without any prior catalyst deposition. T-CVD is a low-cost system that can... ferrocene is used as an iron source to promoteCNT growth. Based on these repeatable results, the CNT growth parameters were used to grow CNTs on the...temperature furnace is ramped up to the growth temperature of 750∘C. Ferrocene was dissolved into a xylene solvent in a 0.008 : 1molar volume ratio.The xylene

  16. Intercomparison of TCCON and MUSICA Water Vapour Products

    NASA Astrophysics Data System (ADS)

    Weaver, D.; Strong, K.; Deutscher, N. M.; Schneider, M.; Blumenstock, T.; Robinson, J.; Notholt, J.; Sherlock, V.; Griffith, D. W. T.; Barthlott, S.; García, O. E.; Smale, D.; Palm, M.; Jones, N. B.; Hase, F.; Kivi, R.; Ramos, Y. G.; Yoshimura, K.; Sepúlveda, E.; Gómez-Peláez, Á. J.; Gisi, M.; Kohlhepp, R.; Warneke, T.; Dohe, S.; Wiegele, A.; Christner, E.; Lejeune, B.; Demoulin, P.

    2014-12-01

    We present an intercomparison between the water vapour products from the Total Carbon Column Observing Network (TCCON) and the MUlti-platform remote Sensing of Isotopologues for investigating the Cycle of Atmospheric water (MUSICA), two datasets from ground-based Fourier Transform InfraRed (FTIR) spectrometers with good global representation. Where possible, comparisons to radiosondes are also included. The near-infrared TCCON measurements are optimized to provide precise monitoring of greenhouse gases for carbon cycle studies; however, TCCON's retrievals also produce water vapour products. The mid-infrared MUSICA products result from retrievals optimized to give precise and accurate information about H2O, HDO, and δD. The MUSICA water vapour products have been validated by extensive intercomparisons with H2O and δD in-situ measurements made from ground, radiosonde, and aircraft (Schneider et al. 2012, 2014), as well as by intercomparisons with satellite-based H2O and δD remote sensing measurements (Wiegele et al., 2014). This dataset provides a valuable reference point for other measurements of water vapour. This study is motivated by the limited intercomparisons performed for TCCON water vapour products and limited characterisation of their uncertainties. We compare MUSICA and TCCON products to assess the potential for TCCON measurements to contribute to studies of the water cycle, water vapour's role in climate and use as a tracer for atmospheric dynamics, and to evaluate the performance of climate models. The TCCON and MUSICA products result from measurements taken using the same FTIR instruments, enabling a comparison with constant instrumentation. The retrieval techniques differ, however, in their method and a priori information. We assess the impact of these differences and characterize the comparability of the TCCON and MUSICA datasets.

  17. Origins of Moiré Patterns in CVD-grown MoS2 Bilayer Structures at the Atomic Scales.

    PubMed

    Wang, Jin; Namburu, Raju; Dubey, Madan; Dongare, Avinash M

    2018-06-21

    The chemical vapor deposition (CVD)-grown two-dimensional molybdenum disulfide (MoS 2 ) structures comprise of flakes of few layers with different dimensions. The top layers are relatively smaller in size than the bottom layers, resulting in the formation of edges/steps across adjacent layers. The strain response of such few-layer terraced structures is therefore likely to be different from exfoliated few-layered structures with similar dimensions without any terraces. In this study, the strain response of CVD-grown few-layered MoS 2 terraced structures is investigated at the atomic scales using classic molecular dynamics (MD) simulations. MD simulations suggest that the strain relaxation of CVD-grown triangular terraced structures is observed in the vertical displacement of the atoms across the layers that results in the formation of Moiré patterns. The Moiré islands are observed to nucleate at the corners or edges of the few-layered structure and propagate inwards under both tensile and compressive strains. The nucleation of these islands is observed to happen at tensile strains of ~ 2% and at compressive strains of ~2.5%. The vertical displacements of the atoms and the dimensions of the Moiré islands predicted using the MD simulation are in excellent agreement with that observed experimentally.

  18. The millennium water vapour drop in chemistry-climate model simulations

    NASA Astrophysics Data System (ADS)

    Brinkop, Sabine; Dameris, Martin; Jöckel, Patrick; Garny, Hella; Lossow, Stefan; Stiller, Gabriele

    2016-07-01

    This study investigates the abrupt and severe water vapour decline in the stratosphere beginning in the year 2000 (the "millennium water vapour drop") and other similarly strong stratospheric water vapour reductions by means of various simulations with the state-of-the-art Chemistry-Climate Model (CCM) EMAC (ECHAM/MESSy Atmospheric Chemistry Model). The model simulations differ with respect to the prescribed sea surface temperatures (SSTs) and whether nudging is applied or not. The CCM EMAC is able to most closely reproduce the signature and pattern of the water vapour drop in agreement with those derived from satellite observations if the model is nudged. Model results confirm that this extraordinary water vapour decline is particularly obvious in the tropical lower stratosphere and is related to a large decrease in cold point temperature. The drop signal propagates under dilution to the higher stratosphere and to the poles via the Brewer-Dobson circulation (BDC). We found that the driving forces for this significant decline in water vapour mixing ratios are tropical sea surface temperature (SST) changes due to a coincidence with a preceding strong El Niño-Southern Oscillation event (1997/1998) followed by a strong La Niña event (1999/2000) and supported by the change of the westerly to the easterly phase of the equatorial stratospheric quasi-biennial oscillation (QBO) in 2000. Correct (observed) SSTs are important for triggering the strong decline in water vapour. There are indications that, at least partly, SSTs contribute to the long period of low water vapour values from 2001 to 2006. For this period, the specific dynamical state of the atmosphere (overall atmospheric large-scale wind and temperature distribution) is important as well, as it causes the observed persistent low cold point temperatures. These are induced by a period of increased upwelling, which, however, has no corresponding pronounced signature in SSTs anomalies in the tropics. Our free

  19. Numerical Analysis of an Impinging Jet Reactor for the CVD and Gas-Phase Nucleation of Titania

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Stewart, Gregory D.; Collins, Joshua; Rosner, Daniel E.

    1994-01-01

    We model a cold-wall atmospheric pressure impinging jet reactor to study the CVD and gas-phase nucleation of TiO2 from a titanium tetra-iso-propoxide (TTIP)/oxygen dilute source gas mixture in nitrogen. The mathematical model uses the computational code FIDAP and complements our recent asymptotic theory for high activation energy gas-phase reactions in thin chemically reacting sublayers. The numerical predictions highlight deviations from ideality in various regions inside the experimental reactor. Model predictions of deposition rates and the onset of gas-phase nucleation compare favorably with experiments. Although variable property effects on deposition rates are not significant (approximately 11 percent at 1000 K), the reduction rates due to Soret transport is substantial (approximately 75 percent at 1000 K).

  20. Formation of 3D graphene foams on soft templated metal monoliths

    NASA Astrophysics Data System (ADS)

    Tynan, Michael K.; Johnson, David W.; Dobson, Ben P.; Coleman, Karl S.

    2016-07-01

    Graphene foams are leading contenders as frameworks for polymer thermosets, filtration/pollution control and for use as an electrode material in energy storage devices, taking advantage of graphene's high electrical conductivity and the porous structure of the foam. Here we demonstrate a simple synthesis of a macroporous 3D graphene material templated from a dextran/metal salt gel, where the metal was cobalt, nickel, copper, and iron. The gel was annealed to form a metal oxide foam prior to a methane chemical vapour deposition (CVD). Cobalt metal gels were shown to afford the highest quality material as determined by electron microscopy (SEM and TEM) and Raman spectroscopy.Graphene foams are leading contenders as frameworks for polymer thermosets, filtration/pollution control and for use as an electrode material in energy storage devices, taking advantage of graphene's high electrical conductivity and the porous structure of the foam. Here we demonstrate a simple synthesis of a macroporous 3D graphene material templated from a dextran/metal salt gel, where the metal was cobalt, nickel, copper, and iron. The gel was annealed to form a metal oxide foam prior to a methane chemical vapour deposition (CVD). Cobalt metal gels were shown to afford the highest quality material as determined by electron microscopy (SEM and TEM) and Raman spectroscopy. Electronic supplementary information (ESI) available: Raman, EDX, PXRD, TGA, electrical conductivity data and SEM. See DOI: 10.1039/c6nr02455f

  1. Direct synthesis of vertically aligned ZnO nanowires on FTO substrates using a CVD method and the improvement of photovoltaic performance

    PubMed Central

    2012-01-01

    In this work, we report a direct synthesis of vertically aligned ZnO nanowires on fluorine-doped tin oxide-coated substrates using the chemical vapor deposition (CVD) method. ZnO nanowires with a length of more than 30 μm were synthesized, and dye-sensitized solar cells (DSSCs) based on the as-grown nanowires were fabricated, which showed improvement of the device performance compared to those fabricated using transferred ZnO nanowires. Dependence of the cell performance on nanowire length and annealing temperature was also examined. This synthesis method provided a straightforward, one-step CVD process to grow relatively long ZnO nanowires and avoided subsequent nanowire transfer process, which simplified DSSC fabrication and improved cell performance. PMID:22673046

  2. Chemical vapor deposition and characterization of polysilanes polymer based thin films and their applications in compound semiconductors and silicon devices

    NASA Astrophysics Data System (ADS)

    Oulachgar, El Hassane

    As the semiconductors industry is moving toward nanodevices, there is growing need to develop new materials and thin films deposition processes which could enable strict control of the atomic composition and structure of thin film materials in order to achieve precise control on their electrical and optical properties. The accurate control of thin film characteristics will become increasingly important as the miniaturization of semiconductor devices continue. There is no doubt that chemical synthesis of new materials and their self assembly will play a major role in the design and fabrication of next generation semiconductor devices. The objective of this work is to investigate the chemical vapor deposition (CVD) process of thin film using a polymeric precursor as a source material. This process offers many advantages including low deposition cost, hazard free working environment, and most importantly the ability to customize the polymer source material through polymer synthesis and polymer functionalization. The combination between polymer synthesis and CVD process will enable the design of new generation of complex thin film materials with a wide range of improved chemical, mechanical, electrical and optical properties which cannot be easily achieved through conventional CVD processes based on gases and small molecule precursors. In this thesis we mainly focused on polysilanes polymers and more specifically poly(dimethylsilanes). The interest in these polymers is motivated by their distinctive electronic and photonic properties which are attributed to the delocalization of the sigma-electron along the Si-Si backbone chain. These characteristics make polysilane polymers very promising in a broad range of applications as a dielectric, a semiconductor and a conductor. The polymer-based CVD process could be eventually extended to other polymer source materials such as polygermanes, as well as and a variety of other inorganic and hybrid organic-inorganic polymers

  3. Creep and Rupture Strength of an Advanced CVD SiC Fiber

    NASA Technical Reports Server (NTRS)

    Goldsby, J. C.; Yun, H. M.; DiCarlo, J. A.

    1997-01-01

    In the as-produced condition the room temperature strength (approx. 6 GPa) of Textron Specialty Materials' 50 microns CVD SiC fiber represents the highest value thus far obtained for commercially produced polycrystalline SiC fibers. To understand whether this strength can be maintained after composite processing conditions, high temperature studies were performed on the effects of time, stress, and environment on 1400 deg. C tensile creep strain and stress rupture on as-produced, chemically vapor deposited SiC fibers. Creep strain results were consistent, allowing an evaluation of time and stress effects. Test environment had no influence on creep strain but I hour annealing at 1600 deg. C in argon gas significantly reduced the total creep strain and increased the stress dependence. This is attributed to changes in the free carbon morphology and its distribution within the CVD SiC fiber. For the as-produced and annealed fibers, strength at 1400 deg. C was found to decrease from a fast fracture value of 2 GPa to a 100-hr rupture strength value of 0. 8 GPa. In addition a loss of fast fracture strength from 6 GPa is attributed to thermally induced changes in the outer carbon coating and microstructure. Scatter in rupture times made a definitive analysis of environmental and annealing effects on creep strength difficult.

  4. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  5. Impedance study of undoped, polycrystalline diamond layers obtained by HF CVD

    NASA Astrophysics Data System (ADS)

    Paprocki, Kazimierz; Fabisiak, Kazimerz; Dychalska, Anna; Szybowicz, Mirosław; Dudkowiak, Alina; Iskaliyeva, Aizhan

    2017-04-01

    In this paper, we report results of impedance measurements in polycrystalline diamond films deposited on n-Si using HF CVD method. The temperature was changed from 170 K up to RT and the scan frequency from 42 Hz to 5 MHz. The results of impedance measurement of the real and imaginary parts were presented in the form of a Cole-Cole plot in the complex plane. In the temperatures below RT, the observed impedance response of polycrystalline diamond was in the form of a single semicircular form. In order to interpret the observed response, a double resistor-capacitor parallel circuit model was used which allow for interpretation physical mechanisms responsible for such behavior. The impedance results were correlated with Raman spectroscopy measurements.

  6. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS{sub 2} domains

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hao, Song; Yang, Bingchu, E-mail: bingchuyang@csu.edu.cn; Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS{sub 2} domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS{sub 2} domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS{sub 2} crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS{sub 2} single crystals. The thickness of triangle and polygon shape MoS{sub 2} crystalsmore » is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS{sub 2} crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS{sub 2}-based devices.« less

  7. MEDUSA (Martian Environmental DUst Systematic Analyser) for the monitoring of the Martian atmospheric dust and water vapour

    NASA Astrophysics Data System (ADS)

    Colangeli, L.; Battaglia, R.; della Corte, V.; Esposito, F.; Ferrini, G.; Mazzotta Epifani, E.; Palomba, E.; Palumbo, P.; Panizza, A.; Rotundi, A.

    2004-03-01

    The knowledge of Martian airborne dust properties and about mechanisms of dust settling/raising to/from the surface are important to determine climate and surface evolution on Mars. Water is an important tracer of climatic changes on long time-scales and is strictly related to the presence of life forms. The study in situ of dust and water vapour properties and evolution in Martian atmosphere is useful to trace back the planet climate, also in function of life form development. This investigation is also appropriate in preparation to future manned exploration of the planet (in relation to hazardous conditions). In this work we discuss the concept of the MEDUSA (Martian Environmental Dust Analyser) experiment that is designed to provide data on grain size and mass distribution, number density, velocity and scattering properties and on water vapour concentration. The instrument is a multisensor system based on optical and impact detection of grains, coupled with cumulative deposition sensors.

  8. Localized growth of carbon nanotubes via lithographic fabrication of metallic deposits

    PubMed Central

    Tu, Fan; Drost, Martin; Szenti, Imre; Kiss, Janos; Kónya, Zoltan

    2017-01-01

    We report on the fabrication of carbon nanotubes (CNTs) at predefined positions and controlled morphology, for example, as individual nanotubes or as CNT forests. Electron beam induced deposition (EBID) with subsequent autocatalytic growth (AG) was applied to lithographically produce catalytically active seeds for the localized growth of CNTs via chemical vapor deposition (CVD). With the precursor Fe(CO)5 we were able to fabricate clean iron deposits via EBID and AG. After the proof-of-principle that these Fe deposits indeed act as seeds for the growth of CNTs, the influence of significant EBID/AG parameters on the deposit shape and finally the yield and morphology of the grown CNTs was investigated in detail. Based on these results, the parameters could be optimized such that EBID point matrixes (6 × 6) were fabricated on a silica surface whereby at each predefined site only one CNT was produced. Furthermore, the localized fabrication of CNT forests was targeted and successfully achieved on an Al2O3 layer on a silicon sample. A peculiar lift-up of the Fe seed structures as “flakes” was observed and the mechanism was discussed. Finally, a proof-of-principle was presented showing that EBID deposits from the precursor Co(CO)3NO are also very effective catalysts for the CNT growth. Even though the metal content (Co) of the latter is reduced in comparison to the Fe deposits, effective CNT growth was observed for the Co-containing deposits at lower CVD temperatures than for the corresponding Fe deposits. PMID:29259874

  9. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  10. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  11. Large-scale synthesis of NbS2 nanosheets with controlled orientation on graphene by ambient pressure CVD.

    PubMed

    Ge, Wanyin; Kawahara, Kenji; Tsuji, Masaharu; Ago, Hiroki

    2013-07-07

    We report ambient pressure chemical vapor deposition (CVD) growth of single-crystalline NbS2 nanosheets with controlled orientation. On Si and SiO2 substrates, NbS2 nanosheets grow almost perpendicular to the substrate surface. However, when we apply transferred CVD graphene on SiO2 as a substrate, NbS2 sheets grow laterally lying on the graphene. The NbS2 sheets show the triangular and hexagonal shapes with a thickness of about 20-200 nm and several micrometres in the lateral dimension. Analyses based on X-ray diffraction and Raman spectroscopy indicate that the NbS2 nanosheets are single crystalline 3R-type with a rhombohedral structure of R3m space group. Our findings on the formation of highly aligned NbS2 nanosheets on graphene give new insight into the formation mechanism of NbS2 and would contribute to the templated growth of various layered materials.

  12. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  13. On the possibility to grow zinc oxide-based transparent conducting oxide films by hot-wire chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abrutis, Adulfas, E-mail: adulfas.abrutis@chf.vu.lt; Silimavicus, Laimis; Kubilius, Virgaudas

    Hot-wire chemical vapor deposition (HW-CVD) was applied to grow zinc oxide (ZnO)-based transparent conducting oxide (TCO) films. Indium (In)-doped ZnO films were deposited using a cold wall pulsed liquid injection CVD system with three nichrome wires installed at a distance of 2 cm from the substrate holder. The wires were heated by an AC current in the range of 0–10 A. Zn and In 2,2,6,6-tetramethyl-3,5-heptanedionates dissolved in 1,2-dimethoxyethane were used as precursors. The hot wires had a marked effect on the growth rates of ZnO, In-doped ZnO, and In{sub 2}O{sub 3} films; at a current of 6–10 A, growth rates weremore » increased by a factor of ≈10–20 compared with those of traditional CVD at the same substrate temperature (400 °C). In-doped ZnO films with thickness of ≈150 nm deposited on sapphire-R grown at a wire current of 9 A exhibited a resistivity of ≈2 × 10{sup −3} Ωcm and transparency of >90% in the visible spectral range. These initial results reveal the potential of HW-CVD for the growth of TCOs.« less

  14. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. CVD and obesity in transitional Syria: a perspective from the Middle East.

    PubMed

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  16. Synthesis, structure, vapour pressure and deposition of ZnO thin film by plasma assisted MOCVD technique using a novel precursor bis[(pentylnitrilomethylidine) (pentylnitrilomethylidine-μ-phenalato)]dizinc(II)

    NASA Astrophysics Data System (ADS)

    Chandrakala, C.; Sravanthi, P.; Raj Bharath, S.; Arockiasamy, S.; George Johnson, M.; Nagaraja, K. S.; Jeyaraj, B.

    2017-02-01

    A novel binuclear zinc schiff's base complex bis[(pentylnitrilomethylidine)(pentylnitrilomethylidine-μ-phenalato)]dizinc(II) (hereafter referred as ZSP) was prepared and used as a precursor for the deposition of ZnO thin film by MOCVD. The dynamic TG run of ZSP showed sufficient volatility and good thermal stability. The temperature dependence of vapour pressure measured by transpiration technique yielded a value of 55.8 ± 2.3 kJ mol-1 for the enthalpy of sublimation (ΔH°sub) in the temperature range of 423-503 K. The crystal structure of ZSP was solved by single crystal XRD which exhibits triclinic crystal system with the space group of Pī. The molecular mass of ZSP was determined by mass spectrometry which yielded the m/z value of 891 and 445 Da corresponding to its dimeric as well as monomeric form. The complex ZSP was further characterized by FT-IR and NMR. The demonstration of ZnO thin film deposition was carried out by using plasma assisted MOCVD. The thin film XRD confirmed the highly oriented (002) ZnO thin films on Si(100) substrate. The uniformity and composition of the thin film were analyzed by SEM/EDX. The band gap of ZnO thin film measurement indicated the blue shift with the value of 3.79 eV.

  17. Nanocomposite film prepared by depositing xylan on cellulose nanowhiskers matrix

    Treesearch

    Qining Sun; Anurag Mandalika; Thomas Elder; Sandeep S. Nair; Xianzhi Meng; Fang Huang; Art J. Ragauskas

    2014-01-01

    Novel bionanocomposite films have been prepared by depositing xylan onto cellulose nanowhiskers through a pH adjustment. Analysis of strength properties, water vapour transmission, transparency, surface morphology and thermal decomposition showed the enhancement of film performance. This provides a new green route to the utilization of biomass for sustainable...

  18. Claims in vapour device (e-cigarette) regulation: A Narrative Policy Framework analysis.

    PubMed

    O'Leary, Renée; Borland, Ron; Stockwell, Tim; MacDonald, Marjorie

    2017-06-01

    The electronic cigarette or e-cigarette (vapour device) is a consumer product undergoing rapid growth, and governments have been adopting regulations on the sale of the devices and their nicotine liquids. Competing claims about vapour devices have ignited a contentious debate in the public health community. What claims have been taken up in the state arena, and how have they possibly influenced regulatory outcomes? This study utilized Narrative Policy Framework to analyze the claims made about vapour devices in legislation recommendation reports from Queensland Australia, Canada, and the European Union, and the 2016 deeming rule legislation from the United States, and examined the claims and the regulatory outcomes in these jurisdictions. The vast majority of claims in the policy documents represented vapour devices as a threat: an unsafe product harming the health of vapour device users, a gateway product promoting youth tobacco uptake, and a quasi-tobacco product impeding tobacco control. The opportunity for vapour devices to promote cessation or reduce exposure to toxins was very rarely presented, and these positive claims were not discussed at all in two of the four documents studied. The dominant claims of vapour devices as a public health threat have supported regulations that have limited their potential as a harm reduction strategy. Future policy debates should evaluate the opportunities for vapour devices to decrease the health and social burdens of the tobacco epidemic. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Atrial and ventricular septal changes in ethanol vapour exposed chick embryos.

    PubMed

    Kamran, Kiran; Khan, Muhammad Yunus; Minhas, Liaqat Ali

    2015-03-01

    To study the effects of ethanol vapour exposure on development of atrial and ventricular septa of chick embryo. The experimental study was conducted at the College of Physicians and Surgeons, Islamabad, from 2006 to 2007. The experimental and control groups were further divided into three subgroups based on the day of sacrifice. The experimental group was exposed to ethanol vapours produced in a specially-designed vapour chamber and then compared with age-matched controls. There were 90 eggs in each of the two groups. The development of inter-ventricular septum completed at day 7 of development in chick embryo. Ethanol vapour exposure produced a small discontinuity at day 10 of development in a chick embryo which may be labelled as ventricular septal defect since ventricular development is completed by day 7. Interatrial septum formed till day 7 with small perforations which persisted till hatching. Ethanol vapour exposure may lead to ventricular septal defect.

  20. Ultrahigh-mobility graphene devices from chemical vapor deposition on reusable copper

    PubMed Central

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Dauber, Jan; Oellers, Martin; Haupt, Federica; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2015-01-01

    Graphene research has prospered impressively in the past few years, and promising applications such as high-frequency transistors, magnetic field sensors, and flexible optoelectronics are just waiting for a scalable and cost-efficient fabrication technology to produce high-mobility graphene. Although significant progress has been made in chemical vapor deposition (CVD) and epitaxial growth of graphene, the carrier mobility obtained with these techniques is still significantly lower than what is achieved using exfoliated graphene. We show that the quality of CVD-grown graphene depends critically on the used transfer process, and we report on an advanced transfer technique that allows both reusing the copper substrate of the CVD growth and making devices with mobilities as high as 350,000 cm2 V–1 s–1, thus rivaling exfoliated graphene. PMID:26601221

  1. Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties

    DOE PAGES

    Baggetto, Loic; Charvillat, Cedric; Thebault, Yannick; ...

    2015-12-02

    Ti/Al 2O 3 bilayer stacks are used as model systems to investigate the role of atomic layer deposition (ALD) and chemical vapor deposition (CVD) to prepare 30-180 nm thick amorphous alumina films as protective barriers for the medium temperature oxidation (500-600⁰C) of titanium, which is employed in aeronautic applications. X-ray diffraction (XRD), transmission electron microscopy (TEM) with selected area electron diffraction (SAED), and X-ray photoelectron spectroscopy (XPS) results show that the films produced from the direct liquid injection (DLI) CVD of aluminum tri-isopropoxide (ATI) are poor oxygen barriers. The films processed using the ALD of trimethylaluminum (TMA) show good barriermore » properties but an extensive intermixing with Ti which subsequently oxidizes. In contrast, the films prepared from dimethyl aluminum isopropoxide (DMAI) by CVD are excellent oxygen barriers and show little intermixing with Ti. Overall, these measurements correlate the effect of the alumina coating thickness, morphology, and stoichiometry resulting from the preparation method to the oxidation barrier properties, and show that compact and stoichiometric amorphous alumina films offer superior barrier properties.« less

  2. Sensing response of copper phthalocyanine salt dispersed glass with organic vapours

    NASA Astrophysics Data System (ADS)

    Ridhi, R.; Sachdeva, Sheenam; Saini, G. S. S.; Tripathi, S. K.

    2016-05-01

    Copper Phthalocyanine and other Metal Phthalocyanines are very flexible and tuned easily to modify their structural, spectroscopic, optical and electrical properties by either functionalizing them with various substituent groups or by replacing or adding a ligand to the central metal atom in the phthalocyanine ring and accordingly can be made sensitive and selective to various organic species or gaseous vapours. In the present work, we have dispersed Copper Phthalocyanine Salt (CuPcS) in sol-gel glass form using chemical route sol-gel method and studied its sensing mechanism with organic vapours like methanol and benzene and found that current increases onto their exposure with vapours. A variation in the activation energies was also observed with exposure of vapours.

  3. Formation of Aluminide Coatings on Fe-Based Alloys by Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ying; Pint, Bruce A; Cooley, Kevin M

    2008-01-01

    Aluminide and Al-containing coatings were synthesized on commercial ferritic (P91) and austenitic (304L) alloys via a laboratory chemical vapor deposition (CVD) procedure for rigorous control over coating composition, purity and microstructure. The effect of the CVD aluminizing parameters such as temperature, Al activity, and post-aluminizing anneal on coating growth was investigated. Two procedures involving different Al activities were employed with and without including Cr-Al pellets in the CVD reactor to produce coatings with suitable thickness and composition for coating performance evaluation. The phase constitution of the as-synthesized coatings was assessed with the aid of a combination of X-ray diffraction, electronmore » probe microanalysis, and existing phase diagrams. The mechanisms of formation of these CVD coatings on the Fe-based alloys are discussed, and compared with nickel aluminide coatings on Ni-base superalloys. In addition, Cr-Al pellets were replaced with Fe-Al metals in some aluminizing process runs and similar coatings were achieved.« less

  4. CVD and obesity in transitional Syria: a perspective from the Middle East

    PubMed Central

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Purpose Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria’s cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian’s health, as the country is slowly becoming a leader in CVD mortality globally. Methods PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Results Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Conclusion Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries. PMID:22454558

  5. Diode laser-induced infrared fluorescence of water vapour

    NASA Astrophysics Data System (ADS)

    Li, Hejie; Hanson, Ronald K.; Jeffries, Jay B.

    2004-07-01

    Infrared laser-induced fluorescence (LIF) of water vapour was investigated for its potential as a spatially resolved gasdynamic diagnostic. A cw diode laser operating near 1392 nm was scanned across a single absorption transition in the ngr1 + ngr3 band of H2O in a static cell, and the resulting fluorescence signal was collected near 2.7 µm (both ngr1 and ngr3 bands). Experiments were conducted at low pressure in pure water vapour and mixtures of water vapour and N2 using a 20 mW laser in a double-pass arrangement. A simple analytical model was developed to relate LIF intensity to gas properties as a function of laser power. The spectrally resolved, single-line excitation spectrum was fitted with a Voigt profile, allowing inference of the water vapour temperature from the Doppler-broadened component of the measured fluorescence lineshape. A two-line excitation scheme was also investigated as a means of measuring temperature with reduced measurement time. From these initial measurements, we estimate that a practical sensor for atmospheric pressure applications would require a minimum of 1-2 W of laser power for two-line, fixed-wavelength temperature measurements and a minimum of about 70 W of power for scanned-wavelength measurements.

  6. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  7. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model.

    PubMed

    van Kempen, Bob J H; Ferket, Bart S; Hofman, Albert; Steyerberg, Ewout W; Colkesen, Ersen B; Boekholdt, S Matthijs; Wareham, Nicholas J; Khaw, Kay-Tee; Hunink, M G Myriam

    2012-12-06

    We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC) model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1) internal and 2) predictive validity, the incidences of coronary heart disease (CHD), stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3) External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC)-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. At year 5, the observed incidences (with simulated incidences in brackets) of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%), 3.60% (3.23%), 4.70% (4.80%), and 7.50% (7.96%), respectively. At year 13, these percentages were 10.60% (10.91%), 9.90% (9.13%), 14.20% (15.12%), and 24.30% (23.42%). After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated) incidences of CVD and non-CVD mortality were 3.70% (4.95%) and 6.50% (6.29%). All observed incidences fell well within the 95% credibility intervals of the simulated incidences. We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  8. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  9. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    PubMed

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  10. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  11. CVD Fiber Coatings for Al2O3/NiAl Composites

    NASA Technical Reports Server (NTRS)

    Boss, Daniel E.

    1995-01-01

    While sapphire-fiber-reinforced nickel aluminide (Al2O3/NiAl) composites are an attractive candidate for high-temperature structures, the significant difference in the coefficient of thermal expansion between the NiAl matrix and the sapphire fiber creates substantial residual stresses in the composite. This study seeks to produce two fiber-coating systems with the potential to reduce the residual stresses in the sapphire/NiAl composite system. Chemical vapor deposition (CVD) was used to produce both the compensating and compliant-fiber coatings for use in sapphire/NiAl composites. A special reactor was designed and built to produce the FGM and to handle the toxic nickel precursors. This process was successfully used to produce 500-foot lengths of fiber with coating thicknesses of approximately 3 microns, 5 microns, and 10 microns.

  12. Chemical vapor deposition growth of two-dimensional heterojunctions

    NASA Astrophysics Data System (ADS)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  13. Sensing response of copper phthalocyanine salt dispersed glass with organic vapours

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ridhi, R.; Sachdeva, Sheenam; Saini, G. S. S.

    2016-05-06

    Copper Phthalocyanine and other Metal Phthalocyanines are very flexible and tuned easily to modify their structural, spectroscopic, optical and electrical properties by either functionalizing them with various substituent groups or by replacing or adding a ligand to the central metal atom in the phthalocyanine ring and accordingly can be made sensitive and selective to various organic species or gaseous vapours. In the present work, we have dispersed Copper Phthalocyanine Salt (CuPcS) in sol-gel glass form using chemical route sol-gel method and studied its sensing mechanism with organic vapours like methanol and benzene and found that current increases onto their exposuremore » with vapours. A variation in the activation energies was also observed with exposure of vapours.« less

  14. Vapour phase motion in cryogenic systems containing superheated and subcooled liquids

    NASA Astrophysics Data System (ADS)

    Kirichenko, Yu. A.; Chernyakov, P. S.; Seregin, V. E.

    The development of vent pipelines, and venting storage tanks for cryogenic liquids requires the knowledge of the law of motion as well as regularities of vapour content variation in the liquid and heat dissipation by the vapour phase. This is a theoretical study of the effect of superheating (subcooling) of the liquid, relative acceleration and reduced pressure upon the size and velocity of noninteracting vapour bubbles, moving in the liquid, and upon their resistance and heat transfer coefficients.

  15. From Bench Top to Market: Growth of Multi-Walled Carbon Nanotubes by Injection CVD Using Fe Organometallics - Production of a Commercial Reactor

    NASA Technical Reports Server (NTRS)

    Rowsell, J.; Hepp, A. F.; Harris, J. D.; Raffaelle, R. P.; Cowen, J. C.; Scheiman, D. A.; Flood, D. M.; Flood, D. J.

    2009-01-01

    Preferential oriented multiwalled carbon nanotubes were prepared by the injection chemical vapor deposition (CVD) method using either cyclopentadienyliron dicarbonyl dimer or cyclooctatetraene iron tricarbonyl as the iron catalyst source. The catalyst precursors were dissolved in toluene as the carrier solvent for the injections. The concentration of the catalyst was found to influence both the growth (i.e., MWNT orientation) of the nanotubes, as well as the amount of iron in the deposited material. As deposited, the multiwalled carbon nanotubes contained as little as 2.8% iron by weight. The material was deposited onto tantalum foil and fused silica substrates. The nanotubes were characterized by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy and thermogravimetric analysis. This synthetic route provides a simple and scalable method to deposit MWNTs with a low defect density, low metal content and a preferred orientation. Subsequently, a small start-up was founded to commercialize the deposition equipment. The contrast between the research and entrepreneurial environments will be discussed.

  16. Selective growth of titanium dioxide by low-temperature chemical vapor deposition.

    PubMed

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2015-05-13

    A key factor in engineering integrated optical devices such as electro-optic switches or waveguides is the patterning of thin films into specific geometries. In particular for functional oxides, etching processes are usually developed to a much lower extent than for silicon or silicon dioxide; therefore, selective area deposition techniques are of high interest for these materials. We report the selective area deposition of titanium dioxide using titanium isopropoxide and water in a high-vacuum chemical vapor deposition (HV-CVD) process at a substrate temperature of 225 °C. Here—contrary to conventional thermal CVD processes—only hydrolysis of the precursor on the surface drives the film growth as the thermal energy is not sufficient to thermally decompose the precursor. Local modification of the substrate surface energy by perfluoroalkylsilanization leads to a reduced surface residence time of the precursors and, consequently, to lower reaction rate and a prolonged incubation period before nucleation occurs, hence, enabling selective area growth. We discuss the dependence of the incubation time and the selectivity of the deposition process on the presence of the perfluoroalkylsilanization layer and on the precursor impinging rates—with selectivity, we refer to the difference of desired material deposition, before nucleation occurs in the undesired regions. The highest measured selectivity reached (99 ± 5) nm, a factor of 3 superior than previously reported in an atomic layer deposition process using the same chemistry. Furthermore, resolution of the obtained patterns will be discussed and illustrated.

  17. Identifying suitable substrates for high-quality graphene-based heterostructures

    NASA Astrophysics Data System (ADS)

    Banszerus, L.; Janssen, H.; Otto, M.; Epping, A.; Taniguchi, T.; Watanabe, K.; Beschoten, B.; Neumaier, D.; Stampfer, C.

    2017-06-01

    We report on a scanning confocal Raman spectroscopy study investigating the strain-uniformity and the overall strain and doping of high-quality chemical vapour deposited (CVD) graphene-based heterostuctures on a large number of different substrate materials, including hexagonal boron nitride (hBN), transition metal dichalcogenides, silicon, different oxides and nitrides, as well as polymers. By applying a hBN-assisted, contamination free, dry transfer process for CVD graphene, high-quality heterostructures with low doping densities and low strain variations are assembled. The Raman spectra of these pristine heterostructures are sensitive to substrate-induced doping and strain variations and are thus used to probe the suitability of the substrate material for potential high-quality graphene devices. We find that the flatness of the substrate material is a key figure for gaining, or preserving high-quality graphene.

  18. An overview on tritium permeation barrier development for WCLL blanket concept

    NASA Astrophysics Data System (ADS)

    Aiello, A.; Ciampichetti, A.; Benamati, G.

    2004-08-01

    The reduction of tritium permeation through blanket structural materials and cooling tubes has to be carefully evaluated to minimise radiological hazards. A strong effort has been made in the past to select the best technological solution for the realisation of tritium permeation barriers (TPB) on complex structures not directly accessible after the completion of the manufacturing process. The best solution was identified in aluminium rich coatings, which form Al 2O 3 at their surface. Two technologies were selected as reference for the realisation of coating in the WCLL blanket concept: the chemical vapour deposition (CVD) process developed on laboratory scale by CEA, and the hot dipping (HD) process developed by FZK. The results obtained during three years of tests on CVD and HD coated specimens in gas and liquid metal phase are summarised and discussed.

  19. A water vapour monitor at Paranal Observatory

    NASA Astrophysics Data System (ADS)

    Kerber, Florian; Rose, Thomas; Chacón, Arlette; Cuevas, Omar; Czekala, Harald; Hanuschik, Reinhard; Momany, Yazan; Navarrete, Julio; Querel, Richard R.; Smette, Alain; van den Ancker, Mario E.; Cure, Michel; Naylor, David A.

    2012-09-01

    We present the performance characteristics of a water vapour monitor that has been permanently deployed at ESO's Paranal observatory as a part of the VISIR upgrade project. After a careful analysis of the requirements and an open call for tender, the Low Humidity and Temperature Profiling microwave radiometer (LHATPRO), manufactured by Radiometer Physics GmbH (RPG), has been selected. The unit measures several channels across the strong water vapour emission line at 183 GHz, necessary for resolving the low levels of precipitable water vapour (PWV) that are prevalent on Paranal (median ~2.5 mm). The unit comprises the above humidity profiler (183-191 GHz), a temperature profiler (51-58 GHz), and an infrared radiometer (~10 μm) for cloud detection. The instrument has been commissioned during a 2.5 week period in Oct/Nov 2011, by comparing its measurements of PWV and atmospheric profiles with the ones obtained by 22 radiosonde balloons. In parallel an IR radiometer (Univ. Lethbridge) has been operated, and various observations with ESO facility spectrographs have been taken. The RPG radiometer has been validated across the range 0.5 - 9 mm demonstrating an accuracy of better than 0.1 mm. The saturation limit of the radiometer is about 20 mm. Currently, the radiometer is being integrated into the Paranal infrastructure to serve as a high time-resolution monitor in support of VLT science operations. The water vapour radiometer's ability to provide high precision, high time resolution information on this important aspect of the atmosphere will be most useful for conducting IR observations with the VLT under optimal conditions.

  20. Stratospheric water vapour in the vicinity of the Arctic polar vortex

    NASA Astrophysics Data System (ADS)

    Maturilli, M.; Fierli, F.; Yushkov, V.; Lukyanov, A.; Khaykin, S.; Hauchecorne, A.

    2006-07-01

    The stratospheric water vapour mixing ratio inside, outside, and at the edge of the polar vortex has been accurately measured by the FLASH-B Lyman-Alpha hygrometer during the LAUTLOS campaign in Sodankylä, Finland, in January and February 2004. The retrieved H2O profiles reveal a detailed view on the Arctic lower stratospheric water vapour distribution, and provide a valuable dataset for the validation of model and satellite data. Analysing the measurements with the semi-lagrangian advection model MIMOSA, water vapour profiles typical for the polar vortex' interior and exterior have been identified, and laminae in the observed profiles have been correlated to filamentary structures in the potential vorticity field. Applying the validated MIMOSA transport scheme to specific humidity fields from operational ECMWF analyses, large discrepancies from the observed profiles arise. Although MIMOSA is able to reproduce weak water vapour filaments and improves the shape of the profiles compared to operational ECMWF analyses, both models reveal a dry bias of about 1 ppmv in the lower stratosphere above 400 K, accounting for a relative difference from the measurements in the order of 20%. The large dry bias in the analysis representation of stratospheric water vapour in the Arctic implies the need for future regular measurements of water vapour in the polar stratosphere to allow the validation and improvement of climate models.

  1. Recent results on CVD diamond radiation sensors

    NASA Astrophysics Data System (ADS)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  2. Thermoelectric properties of CVD grown large area graphene

    NASA Astrophysics Data System (ADS)

    Sherehiy, Andriy; Jayasinghe, Ruwantha; Stallard, Robert; Sumanasekera, Gamini; Sidorov, Anton; Benjamin, Daniel; Jiang, Zhigang; Yu, Qingkai; Wu, Wei; Bao, Jiming; Liu, Zhihong; Pei, Steven; Chen, Yong

    2010-03-01

    The thermoelectric power (TEP) of CVD (Chemical Vapor Deposition) grown large area graphene transferred onto a Si/SiO2 substrate was measured by simply attaching two miniature thermocouples and a resistive heater. Availability of such large area graphene facilitates straight forward TEP measurement without the use of any microfabrication processes. All investigated graphene samples showed a positive TEP ˜ + 30 μV/K in ambient conditions and saturated at a negative value as low as ˜ -75 μV/K after vacuum-annealing at 500 K in a vacuum of ˜10-7 Torr. The observed p-type behavior under ambient conditions is attributed to the oxygen doping, while the n-type behavior under degassed conditions is due to electron doping from SiO2 surface states. It was observed that the sign of the TEP switched from negative to positive for the degassed graphene when exposed to acceptor gases. Conversely, the TEP of vacuum-annealed graphene exposed to the donor gases became even more negative than the TEP of vacuum-annealed sample.

  3. Structural and environmental dependence of superlow friction in ion vapour-deposited a-C : H : Si films for solid lubrication application

    NASA Astrophysics Data System (ADS)

    Chen, Xinchun; Kato, Takahisa; Kawaguchi, Masahiro; Nosaka, Masataka; Choi, Junho

    2013-06-01

    Understanding the tribochemical interaction of water molecules in humid environment with carbonaceous film surfaces, especially hydrophilic surface, is fundamental for applications in tribology and solid lubrication. This paper highlights some experimental evidence to elucidate the structural and environmental dependence of ultralow or even superlow friction in ion vapour-deposited a-C : H : Si films. The results indicate that both surface density of silicon hydroxyl group (Si-OH) and humidity level (RH) determine the frictional performance of a-C : H : Si films. Ultralow friction coefficient μ (˜0.01-0.055) is feasible in a wide range of RH. The dissociative formation of hydrophilic Si-OH surface and the following nanostructure of interfacial water molecules under contact pressure are the origin of ultralow friction for a-C : H : Si films in humid environment. The correlation between contact pressure and friction coefficient derived from Hertzian contact model is not valid in the present case. Under this nanoscale boundary lubrication, the friction coefficient tends to increase as the contact pressure increases. There even exists a contact pressure threshold for the transition from ultralow to superlow friction (μ ˜ 0.007). In comparison, when tribotested in dry N2, the observed superlow friction (μ ˜ 0.004) in the absence of water is correlated with the formation of a low shear strength tribolayer by wear-induced phase transformation.

  4. Deposition of plasmon gold-fluoropolymer nanocomposites

    NASA Astrophysics Data System (ADS)

    Safonov, Alexey I.; Sulyaeva, Veronica S.; Timoshenko, Nikolay I.; Kubrak, Konstantin V.; Starinskiy, Sergey V.

    2016-12-01

    Degradation-resistant two-dimensional metal-fluoropolymer composites consisting of gold nanoparticles coated with a thin fluoropolymer film were deposited on a substrate by hot wire chemical vapour deposition (HWCVD) and ion sputtering. The morphology and optical properties of the obtained coatings were determined. The thickness of the thin fluoropolymer film was found to influence the position of the surface plasmon resonance peak. Numerical calculations of the optical properties of the deposited materials were performed using Mie theory and the finite-difference time-domain (FDTD) method. The calculation results are consistent with the experimental data. The study shows that the position of the resonance peak can be controlled by changing the surface concentration of particles and the thickness of the fluoropolymer coating. The protective coating was found to prevent the plasmonic properties of the nanoparticles from changing for several months.

  5. The impact of multiphase behaviour on coke deposition in heavy oil hydroprocessing catalysts

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaohui

    Coke deposition in heavy oil catalytic hydroprocessing remains a serious problem. The influence of multiphase behaviour on coke deposition is an important but unresolved question. A model heavy oil system (Athabasca vacuum bottoms (ABVB) + decane) and a commercial heavy oil hydrotreating catalyst (NiMo/gamma-Al 2O3) were employed to study the impact of multiphase behaviour on coke deposition. The model heavy oil mixture exhibits low-density liquid + vapour (L1V), high-density liquid + vapour (L2V), as well as low-density liquid + high-density liquid + vapour (L1L2V) phase behaviour at a typical hydroprocessing temperature (380°C). The L2 phase only arises for the ABVB composition range from 10 to 50 wt %. The phase behaviour undergoes transitions from V to L2V, to L1L2V, to L1V with increasing ABVB compositions at the pressure examined. The addition of hydrogen into the model heavy oil mixtures at a fixed mass ratio (0.0057:1) does not change the phase behaviour significantly, but shifts the phase regions and boundaries vertically from low pressure to high pressure. In the absence of hydrogen, the carbon content, surface area and pore volume losses for catalyst exposed to the L1 phase are greater than for the corresponding L2 phase despite a higher coke precursor concentration in L2 than in L1. By contrast, in the presence of hydrogen, the carbon content, surface area and pore volume losses for the catalyst exposed to the L2 phase are greater than for the corresponding L1 phase. The higher hydrogen concentration in L1 appears to reverse the observed results. In the presence of hydrogen, L2 was most closely associated with coke deposition, L1 less associated with coke deposition, and V least associated with coke deposition. Coke deposition is maximized in the phase regions where the L2 phase arises. This key result is inconsistent with expectation and coke deposition models where the extent of coke deposition, at otherwise fixed reaction conditions, is asserted to

  6. A dilute Cu(Ni) alloy for synthesis of large-area Bernal stacked bilayer graphene using atmospheric pressure chemical vapour deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Madito, M. J.; Bello, A.; Dangbegnon, J. K.

    2016-01-07

    A bilayer graphene film obtained on copper (Cu) foil is known to have a significant fraction of non-Bernal (AB) stacking and on copper/nickel (Cu/Ni) thin films is known to grow over a large-area with AB stacking. In this study, annealed Cu foils for graphene growth were doped with small concentrations of Ni to obtain dilute Cu(Ni) alloys in which the hydrocarbon decomposition rate of Cu will be enhanced by Ni during synthesis of large-area AB-stacked bilayer graphene using atmospheric pressure chemical vapour deposition. The Ni doped concentration and the Ni homogeneous distribution in Cu foil were confirmed with inductively coupledmore » plasma optical emission spectrometry and proton-induced X-ray emission. An electron backscatter diffraction map showed that Cu foils have a single (001) surface orientation which leads to a uniform growth rate on Cu surface in early stages of graphene growth and also leads to a uniform Ni surface concentration distribution through segregation kinetics. The increase in Ni surface concentration in foils was investigated with time-of-flight secondary ion mass spectrometry. The quality of graphene, the number of graphene layers, and the layers stacking order in synthesized bilayer graphene films were confirmed by Raman and electron diffraction measurements. A four point probe station was used to measure the sheet resistance of graphene films. As compared to Cu foil, the prepared dilute Cu(Ni) alloy demonstrated the good capability of growing large-area AB-stacked bilayer graphene film by increasing Ni content in Cu surface layer.« less

  7. A dilute Cu(Ni) alloy for synthesis of large-area Bernal stacked bilayer graphene using atmospheric pressure chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Madito, M. J.; Bello, A.; Dangbegnon, J. K.; Oliphant, C. J.; Jordaan, W. A.; Momodu, D. Y.; Masikhwa, T. M.; Barzegar, F.; Fabiane, M.; Manyala, N.

    2016-01-01

    A bilayer graphene film obtained on copper (Cu) foil is known to have a significant fraction of non-Bernal (AB) stacking and on copper/nickel (Cu/Ni) thin films is known to grow over a large-area with AB stacking. In this study, annealed Cu foils for graphene growth were doped with small concentrations of Ni to obtain dilute Cu(Ni) alloys in which the hydrocarbon decomposition rate of Cu will be enhanced by Ni during synthesis of large-area AB-stacked bilayer graphene using atmospheric pressure chemical vapour deposition. The Ni doped concentration and the Ni homogeneous distribution in Cu foil were confirmed with inductively coupled plasma optical emission spectrometry and proton-induced X-ray emission. An electron backscatter diffraction map showed that Cu foils have a single (001) surface orientation which leads to a uniform growth rate on Cu surface in early stages of graphene growth and also leads to a uniform Ni surface concentration distribution through segregation kinetics. The increase in Ni surface concentration in foils was investigated with time-of-flight secondary ion mass spectrometry. The quality of graphene, the number of graphene layers, and the layers stacking order in synthesized bilayer graphene films were confirmed by Raman and electron diffraction measurements. A four point probe station was used to measure the sheet resistance of graphene films. As compared to Cu foil, the prepared dilute Cu(Ni) alloy demonstrated the good capability of growing large-area AB-stacked bilayer graphene film by increasing Ni content in Cu surface layer.

  8. Probing Growth-Induced Anisotropic Thermal Transport in High-Quality CVD Diamond Membranes by Multifrequency and Multiple-Spot-Size Time-Domain Thermoreflectance.

    PubMed

    Cheng, Zhe; Bougher, Thomas; Bai, Tingyu; Wang, Steven Y; Li, Chao; Yates, Luke; Foley, Brian M; Goorsky, Mark; Cola, Baratunde A; Faili, Firooz; Graham, Samuel

    2018-02-07

    The maximum output power of GaN-based high-electron mobility transistors is limited by high channel temperature induced by localized self-heating, which degrades device performance and reliability. Chemical vapor deposition (CVD) diamond is an attractive candidate to aid in the extraction of this heat and in minimizing the peak operating temperatures of high-power electronics. Owing to its inhomogeneous structure, the thermal conductivity of CVD diamond varies along the growth direction and can differ between the in-plane and out-of-plane directions, resulting in a complex three-dimensional (3D) distribution. Depending on the thickness of the diamond and size of the electronic device, this 3D distribution may impact the effectiveness of CVD diamond in device thermal management. In this work, time-domain thermoreflectance is used to measure the anisotropic thermal conductivity of an 11.8 μm-thick high-quality CVD diamond membrane from its nucleation side. Starting with a spot-size diameter larger than the thickness of the membrane, measurements are made at various modulation frequencies from 1.2 to 11.6 MHz to tune the heat penetration depth and sample the variation in thermal conductivity. We then analyze the data by creating a model with the membrane divided into ten sublayers and assume isotropic thermal conductivity in each sublayer. From this, we observe a two-dimensional gradient of the depth-dependent thermal conductivity for this membrane. The local thermal conductivity goes beyond 1000 W/(m K) when the distance from the nucleation interface only reaches 3 μm. Additionally, by measuring the same region with a smaller spot size at multiple frequencies, the in-plane and cross-plane thermal conductivities are extracted. Through this use of multiple spot sizes and modulation frequencies, the 3D anisotropic thermal conductivity of CVD diamond membrane is experimentally obtained by fitting the experimental data to a thermal model. This work provides an improved

  9. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  10. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    PubMed

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  11. The dynamic effects of metal vapour in gas metal arc welding

    NASA Astrophysics Data System (ADS)

    Haidar, Jawad

    2010-04-01

    Numerical simulations for the dynamic effects of metal vapour in gas metal arc welding (GMAW) suggest that vapour from the welding droplet at the tip of the welding wire has a significant influence on the plasma properties. It is found that for the evaporation rates calculated for arcs in pure argon, the dynamic effects of metal vapour markedly cool down the plasma in the central region of the arc, leading to the formation of a low temperature zone centred on the arc axis, in agreement with experimental measurements in the literature. Radiation effects, omitted in this paper, may produce further cooling of the plasma gas. The results highlight major deficiencies in the common approach to modelling the GMAW process and suggest that accurate description of GMAW must include the influence of metal vapour on the plasma.

  12. Parameter Space of Atomic Layer Deposition of Ultrathin Oxides on Graphene

    PubMed Central

    2016-01-01

    Atomic layer deposition (ALD) of ultrathin aluminum oxide (AlOx) films was systematically studied on supported chemical vapor deposition (CVD) graphene. We show that by extending the precursor residence time, using either a multiple-pulse sequence or a soaking period, ultrathin continuous AlOx films can be achieved directly on graphene using standard H2O and trimethylaluminum (TMA) precursors even at a high deposition temperature of 200 °C, without the use of surfactants or other additional graphene surface modifications. To obtain conformal nucleation, a precursor residence time of >2s is needed, which is not prohibitively long but sufficient to account for the slow adsorption kinetics of the graphene surface. In contrast, a shorter residence time results in heterogeneous nucleation that is preferential to defect/selective sites on the graphene. These findings demonstrate that careful control of the ALD parameter space is imperative in governing the nucleation behavior of AlOx on CVD graphene. We consider our results to have model system character for rational two-dimensional (2D)/non-2D material process integration, relevant also to the interfacing and device integration of the many other emerging 2D materials. PMID:27723305

  13. GPS tomographic experiment on water vapour dynamics in the troposphere over Lisbon

    NASA Astrophysics Data System (ADS)

    Benevides, Pedro; Catalao, Joao; Miranda, Pedro

    2015-04-01

    Quantification of the water vapour variability on the atmosphere remains a difficult task, affecting the weather prediction. Coarse water vapour resolution measurements in space and time affect the numerical weather prediction solution models causing artifacts in the prediction of severe weather phenomena. The GNSS atmospheric processing has been developed in the past years providing integrated water vapour estimates comparable with the meteorological sensor measurements, with studies registering 1 to 2 kg/m2 bias, but lack a vertical determination of the atmospheric processes. The GNSS tomography in the troposphere is one of the most promising techniques for sensing the three-dimensional water vapour state of the atmosphere. The determination of the integrated water vapour profile by means of the widely accepted GNSS meteorology techniques, allows the reconstruction of several slant path delay rays in the satellite line of view, providing an opportunity to sense the troposphere at tree-dimensions plus time. The tomographic system can estimate an image solution of the water vapour but impositions have to be introduced to the system of equations inversion because of the non-optimal GNSS observation geometry. Application of this technique on atmospheric processes like large convective precipitation or mesoscale water vapour circulation have been able to describe its local dynamic vertical variation. A 3D tomographic experiment was developed over an area of 60x60 km2 around Lisbon (Portugal). The GNSS network available composed by 9 receivers was used for an experiment of densification of the permanent network using 8 temporarily installed GPS receivers (totalling 17 stations). This study was performed during several weeks in July 2013, where a radiosonde campaign was also held in order to validate the tomographic inversion solution. 2D integrated water vapour maps directly obtained from the GNSS processing were also evaluated and local coastal breeze circulation

  14. Measurements of the levels of organic solvent vapours by personal air samplers and the levels of urinary metabolites of workers. Part 2. Toluene vapour in a shipbuilding yard (author's transl).

    PubMed

    Kira, S

    1977-05-01

    Personal air samplers were applied to shipyard's painters putting on gas masks during the spraying work, and the levels of toluene vapour surrounding the workers were measured. On the other hand, levels of urinary hippuric acid (metabolites of toluene) of the workers were measured, and the levels of toluene vapour inhaled were calculated from the levels of urinary hippuric acid. Then the actual removing-efficiencies of toluene vapours by the use of gas masks were estimated from these two levels (i.e., toluene vapours exposed and inhaled). The values of removing-efficiencies were found to be 65.9-98.1%. The concentrations of hippuric and methylhippuric acids in the urine of workers exposed to toluene and xylene for 3 hours, collected just after the exposure, are valuable indices of these organic solvent vapours inhaled. A minute amount of urinary methylhippuric acid can be determined by means of gas chromatography.

  15. High speed, mask-less, laser controlled deposition of microscale tungsten tracks using 405 nm wavelength diode laser

    NASA Astrophysics Data System (ADS)

    Ten, Jyi Sheuan; Sparkes, Martin; O'Neill, William

    2017-02-01

    A rapid, mask-less deposition technique for the deposition of conductive tracks to nano- and micro-devices has been developed. The process uses a 405 nm wavelength laser diode for the direct deposition of tungsten tracks on silicon substrates via laser assisted chemical vapour deposition. Unlike lithographic processes this technique is single step and does not require chemical masks that may contaminate the substrate. To demonstrate the process, tungsten was deposited from tungsten hexacarbonyl precursors to produce conductive tracks with widths of 1.7-28 μm and heights of 0.05-35 μm at laser scan speeds up to 40 μm/s. The highest volumetric deposition rate achieved is 1×104 μm3/s, three orders of magnitude higher than that of focused ion beam deposition and on par with a 515 nm wavelength argon ion laser previously reported as the laser source. The microstructure and elemental composition of the deposits are comparable to that of largearea chemical vapour deposition methods using the same chemical precursor. The contact resistance and track resistance of the deposits has been measured using the transfer length method to be 205 μΩ cm. The deposition temperature has been estimated at 334 °C from a laser heat transfer model accounting for temperature dependent optical and physical properties of the substrate. The peak temperatures achieved on silicon and other substrates are higher than the thermal dissociation temperature of numerous precursors, indicating that this technique can also be used to deposit other materials such as gold and platinum on various substrates.

  16. Reducing flicker noise in chemical vapor deposition graphene field-effect transistors

    NASA Astrophysics Data System (ADS)

    Arnold, Heather N.; Sangwan, Vinod K.; Schmucker, Scott W.; Cress, Cory D.; Luck, Kyle A.; Friedman, Adam L.; Robinson, Jeremy T.; Marks, Tobin J.; Hersam, Mark C.

    2016-02-01

    Single-layer graphene derived from chemical vapor deposition (CVD) holds promise for scalable radio frequency (RF) electronic applications. However, prevalent low-frequency flicker noise (1/f noise) in CVD graphene field-effect transistors is often up-converted to higher frequencies, thus limiting RF device performance. Here, we achieve an order of magnitude reduction in 1/f noise in field-effect transistors based on CVD graphene transferred onto silicon oxide substrates by utilizing a processing protocol that avoids aqueous chemistry after graphene transfer. Correspondingly, the normalized noise spectral density (10-7-10-8 μm2 Hz-1) and noise amplitude (4 × 10-8-10-7) in these devices are comparable to those of exfoliated and suspended graphene. We attribute the reduction in 1/f noise to a decrease in the contribution of fluctuations in the scattering cross-sections of carriers arising from dynamic redistribution of interfacial disorder.

  17. Optically Transparent Microwave Polarizer Based On Quasi-Metallic Graphene.

    PubMed

    Grande, Marco; Bianco, Giuseppe Valerio; Vincenti, Maria Antonietta; de Ceglia, Domenico; Capezzuto, Pio; Scalora, Michael; D'Orazio, Antonella; Bruno, Giovanni

    2015-11-25

    In this paper, we report on the engineering and the realization of optically transparent graphene-based microwave devices using Chemical Vapour Deposition (CVD) graphene whose sheet resistance may be tailored down to values below 30 Ω/sq. In particular, we show that the process was successfully used to realize and characterize a simple, optically transparent graphene-based wire-grid polarizer at microwave frequencies (X band). The availability of graphene operating in a quasi-metallic region may allow the integration of graphene layers in several microwave components, thus leading to the realization of fully transparent (and flexible) microwave devices.

  18. Optically Transparent Microwave Polarizer Based On Quasi-Metallic Graphene

    PubMed Central

    Grande, Marco; Bianco, Giuseppe Valerio; Vincenti, Maria Antonietta; de Ceglia, Domenico; Capezzuto, Pio; Scalora, Michael; D’Orazio, Antonella; Bruno, Giovanni

    2015-01-01

    In this paper, we report on the engineering and the realization of optically transparent graphene-based microwave devices using Chemical Vapour Deposition (CVD) graphene whose sheet resistance may be tailored down to values below 30 Ω/sq. In particular, we show that the process was successfully used to realize and characterize a simple, optically transparent graphene-based wire-grid polarizer at microwave frequencies (X band). The availability of graphene operating in a quasi-metallic region may allow the integration of graphene layers in several microwave components, thus leading to the realization of fully transparent (and flexible) microwave devices. PMID:26603112

  19. Fibrinogen concentration and its role in CVD risk in black South Africans--effect of urbanisation.

    PubMed

    Pieters, Marlien; de Maat, Moniek P M; Jerling, Johann C; Hoekstra, Tiny; Kruger, Annamarie

    2011-09-01

    The aim of this study was to investigate correlates of fibrinogen concentration in black South Africans, as well as its association with cardiovascular disease (CVD) risk and whether urbanisation influences this association. A total of 1,006 rural and 1,004 urban black South Africans from the PURE study were cross-sectionally analysed. The association of fibrinogen with CVD risk was determined by investigating the association of fibrinogen with other CVD risk markers as well as with predicted CVD risk using the Reynolds Risk score. The rural group had a significantly higher fibrinogen concentration than the urban group, despite higher levels of risk factors and increased predicted CVD risk in the urban group. Increased levels of CVD risk factors were, however, still associated with increased fibrinogen concentration. Fibrinogen correlated significantly, but weakly, with overall predicted CVD risk. This correlation was stronger in the urban than in the rural group. Multiple regression analysis showed that a smaller percentage of the variance in fibrinogen is explained by the traditional CVD risk factors in the rural than in the urban group. In conclusion, fibrinogen is weakly associated with CVD risk (predicted overall risk as well with individual risk factors) in black South Africans, and is related to the degree of urbanisation. Increased fibrinogen concentration, in black South Africans, especially in rural areas, is largely unexplained, and likely not strongly correlated with traditional CVD-related lifestyle and pathophysiological processes. This does, however, not exclude the possibility that once increased, the fibrinogen concentration contributes to future development of CVD.

  20. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru; Voloshin, A. E.; Ralchenko, V. G.

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  1. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  2. Vertical structure of stratospheric water vapour trends derived from merged satellite data

    PubMed Central

    Hegglin, M. I.; Plummer, D. A.; Shepherd, T. G.; Scinocca, J. F.; Anderson, J.; Froidevaux, L.; Funke, B.; Hurst, D.; Rozanov, A.; Urban, J.; von Clarmann, T.; Walker, K. A.; Wang, H. J.; Tegtmeier, S.; Weigel, K.

    2017-01-01

    Stratospheric water vapour is a powerful greenhouse gas. The longest available record from balloon observations over Boulder, Colorado, USA shows increases in stratospheric water vapour concentrations that cannot be fully explained by observed changes in the main drivers, tropical tropopause temperatures and methane. Satellite observations could help resolve the issue, but constructing a reliable long-term data record from individual short satellite records is challenging. Here we present an approach to merge satellite data sets with the help of a chemistry-climate model nudged to observed meteorology. We use the models' water vapour as a transfer function between data sets that overcomes issues arising from instrument drift and short overlap periods. In the lower stratosphere, our water vapour record extends back to 1988 and water vapour concentrations largely follow tropical tropopause temperatures. Lower and mid-stratospheric long-term trends are negative, and the trends from Boulder are shown not to be globally representative. In the upper stratosphere, our record extends back to 1986 and shows positive long-term trends. The altitudinal differences in the trends are explained by methane oxidation together with a strengthened lower-stratospheric and a weakened upper-stratospheric circulation inferred by this analysis. Our results call into question previous estimates of surface radiative forcing based on presumed global long-term increases in water vapour concentrations in the lower stratosphere. PMID:29263751

  3. Vertical structure of stratospheric water vapour trends derived from merged satellite data.

    PubMed

    Hegglin, M I; Plummer, D A; Shepherd, T G; Scinocca, J F; Anderson, J; Froidevaux, L; Funke, B; Hurst, D; Rozanov, A; Urban, J; von Clarmann, T; Walker, K A; Wang, H J; Tegtmeier, S; Weigel, K

    2014-01-01

    Stratospheric water vapour is a powerful greenhouse gas. The longest available record from balloon observations over Boulder, Colorado, USA shows increases in stratospheric water vapour concentrations that cannot be fully explained by observed changes in the main drivers, tropical tropopause temperatures and methane. Satellite observations could help resolve the issue, but constructing a reliable long-term data record from individual short satellite records is challenging. Here we present an approach to merge satellite data sets with the help of a chemistry-climate model nudged to observed meteorology. We use the models' water vapour as a transfer function between data sets that overcomes issues arising from instrument drift and short overlap periods. In the lower stratosphere, our water vapour record extends back to 1988 and water vapour concentrations largely follow tropical tropopause temperatures. Lower and mid-stratospheric long-term trends are negative, and the trends from Boulder are shown not to be globally representative. In the upper stratosphere, our record extends back to 1986 and shows positive long-term trends. The altitudinal differences in the trends are explained by methane oxidation together with a strengthened lower-stratospheric and a weakened upper-stratospheric circulation inferred by this analysis. Our results call into question previous estimates of surface radiative forcing based on presumed global long-term increases in water vapour concentrations in the lower stratosphere.

  4. Water vapour correction of the daily 1 km AVHRR global land dataset: Part I validation and use of the Water Vapour input field

    USGS Publications Warehouse

    DeFelice, Thomas P.; Lloyd, D.; Meyer, D.J.; Baltzer, T. T.; Piraina, P.

    2003-01-01

    An atmospheric correction algorithm developed for the 1 km Advanced Very High Resolution Radiometer (AVHRR) global land dataset was modified to include a near real-time total column water vapour data input field to account for the natural variability of atmospheric water vapour. The real-time data input field used for this study is the Television and Infrared Observational Satellite (TIROS) Operational Vertical Sounder (TOVS) Pathfinder A global total column water vapour dataset. It was validated prior to its use in the AVHRR atmospheric correction process using two North American AVHRR scenes, namely 13 June and 28 November 1996. The validation results are consistent with those reported by others and entail a comparison between TOVS, radiosonde, experimental sounding, microwave radiometer, and data from a hand-held sunphotometer. The use of this data layer as input to the AVHRR atmospheric correction process is discussed.

  5. Spectroscopic interaction studies of substituted and unsubstituted copper phthalocyanine with adsorbed organic vapours

    NASA Astrophysics Data System (ADS)

    Ridhi, R.; Kang, Jasmeen; Saini, G. S. S.; Tripathi, S. K.

    2018-05-01

    The present study deals with comparing the interaction mechanism of adsorbed organic vapours with Copper Phthalocyanine thin films in its substituted and unsubstituted forms. For this purpose, the variations in vibrational levels of substituted CuPc (CuPcS) functionalized with tetrasulfonic acid tetrasodium salt and unsubstituted CuPc after exposure with methanol and benzene vapours is analyzed. Fourier transform infrared (FTIR) is used to study the interaction behaviour. The bulkier group tetrasulfonic acid tetrasodium salt added to CuPc leads to occupation of more space in the molecular arrangement as compared to unsubstituted CuPc and hence alteration of its properties. FTIR spectra of CuPc and CuPcS before and after vapours exposures highlighted the effect of these vapours on the various bonds and the role of functional group in altering the molecular structure of CuPcS during interaction with adsorbed vapours.

  6. Growth of hybrid carbon nanostructures on iron-decorated ZnO nanorods

    NASA Astrophysics Data System (ADS)

    Mbuyisa, Puleng N.; Rigoni, Federica; Sangaletti, Luigi; Ponzoni, Stefano; Pagliara, Stefania; Goldoni, Andrea; Ndwandwe, Muzi; Cepek, Cinzia

    2016-04-01

    A novel carbon-based nanostructured material, which includes carbon nanotubes (CNTs), porous carbon, nanostructured ZnO and Fe nanoparticles, has been synthetized using catalytic chemical vapour deposition (CVD) of acetylene on vertically aligned ZnO nanorods (NRs). The deposition of Fe before the CVD process induces the presence of dense CNTs in addition to the variety of nanostructures already observed on the process done on the bare NRs, which range from amorphous graphitic carbon up to nanostructured dendritic carbon films, where the NRs are partially or completely etched. The combination of scanning electron microscopy and in situ photoemission spectroscopy indicate that Fe enhances the ZnO etching, and that the CNT synthesis is favoured by the reduced Fe mobility due to the strong interaction between Fe and the NRs, and to the presence of many defects, formed during the CVD process. Our results demonstrate that the resulting new hybrid shows a higher sensitivity to ammonia gas at ambient conditions (∼60 ppb) than the carbon nanostructures obtained without the aid of Fe, the bare ZnO NRs, or other one-dimensional carbon nanostructures, making this system of potential interest for environmental ammonia monitoring. Finally, in view of the possible application in nanoscale optoelectronics, the photoexcited carrier behaviour in these hybrid systems has been characterized by time-resolved reflectivity measurements.

  7. Antifungal activity of clove essential oil and its volatile vapour against dermatophytic fungi.

    PubMed

    Chee, Hee Youn; Lee, Min Hee

    2007-12-01

    Antifungal activities of clove essential oil and its volatile vapour against dermatophytic fungi including Candida albicans, Epidermophyton floccosum. Microsporum audouinii, Trichophyton mentagrophytes, and Trichophyton rubrum were investigated. Both clove essential oil and its volatile vapour strongly inhibit spore germination and mycelial growth of the dermatophytic fungi tested. The volatile vapour of clove essential oil showed fungistatic activity whereas direct application of clove essential oil showed fungicidal activity.

  8. Post-Contamination Vapour Hazards from Military Vehicles Contaminated with Thickened and Unthickened GD

    DTIC Science & Technology

    1979-02-01

    The residual vapour hazards from four types of military vehicles previously contaminated with either thickened or unthickened GD have been measured...magnitude of these hazards have been investigated and an assessment made of their relevance to contamination control. It was found that on permeable... contamination had been applied were ineffective in reducing the subsequent vapour hazard; the vapour hazard arising from thickened GD contamination was less

  9. Single and multijunction silicon based thin film solar cells on a flexible substrate with absorber layers made by hot-wire CVD

    NASA Astrophysics Data System (ADS)

    Li, Hongbo

    2007-09-01

    With the worldwide growing concern about reliable energy supply and the environmental problems of fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic systems, can play a major role in the urgently needed energy transition in electricity production. Solar cells based on thin film silicon and its alloys are a promising candidate that is capable of fulfilling the fast increasing demand of a reliable solar cell supply. The conventional method to deposit silicon thin films is based on plasma enhanced chemical vapour deposition (PECVD) techniques, which have the disadvantage of increasing film inhomogeneity at a high deposition rate when scaling up for the industrial production. In this thesis, we study the possibility of making high efficiency single and multijunction thin film silicon solar cells with the so-called hot-wire CVD technique, in which no strong electromagnetic field is involved in the deposition. Therefore, the up-scaling for industrial production is straightforward. We report and discuss our findings on the correlation of substrate surface rms roughness and the main output parameter of a solar cell, the open circuit voltage Voc of c-Si:H n i p cells. By considering all the possible reasons that could influence the Voc of such cells, we conclude that the near linear correlation of Voc and substrate surface rms roughness is the result the two most probable reasons: the unintentional doping through the cracks originated near the valleys of the substrate surface due to the in-diffusion of impurities, and the high density electrical defects formed by the collision of columnar silicon structures. Both of them relate to the morphology of substrate surface. Therefore, to have the best cell performance on a rough substrate surface, a good control on the substrate surface morphology is necessary. Another issue influencing the performance of c-Si:H solar cells is the

  10. Risks of restrictive red blood cell transfusion strategies in patients with cardiovascular disease (CVD): a meta-analysis.

    PubMed

    Cortés-Puch, I; Wiley, B M; Sun, J; Klein, H G; Welsh, J; Danner, R L; Eichacker, P Q; Natanson, C

    2018-04-19

    To evaluate the risks of restrictive red blood cell transfusion strategies (haemoglobin 7-8 g dL -1 ) in patients with and without known cardiovascular disease (CVD). Recent guidelines recommend restrictive strategies for CVD patients hospitalised for non-CVD indications, patients without known CVD and patients hospitalised for CVD corrective procedures. Database searches were conducted through December 2017 for randomised clinical trials that enrolled patients with and without known CVD, hospitalised either for CVD-corrective procedures or non-cardiac indications, comparing effects of liberal with restrictive strategies on major adverse coronary events (MACE) and death. In CVD patients not undergoing cardiac interventions, a liberal strategy decreased (P = 0·01) the relative risk (95% CI) (RR) of MACE [0·50 (0·29-0·86)] (I 2  = 0%). Among patients without known CVD, the incidence of MACE was lower (1·7 vs 3·9%), and the effect of a liberal strategy on MACE [0·79, (0·39-1·58)] was smaller and non-significant but not different from CVD patients (P = 0·30). Combining all CVD and non-CVD patients, a liberal strategy decreased MACE [0·59, (0·39-0·91); P = 0·02]. Conversely, among studies reporting mortality, a liberal strategy decreased mortality in CVD patients (11·7% vs·13·3%) but increased mortality (19·2% vs 18·0%) in patients without known CVD [interaction P = 0·05; ratio of RR 0·73, (0·53-1·00)]. A liberal strategy also did not benefit patients undergoing cardiac surgery; data were insufficient for percutaneous cardiac procedures. In patients hospitalised for non-cardiac indications, liberal transfusion strategies are associated with a decreased risk of MACE in both those with and without known CVD. However, this only provides a survival benefit to CVD patients not admitted for CVD-corrective procedures. © 2018 British Blood Transfusion Society.

  11. Low-temperature-grown continuous graphene films from benzene by chemical vapor deposition at ambient pressure

    NASA Astrophysics Data System (ADS)

    Jang, Jisu; Son, Myungwoo; Chung, Sunki; Kim, Kihyeun; Cho, Chunhum; Lee, Byoung Hun; Ham, Moon-Ho

    2015-12-01

    There is significant interest in synthesizing large-area graphene films at low temperatures by chemical vapor deposition (CVD) for nanoelectronic and flexible device applications. However, to date, low-temperature CVD methods have suffered from lower surface coverage because micro-sized graphene flakes are produced. Here, we demonstrate a modified CVD technique for the production of large-area, continuous monolayer graphene films from benzene on Cu at 100-300 °C at ambient pressure. In this method, we extended the graphene growth step in the absence of residual oxidizing species by introducing pumping and purging cycles prior to growth. This led to continuous monolayer graphene films with full surface coverage and excellent quality, which were comparable to those achieved with high-temperature CVD; for example, the surface coverage, transmittance, and carrier mobilities of the graphene grown at 300 °C were 100%, 97.6%, and 1,900-2,500 cm2 V-1 s-1, respectively. In addition, the growth temperature was substantially reduced to as low as 100 °C, which is the lowest temperature reported to date for pristine graphene produced by CVD. Our modified CVD method is expected to allow the direct growth of graphene in device manufacturing processes for practical applications while keeping underlying devices intact.

  12. Comparison of interaction mechanisms of copper phthalocyanine and nickel phthalocyanine thin films with chemical vapours

    NASA Astrophysics Data System (ADS)

    Ridhi, R.; Singh, Sukhdeep; Saini, G. S. S.; Tripathi, S. K.

    2018-04-01

    The present study deals with comparing interaction mechanisms of copper phthalocyanine and nickel phthalocyanine with versatile chemical vapours: reducing, stable aromatic and oxidizing vapours namely; diethylamine, benzene and bromine. The variation in electrical current of phthalocyanines with exposure of chemical vapours is used as the detection parameter for studying interaction behaviour. Nickel phthalocyanine is found to exhibit anomalous behaviour after exposure of reducing vapour diethylamine due to alteration in its spectroscopic transitions and magnetic states. The observed sensitivities of copper phthalocyanine and nickel phthalcyanine films are different in spite of their similar bond numbers, indicating significant role of central metal atom in interaction mechanism. The variations in electronic transition levels after vapours exposure, studied using UV-Visible spectroscopy confirmed our electrical sensing results. Bromine exposure leads to significant changes in vibrational bands of metal phthalocyanines as compared to other vapours.

  13. En route to controlled catalytic CVD synthesis of densely packed and vertically aligned nitrogen-doped carbon nanotube arrays.

    PubMed

    Boncel, Slawomir; Pattinson, Sebastian W; Geiser, Valérie; Shaffer, Milo S P; Koziol, Krzysztof K K

    2014-01-01

    The catalytic chemical vapour deposition (c-CVD) technique was applied in the synthesis of vertically aligned arrays of nitrogen-doped carbon nanotubes (N-CNTs). A mixture of toluene (main carbon source), pyrazine (1,4-diazine, nitrogen source) and ferrocene (catalyst precursor) was used as the injection feedstock. To optimize conditions for growing the most dense and aligned N-CNT arrays, we investigated the influence of key parameters, i.e., growth temperature (660, 760 and 860 °C), composition of the feedstock and time of growth, on morphology and properties of N-CNTs. The presence of nitrogen species in the hot zone of the quartz reactor decreased the growth rate of N-CNTs down to about one twentieth compared to the growth rate of multi-wall CNTs (MWCNTs). As revealed by electron microscopy studies (SEM, TEM), the individual N-CNTs (half as thick as MWCNTs) grown under the optimal conditions were characterized by a superior straightness of the outer walls, which translated into a high alignment of dense nanotube arrays, i.e., 5 × 10(8) nanotubes per mm(2) (100 times more than for MWCNTs grown in the absence of nitrogen precursor). In turn, the internal crystallographic order of the N-CNTs was found to be of a 'bamboo'-like or 'membrane'-like (multi-compartmental structure) morphology. The nitrogen content in the nanotube products, which ranged from 0.0 to 3.0 wt %, was controlled through the concentration of pyrazine in the feedstock. Moreover, as revealed by Raman/FT-IR spectroscopy, the incorporation of nitrogen atoms into the nanotube walls was found to be proportional to the number of deviations from the sp(2)-hybridisation of graphene C-atoms. As studied by XRD, the temperature and the [pyrazine]/[ferrocene] ratio in the feedstock affected the composition of the catalyst particles, and hence changed the growth mechanism of individual N-CNTs into a 'mixed base-and-tip' (primarily of the base-type) type as compared to the purely 'base'-type for undoped

  14. Nuts and CVD.

    PubMed

    Ros, Emilio

    2015-04-01

    Nuts are nutrient-dense foods with complex matrices rich in unsaturated fatty acids and other bioactive compounds, such as l-arginine, fibre, healthful minerals, vitamin E, phytosterols and polyphenols. By virtue of their unique composition, nuts are likely to beneficially affect cardiovascular health. Epidemiological studies have associated nut consumption with a reduced incidence of CHD in both sexes and of diabetes in women, but not in men. Feeding trials have clearly demonstrated that consumption of all kinds of nuts has a cholesterol-lowering effect, even in the context of healthy diets. There is increasing evidence that nut consumption has a beneficial effect on oxidative stress, inflammation and vascular reactivity. Blood pressure, visceral adiposity and the metabolic syndrome also appear to be positively influenced by nut consumption. Contrary to expectations, epidemiological studies and clinical trials suggest that regular nut consumption is not associated with undue weight gain. Recently, the PREvención con DIeta MEDiterránea randomised clinical trial of long-term nutrition intervention in subjects at high cardiovascular risk provided first-class evidence that regular nut consumption is associated with a 50 % reduction in incident diabetes and, more importantly, a 30 % reduction in CVD. Of note, incident stroke was reduced by nearly 50 % in participants allocated to a Mediterranean diet enriched with a daily serving of mixed nuts (15 g walnuts, 7.5 g almonds and 7.5 g hazelnuts). Thus, it is clear that frequent nut consumption has a beneficial effect on CVD risk that is likely to be mediated by salutary effects on intermediate risk factors.

  15. Report of work done for technical assistance agreement 1269 between Sandia National Laboratories and the Watkins-Johnson Company: Chemical reaction mechanisms for computational models of SiO{sub 2} CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ho, P.; Johannes, J.; Kudriavtsev, V.

    The use of computational modeling to improve equipment and process designs for chemical vapor deposition (CVD) reactors is becoming increasingly common. Commercial codes are available that facilitate the modeling of chemically-reacting flows, but chemical reaction mechanisms must be separately developed for each system of interest. One f the products of the Watkins-Johnson Company (WJ) is a reactor marketed to semiconductor manufacturers for the atmospheric-pressure chemical vapor deposition (APCVD) of silicon oxide films. In this process, TEOS (tetraethoxysilane, Si(OC{sub 2}H{sub 5}){sub 4}) and ozone (O{sub 3}) are injected (in nitrogen and oxygen carrier gases) over hot silicon wafers that are beingmore » carried through the system on a moving belt. As part of their equipment improvement process, WJ is developing computational models of this tool. In this effort, they are collaborating with Sandia National Laboratories (SNL) to draw on Sandia`s experience base in understanding and modeling the chemistry of CVD processes.« less

  16. Impact of major volcanic eruptions on stratospheric water vapour

    NASA Astrophysics Data System (ADS)

    Löffler, Michael; Brinkop, Sabine; Jöckel, Patrick

    2016-05-01

    Volcanic eruptions can have a significant impact on the Earth's weather and climate system. Besides the subsequent tropospheric changes, the stratosphere is also influenced by large eruptions. Here changes in stratospheric water vapour after the two major volcanic eruptions of El Chichón in Mexico in 1982 and Mount Pinatubo on the Philippines in 1991 are investigated with chemistry-climate model simulations. This study is based on two simulations with specified dynamics of the European Centre for Medium-Range Weather Forecasts Hamburg - Modular Earth Submodel System (ECHAM/MESSy) Atmospheric Chemistry (EMAC) model, performed within the Earth System Chemistry integrated Modelling (ESCiMo) project, of which only one includes the long-wave volcanic forcing through prescribed aerosol optical properties. The results show a significant increase in stratospheric water vapour induced by the eruptions, resulting from increased heating rates and the subsequent changes in stratospheric and tropopause temperatures in the tropics. The tropical vertical advection and the South Asian summer monsoon are identified as sources for the additional water vapour in the stratosphere. Additionally, volcanic influences on tropospheric water vapour and El Niño-Southern Oscillation (ENSO) are evident, if the long-wave forcing is strong enough. Our results are corroborated by additional sensitivity simulations of the Mount Pinatubo period with reduced nudging and reduced volcanic aerosol extinction.

  17. CVD growth of large-area and high-quality HfS2 nanoforest on diverse substrates

    NASA Astrophysics Data System (ADS)

    Zheng, Binjie; Wang, Zegao; Qi, Fei; Wang, Xinqiang; Yu, Bo; Zhang, Wanli; Chen, Yuanfu

    2018-03-01

    Two-dimensional layered transition metal dichalcogenides (TMDs) have attracted burgeoning attention due to their various properties and wide potential applications. As a new TMD, hafnium disulfide (HfS2) is theoretically predicted to have better electrical performance than widely studied MoS2. The experimental researches also confirmed the extraordinary feature in electronics and optoelectronics. However, the maximal device performance may not be achieved due to its own limitation of planar structure and challenge of transfer without contamination. Here, through the chemical vapor deposition (CVD) technique, inch-size HfS2 nanoforest has been directly grown on diverse objective substrates covering insulating, semiconducting and conducting substrates. This direct CVD growth without conventional transfer process avoids contamination and degradation in quality, suggesting its promising and wide applications in high-quality and multifarious devices. It is noted that all the HfS2 nanoforests grown on diverse substrates are constructed with vertically aligned few-layered HfS2 nanosheets with high crystalline quality and edge orientation. Moreover, due to its unique structure, the HfS2 nanoforest owns abundant exposed edge sites and large active surface area, which is essential to apply in high-performance catalyst, sensor, and energy storage or field emitter.

  18. Levels of selected carcinogens and toxicants in vapour from electronic cigarettes.

    PubMed

    Goniewicz, Maciej Lukasz; Knysak, Jakub; Gawron, Michal; Kosmider, Leon; Sobczak, Andrzej; Kurek, Jolanta; Prokopowicz, Adam; Jablonska-Czapla, Magdalena; Rosik-Dulewska, Czeslawa; Havel, Christopher; Jacob, Peyton; Benowitz, Neal

    2014-03-01

    Electronic cigarettes, also known as e-cigarettes, are devices designed to imitate regular cigarettes and deliver nicotine via inhalation without combusting tobacco. They are purported to deliver nicotine without other toxicants and to be a safer alternative to regular cigarettes. However, little toxicity testing has been performed to evaluate the chemical nature of vapour generated from e-cigarettes. The aim of this study was to screen e-cigarette vapours for content of four groups of potentially toxic and carcinogenic compounds: carbonyls, volatile organic compounds, nitrosamines and heavy metals. Vapours were generated from 12 brands of e-cigarettes and the reference product, the medicinal nicotine inhaler, in controlled conditions using a modified smoking machine. The selected toxic compounds were extracted from vapours into a solid or liquid phase and analysed with chromatographic and spectroscopy methods. We found that the e-cigarette vapours contained some toxic substances. The levels of the toxicants were 9-450 times lower than in cigarette smoke and were, in many cases, comparable with trace amounts found in the reference product. Our findings are consistent with the idea that substituting tobacco cigarettes with e-cigarettes may substantially reduce exposure to selected tobacco-specific toxicants. E-cigarettes as a harm reduction strategy among smokers unwilling to quit, warrants further study. (To view this abstract in Polish and German, please see the supplementary files online.).

  19. Mixing of multiple metal vapours into an arc plasma in gas tungsten arc welding of stainless steel

    NASA Astrophysics Data System (ADS)

    Park, Hunkwan; Trautmann, Marcus; Tanaka, Keigo; Tanaka, Manabu; Murphy, Anthony B.

    2017-11-01

    A computational model of the mixing of multiple metal vapours, formed by vaporization of the surface of an alloy workpiece, into the thermal arc plasma in gas tungsten arc welding (GTAW) is presented. The model incorporates the combined diffusion coefficient method extended to allow treatment of three gases, and is applied to treat the transport of both chromium and iron vapour in the helium arc plasma. In contrast to previous models of GTAW, which predict that metal vapours are swept away to the edge of the arc by the plasma flow, it is found that the metal vapours penetrate strongly into the arc plasma, reaching the cathode region. The predicted results are consistent with published measurements of the intensity of atomic line radiation from the metal vapours. The concentration of chromium vapour is predicted to be higher than that of iron vapour due to its larger vaporization rate. An accumulation of chromium vapour is predicted to occur on the cathode at about 1.5 mm from the cathode tip, in agreement with published measurements. The arc temperature is predicted to be strongly reduced due to the strong radiative emission from the metal vapours. The driving forces causing the diffusion of metal vapours into the helium arc are examined, and it is found that diffusion due to the applied electric field (cataphoresis) is dominant. This is explained in terms of large ionization energies and the small mass of helium compared to those of the metal vapours.

  20. Kinetic model of water vapour adsorption by gluten-free starch

    NASA Astrophysics Data System (ADS)

    Ocieczek, Aneta; Kostek, Robert; Ruszkowska, Millena

    2015-01-01

    This study evaluated the kinetics of water vapour adsorption on the surface of starch molecules derived from wheat. The aim of the study was to determine an equation that would allow estimation of water content in tested material in any timepoint of the adsorption process aimed at settling a balance with the environment. An adsorption isotherm of water vapour on starch granules was drawn. The parameters of the Guggenheim, Anderson, and De Boer equation were determined by characterizing the tested product and adsorption process. The equation of kinetics of water vapour adsorption on the surface of starch was determined based on the Guggenheim, Anderson, and De Boer model describing the state of equilibrium and on the model of a first-order linear inert element describing the changes in water content over time.

  1. Mechanism of two-step vapour-crystal nucleation in a pore

    NASA Astrophysics Data System (ADS)

    van Meel, J. A.; Liu, Y.; Frenkel, D.

    2015-09-01

    We present a numerical study of the effect of hemispherical pores on the nucleation of Lennard-Jones crystals from the vapour phase. As predicted by Page and Sear, there is a narrow range of pore radii, where vapour-liquid nucleation can become a two-step process. A similar observation was made for different pore geometries by Giacomello et al. We find that the maximum nucleation rate depends on both the size and the adsorption strength of the pore. Moreover, a poe can be more effective than a planar wall with the same strength of attraction. Pore-induced vapour-liquid nucleation turns out to be the rate-limiting step for crystal nucleation. This implies that crystal nucleation can be enhanced by a judicious choice of the wetting properties of a microporous nucleating agent.

  2. Noncatalytic thermocouple coatings produced with chemical vapor deposition for flame temperature measurements.

    PubMed

    Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P

    2007-01-01

    Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.

  3. CVD facility electrical system captor/dapper study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SINGH, G.

    1999-10-28

    Project W-441, CVD Facility Electrical System CAPTOWDAPPER Study validates Meier's hand calculations. This study includes Load flow, short circuit, voltage drop, protective device coordination, and transient motor starting (TMS) analyses.

  4. Flavonoids and Reduction of Cardiovascular Disease (CVD) in Chronic Obstructive Pulmonary Disease (COPD).

    PubMed

    Russo, Patrizia; Prinzi, Giulia; Lamonaca, Palma; Cardaci, Vittorio; Fini, Massimo

    2018-05-13

    Chronic obstructive pulmonary disease (COPD) and cardiovascular diseases (CV) often coexist. COPD and CVD are complex diseases characterized by a strict interaction between environment and genetic. The mechanisms linking these two diseases are complex, multifactorial and not entirely understood, influencing the therapeutic approach. COPD is characterized by several comorbidities, it is hypothesizable that treatment of cardiovascular co-morbidities may reduce morbidity and mortality. Flavonoids are an important class of plant low molecular weight secondary metabolites (SMs). Convincing data from laboratory, epidemiological, and human clinical studies point to an important effects on CVD risk prevention. This review aims to provide up-to-date information on the ability of Flavonoids to reduce the CVD risk. Current studies support the potential of Flavonoids to prevent the risk of CVD. Well-designed clinical studies are suggested to evaluate advantages and limits of Flavonoids for managing CVD comorbidity in COPD. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  5. Effect of irradiation with MeV protons and electrons on the conductivity compensation and photoluminescence of moderately doped p-4H-SiC (CVD)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovski, V. V.; Lebedev, A. A., E-mail: shura.lebe@mail.ioffe.ru; Bogdanova, E. V.

    The compensation of moderately doped p-4H-SiC samples grown by the chemical vapor deposition (CVD) method under irradiation with 0.9-MeV electrons and 15-MeV protons is studied. The experimentally measured carrier removal rates are 1.2–1.6 cm{sup –1} for electrons and 240–260 cm{sup –1} for protons. The dependence of the concentration of uncompensated acceptors and donors, measured in the study, demonstrates a linear decrease with increasing irradiation dose to the point of complete compensation. This run of the dependence shows that compensation of the samples is due to the transition of carriers to deep centers formed by primary radiation-induced defects. It is demonstratedmore » that, in contrast to n-SiC (CVD), primary defects in the carbon sublattice of moderately doped p-SiC (CVD) only cannot account for the compensation process. In p-SiC, either primary defects in the silicon sublattice, or defects in both sublattices are responsible for conductivity compensation. Also, photoluminescence spectra are examined in relation to the irradiation dose.« less

  6. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    NASA Astrophysics Data System (ADS)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  7. Fabrication of AlN/BN bishell hollow nanofibers by electrospinning and atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haider, Ali; Kayaci, Fatma; Uyar, Tamer

    2014-09-01

    Aluminum nitride (AlN)/boron nitride (BN) bishell hollow nanofibers (HNFs) have been fabricated by successive atomic layer deposition (ALD) of AlN and sequential chemical vapor deposition (CVD) of BN on electrospun polymeric nanofibrous template. A four-step fabrication process was utilized: (i) fabrication of polymeric (nylon 6,6) nanofibers via electrospinning, (ii) hollow cathode plasma-assisted ALD of AlN at 100 °C onto electrospun polymeric nanofibers, (iii) calcination at 500 °C for 2 h in order to remove the polymeric template, and (iv) sequential CVD growth of BN at 450 °C. AlN/BN HNFs have been characterized for their chemical composition, surface morphology, crystal structure, and internal nanostructuremore » using X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, energy dispersive X-ray spectroscopy, and selected area electron diffraction. Measurements confirmed the presence of crystalline hexagonal BN and AlN within the three dimensional (3D) network of bishell HNFs with relatively low impurity content. In contrast to the smooth surface of the inner AlN layer, outer BN coating showed a highly rough 3D morphology in the form of BN nano-needle crystallites. It is shown that the combination of electrospinning and plasma-assisted low-temperature ALD/CVD can produce highly controlled multi-layered bishell nitride ceramic hollow nanostructures. While electrospinning enables easy fabrication of nanofibrous template, self-limiting reactions of plasma-assisted ALD and sequential CVD provide control over the wall thicknesses of AlN and BN layers with sub-nanometer accuracy.« less

  8. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  9. Dietary Choline and Betaine and Risk of CVD: A Systematic Review and Meta-Analysis of Prospective Studies

    PubMed Central

    Meyer, Katie A.; Shea, Jonathan W.

    2017-01-01

    Studies implicate choline and betaine metabolite trimethylamine N-oxide (TMAO) in cardiovascular disease (CVD). We conducted a systematic review and random-effects meta-analysis to quantify a summary estimated effect of dietary choline and betaine on hard CVD outcomes (incidence and mortality). Eligible studies were prospective studies in adults with comprehensive diet assessment and follow-up for hard CVD endpoints. We identified six studies that met our criteria, comprising 18,076 incident CVD events, 5343 CVD deaths, and 184,010 total participants. In random effects meta-analysis, incident CVD was not associated with choline (relative risk (RR): 1.00; 95% CI: 0.98, 1.02) or betaine (RR: 0.99; 95% CI: 0.98, 1.01) intake. Results did not vary by study outcome (incident coronary heart disease, stroke, total CVD) and there was no evidence for heterogeneity among studies. Only two studies provided data on phosphatidylcholine and CVD mortality. Random effects meta-analysis did not support an association between choline and CVD mortality (RR: 1.09, 95% CI: 0.89, 1.35), but one study supported a positive association and there was significant heterogeneity (I2 = 84%, p-value < 0.001). Our findings do not support an association between dietary choline/betaine with incident CVD, but call for further research into choline and CVD mortality. PMID:28686188

  10. Dietary Choline and Betaine and Risk of CVD: A Systematic Review and Meta-Analysis of Prospective Studies.

    PubMed

    Meyer, Katie A; Shea, Jonathan W

    2017-07-07

    Studies implicate choline and betaine metabolite trimethylamine N-oxide (TMAO) in cardiovascular disease (CVD). We conducted a systematic review and random-effects meta-analysis to quantify a summary estimated effect of dietary choline and betaine on hard CVD outcomes (incidence and mortality). Eligible studies were prospective studies in adults with comprehensive diet assessment and follow-up for hard CVD endpoints. We identified six studies that met our criteria, comprising 18,076 incident CVD events, 5343 CVD deaths, and 184,010 total participants. In random effects meta-analysis, incident CVD was not associated with choline (relative risk (RR): 1.00; 95% CI: 0.98, 1.02) or betaine (RR: 0.99; 95% CI: 0.98, 1.01) intake. Results did not vary by study outcome (incident coronary heart disease, stroke, total CVD) and there was no evidence for heterogeneity among studies. Only two studies provided data on phosphatidylcholine and CVD mortality. Random effects meta-analysis did not support an association between choline and CVD mortality (RR: 1.09, 95% CI: 0.89, 1.35), but one study supported a positive association and there was significant heterogeneity ( I ² = 84%, p -value < 0.001). Our findings do not support an association between dietary choline/betaine with incident CVD, but call for further research into choline and CVD mortality.

  11. Defining the relationship between COPD and CVD: what are the implications for clinical practice?

    PubMed Central

    Morgan, Ann D; Zakeri, Rosita; Quint, Jennifer K

    2018-01-01

    Cardiovascular diseases (CVDs) are arguably the most important comorbidities in chronic obstructive pulmonary disease (COPD). CVDs are common in people with COPD, and their presence is associated with increased risk for hospitalization, longer length of stay and all-cause and CVD-related mortality. The economic burden associated with CVD in this population is considerable and the cumulative cost of treating comorbidities may even exceed that of treating COPD itself. Our understanding of the biological mechanisms that link COPD and various forms of CVD has improved significantly over the past decade. But despite broad acceptance of the prognostic significance of CVDs in COPD, there remains widespread under-recognition and undertreatment of comorbid CVD in this population. The reasons for this are unclear; however institutional barriers and a lack of evidence-based guidelines for the management of CVD in people with COPD may be contributory factors. In this review, we summarize current knowledge relating to the prevalence and incidence of CVD in people with COPD and the mechanisms that underlie their coexistence. We discuss the implications for clinical practice and highlight opportunities for improved prevention and treatment of CVD in people with COPD. While we advocate more active assessment for signs of cardiovascular conditions across all age groups and all stages of COPD severity, we suggest targeting those aged under 65 years. Evidence indicates that the increased risks for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age and thus it is in this age group that the benefits of early intervention may prove to be the most effective. PMID:29355081

  12. WALES: water vapour lidar experiment in space

    NASA Astrophysics Data System (ADS)

    Guerin, F.; Pain, Th.; Palmade, J.-L.; Pailharey, E.; Giraud, D.; Jubineau, F.

    2017-11-01

    The WAter vapour Lidar Experiment in Space (WALES) mission aims at providing water vapour profiles with high accuracy and vertical resolution through the troposphere and the lower stratosphere on a global scale using an instrument based on Differential Absorption Lidar (DIAL) observation technique, and mounted on an Earth orbiting satellite. This active DIAL technique will also provide data on the cloud coverage by means of the signal reflection on the cloud layers. In DIAL operation, backscatter lidar signals at two wavelengths - at least - are detected. One wavelength (λ ON) is highly absorbed by the species of interest, while the other (λ OFF) is backscattered with minimal absorption. This difference in absorption at the two transmitted wavelengths leads to the determination of the concentration of the species of interest. The DIAL is therefore a dual-wavelength lidar in which the signals detected at the two wavelengths are processed to extract the absolute density of water vapour. The Phase A study performed by ALCATEL Space and their partners under contract of the European Space Agency has led to a credible and innovative concept of instrument, based on a mission performance modelling. The challenge is to foster the scientific return while minimising the development risks and costs of instrument development, in particular the laser transmitter. The paper describes the payload design and the implementation on a low Earth orbiting (LEO) satellite.

  13. WALES: WAter vapour Lidar Experiment in Space

    NASA Astrophysics Data System (ADS)

    Guerin, F.; Pain, Th.; Palmade, J. L.; Pailharey, E.; Giraud, D.; Jubineau, F.

    2004-06-01

    The WAter vapour Lidar Experiment in Space (WALES) mission aims at providing water vapour profiles with high accuracy and vertical resolution through the troposphere and the lower stratosphere on a global scale using an instrument based on Differential Absorption Lidar (DIAL) observation technique, and mounted on an Earth orbiting satellite. This active DIAL technique will also provide data on the cloud coverage by means of the signal reflection on the cloud layers. In DIAL operation, backscatter lidar signals at two wavelengths - at least - are detected. One wavelength (λ ON) is highly absorbed by the species of interest, while the other (λ OFF) is backscattered with minimal absorption. This difference in absorption at the two transmitted wavelengths leads to the determination of the concentration of the species of interest. The DIAL is therefore a dual-wavelength lidar in which the signals detected at the two wavelengths are processed to extract the absolute density of water vapour. The Phase A study performed by ALCATEL Space and their partners under contract of the European Space Agency has led to a credible and innovative concept of instrument, based on a mission performance modelling. The challenge is to foster the scientific return while minimising the development risks and costs of instrument development, in particular the laser transmitter. The paper describes the payload design and the implementation on a low Earth orbiting (LEO) satellite.

  14. Vertical graphene nanosheets synthesized by thermal chemical vapor deposition and the field emission properties

    NASA Astrophysics Data System (ADS)

    Guo, Xin; Qin, Shengchun; Bai, Shuai; Yue, Hongwei; Li, Yali; Chen, Qiang; Li, Junshuai; He, Deyan

    2016-09-01

    In this paper, we explored synthesis of vertical graphene nanosheets (VGNs) by thermal chemical vapor deposition (CVD). Through optimizing the experimental condition, growth of well aligned VGNs with uniform morphologies on nickel-coated stainless steel (SS) was realized for the first time by thermal CVD. In the meantime, influence of growth parameters on the VGN morphology was understood based on the balancing between the concentration and kinetic energy of carbon-containing radicals. Structural characterizations demonstrate that the achieved VGNs are normally composed of several graphene layers and less corrugated compared to the ones synthesized by other approaches, e.g. plasma enhanced (PE) CVD. The field emission measurement indicates that the VGNs exhibit relatively stable field emission and a field enhancement factor of about 1470, which is comparable to the values of VGNs prepared by PECVD can be achieved.

  15. Unsaturation of vapour pressure inside leaves of two conifer species

    DOE PAGES

    Cernusak, Lucas A.; Ubierna, Nerea; Jenkins, Michael W.; ...

    2018-05-16

    Stomatal conductance (g s) impacts both photosynthesis and transpiration, and is therefore fundamental to the global carbon and water cycles, food production, and ecosystem services. Mathematical models provide the primary means of analysing this important leaf gas exchange parameter. A nearly universal assumption in such models is that the vapour pressure inside leaves (e i) remains saturated under all conditions. The validity of this assumption has not been well tested, because so far e i cannot be measured directly. Here, we test this assumption using a novel technique, based on coupled measurements of leaf gas exchange and the stable isotopemore » compositions of CO 2 and water vapour passing over the leaf. We applied this technique to mature individuals of two semiarid conifer species. In both species, e i routinely dropped below saturation when leaves were exposed to moderate to high air vapour pressure deficits. Typical values of relative humidity in the intercellular air spaces were as low 0.9 in Juniperus monosperma and 0.8 in Pinus edulis. These departures of e i from saturation caused significant biases in calculations of g s and the intercellular CO 2 concentration. Thus, our results refute the longstanding assumption of saturated vapour pressure in plant leaves under all conditions.« less

  16. Unsaturation of vapour pressure inside leaves of two conifer species

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cernusak, Lucas A.; Ubierna, Nerea; Jenkins, Michael W.

    Stomatal conductance (g s) impacts both photosynthesis and transpiration, and is therefore fundamental to the global carbon and water cycles, food production, and ecosystem services. Mathematical models provide the primary means of analysing this important leaf gas exchange parameter. A nearly universal assumption in such models is that the vapour pressure inside leaves (e i) remains saturated under all conditions. The validity of this assumption has not been well tested, because so far e i cannot be measured directly. Here, we test this assumption using a novel technique, based on coupled measurements of leaf gas exchange and the stable isotopemore » compositions of CO 2 and water vapour passing over the leaf. We applied this technique to mature individuals of two semiarid conifer species. In both species, e i routinely dropped below saturation when leaves were exposed to moderate to high air vapour pressure deficits. Typical values of relative humidity in the intercellular air spaces were as low 0.9 in Juniperus monosperma and 0.8 in Pinus edulis. These departures of e i from saturation caused significant biases in calculations of g s and the intercellular CO 2 concentration. Thus, our results refute the longstanding assumption of saturated vapour pressure in plant leaves under all conditions.« less

  17. A direct comparison between gas state and atomised liquid state precursor in the deposition of functional coatings by pin corona plasma

    NASA Astrophysics Data System (ADS)

    Herbert, P. A. F.; Jaroszyńska-Wolińska, J.

    2011-07-01

    An atmospheric pressure non-thermal equilibrium pin corona plasma jet was used to deposit polymeric coatings from monomer precursor in both vapour and liquid aerosol states to allow a direct comparison of the quality and performance of the as-deposited coatings, specifically with respect to the achievement of soft plasma polymerisation (SPP) where the coating exhibits minimal fragmentation or damage to the monomer molecule while, at the same time, being highly cross-linked. A long chain perfluorocarbon molecule was introduced into the helium plasma and coatings deposited at rates of up to 50 nm/min. XPS, FTIR, contact angle and ellipsometric measurements indicated that a controlled polymerisation reaction had taken place in the case of the vapour deposited samples through the vinyl group of the monomer, with only minor fragmentation of the functional perfluoro chain. Furthermore, a high level of cross-linking was achieved and the coatings were stable to a toluene wash. In contrast, while the liquid deposition samples showed good retention of monomer molecular structure, they exhibited negligible cross-linking and were readily removed by immersion in toluene rendering them functionally useless.

  18. Investigation of chemical vapor deposition of garnet films for bubble domain memories

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.

    1973-01-01

    The important process parameters and control required to grow reproducible device quality ferrimagnetic films by chemical vapor deposition (CVD) were studied. The investigation of the critical parameters in the CVD growth process led to the conclusion that the required reproducibility of film properties cannot be achieved with individually controlled separate metal halide sources. Therefore, the CVD growth effort was directed toward replacement of the halide sources with metallic sources with the ultimate goal being the reproducible growth of complex garnet compositions utilizing a single metal alloy source. The characterization of the YGdGaIG films showed that certain characteristics of this material, primarily the low domain wall energy and the large temperature sensitivity, severely limited its potential as a useful material for bubble domain devices. Consequently, at the time of the change from halide to metallic sources, the target film compositions were shifted to more useful materials such as YGdTmGaIG, YEuGaIG and YSmGaIG.

  19. Water vapour and methane coupling in the stratosphere observed using SCIAMACHY solar occultation measurements

    NASA Astrophysics Data System (ADS)

    Noël, Stefan; Weigel, Katja; Bramstedt, Klaus; Rozanov, Alexei; Weber, Mark; Bovensmann, Heinrich; Burrows, John P.

    2018-04-01

    An improved stratospheric water vapour data set has been retrieved from SCIAMACHY/ENVISAT solar occultation measurements. It is similar to that successfully applied to methane and carbon dioxide. There is now a consistent set of data products for the three constituents covering the altitudes 17-45 km, the latitude range between about 50 and 70° N, and the period August 2002 to April 2012. The new water vapour concentration profiles agree with collocated results from ACE-FTS and MLS/Aura to within ˜ 5 %. A significant positive linear change in water vapour for the time 2003-2011 is observed at lower stratospheric altitudes with a value of about 0.015 ± 0.008 ppmv year-1 around 17 km. Between 30 and 37 km the changes become significantly negative (about -0.01 ± 0.008 ppmv year-1); all errors are 2σ values. The combined analysis of the SCIAMACHY methane and water vapour time series shows the expected anti-correlation between stratospheric methane and water vapour and a clear temporal variation related to the Quasi-Biennial Oscillation (QBO). Above about 20 km most of the additional water vapour is attributed to the oxidation of methane. In addition short-term fluctuations and longer-term variations on a timescale of 5-6 years are observed. The SCIAMACHY data confirm that at lower altitudes the amount of water vapour and methane are transported from the tropics to higher latitudes via the shallow branch of the Brewer-Dobson circulation.

  20. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  1. A sensor of alcohol vapours based on thin polyaniline base film and quartz crystal microbalance.

    PubMed

    Ayad, Mohamad M; El-Hefnawey, Gad; Torad, Nagy L

    2009-08-30

    Thin films of polyaniline base, emeraldine base (EB), coating on the quartz crystal microbalance (QCM) electrode were used as a sensitive layer for the detection of a number of primary aliphatic alcohols such as ethanol, methanol, 2-propanol and 1-propanol vapours. The frequency shifts (Deltaf) of the QCM were increased due to the vapour adsorption into the EB film. Deltaf were found to be linearly correlated with the concentrations of alcohols vapour in part per million (ppm). The sensitivity of the sensor was found to be governed by the chemical structure of the alcohol. The sensor shows a good reproducibility and reversibility. The diffusions of different alcohols vapour were studied and the diffusion coefficients (D) were calculated. It is concluded that the diffusion of the vapours into the EB film follows Fickian kinetics.

  2. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    PubMed

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  3. Investigation of multilayer domains in large-scale CVD monolayer graphene by optical imaging

    NASA Astrophysics Data System (ADS)

    Yu, Yuanfang; Li, Zhenzhen; Wang, Wenhui; Guo, Xitao; Jiang, Jie; Nan, Haiyan; Ni, Zhenhua

    2017-03-01

    CVD graphene is a promising candidate for optoelectronic applications due to its high quality and high yield. However, multi-layer domains could inevitably form at the nucleation centers during the growth. Here, we propose an optical imaging technique to precisely identify the multilayer domains and also the ratio of their coverage in large-scale CVD monolayer graphene. We have also shown that the stacking disorder in twisted bilayer graphene as well as the impurities on the graphene surface could be distinguished by optical imaging. Finally, we investigated the effects of bilayer domains on the optical and electrical properties of CVD graphene, and found that the carrier mobility of CVD graphene is seriously limited by scattering from bilayer domains. Our results could be useful for guiding future optoelectronic applications of large-scale CVD graphene. Project supported by the National Natural Science Foundation of China (Nos. 61422503, 61376104), the Open Research Funds of Key Laboratory of MEMS of Ministry of Education (SEU, China), and the Fundamental Research Funds for the Central Universities.

  4. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A laboratory type CVD reactor system with a vertical deposition chamber and sample pedestal heated by an external RF coil has been extensively modified by installation of mass flow controllers, automatic process sequence timers, and special bellows-sealed air-operated valves for overall improved performance. Various film characterization procedures, including classical metallography, SEM analyses, X ray diffraction analyses, surface profilometry, and electrical measurements (resistivity, carrier concentration, mobility, spreading resistance profiles, and minority-carrier lifetime by the C-V-t method) area used to correlate Si sheet properties with CVD parameters and substrate properties. Evaluation procedures and measurements are given. Experimental solar cell structures were made both in epitaxial Si sheet (on sapphire substrates) and in polycrystalline material on alumina substrates, the former to provide an indication of what might be an upper limit on performance of the latter. Preliminary results are given, as obtained in cell structures not specially designed to allow for the unique properties of the sheet material, and fabricated in material known to be far from optimum for photovoltaic performance. Low power conversion efficiencies have been obtained in the epitaxial as well as the polycrystalline Si sheet.

  5. CVD2014-A Database for Evaluating No-Reference Video Quality Assessment Algorithms.

    PubMed

    Nuutinen, Mikko; Virtanen, Toni; Vaahteranoksa, Mikko; Vuori, Tero; Oittinen, Pirkko; Hakkinen, Jukka

    2016-07-01

    In this paper, we present a new video database: CVD2014-Camera Video Database. In contrast to previous video databases, this database uses real cameras rather than introducing distortions via post-processing, which results in a complex distortion space in regard to the video acquisition process. CVD2014 contains a total of 234 videos that are recorded using 78 different cameras. Moreover, this database contains the observer-specific quality evaluation scores rather than only providing mean opinion scores. We have also collected open-ended quality descriptions that are provided by the observers. These descriptions were used to define the quality dimensions for the videos in CVD2014. The dimensions included sharpness, graininess, color balance, darkness, and jerkiness. At the end of this paper, a performance study of image and video quality algorithms for predicting the subjective video quality is reported. For this performance study, we proposed a new performance measure that accounts for observer variance. The performance study revealed that there is room for improvement regarding the video quality assessment algorithms. The CVD2014 video database has been made publicly available for the research community. All video sequences and corresponding subjective ratings can be obtained from the CVD2014 project page (http://www.helsinki.fi/psychology/groups/visualcognition/).

  6. Development of Micro and Nano Crystalline CVD Diamond TL/OSL Radiation Detectors for Clinical Applications

    NASA Astrophysics Data System (ADS)

    Barboza-Flores, Marcelino

    2015-03-01

    Modern radiotherapy methods requires the use of high photon radiation doses delivered in a fraction to small volumes of cancer tumors. An accurate dose assessment for highly energetic small x-ray beams in small areas, as in stereotactic radiotherapy, is necessary to avoid damage to healthy tissue surrounding the tumor. Recent advances on the controlled synthesis of CVD diamond have demonstrated the possibility of using high quality micro and nano crystalline CVD as an efficient detector and dosimeter suitable for high energy photons and energetic particle beams. CVD diamond is a very attractive material for applications in ionizing radiation dosimetry, particularly in the biomedical field since the radiation absorption by a CVD diamond is very close to that of soft tissue. Furthermore, diamond is stable, non-toxic and radiation hard. In the present work we discuss the CVD diamond properties and dosimeter performance and discuss its relevance and advantages of various dosimetry methods, including thermally stimulated luminescence (TL) as well as optically stimulated luminescence (OSL). The recent CVD improved method of growth allows introducing precisely controlled impurities into diamond to provide it with high dosimetry sensitivity. For clinical dosimetry applications, high accuracy of dose measurements, low fading, high sensitivity, good reproducibility and linear dose response characteristics are very important parameters which all are found in CVD diamonds specimens. In some cases, dose linearity and reproducibility in CVD diamond have been found to be higher than standard commercial TLD materials like LiF. In the present work, we discuss the state-of-the art developments in dosimetry applications using CVD diamond. The financial support from Conacyt (Mexico) is greatly acknowledged

  7. Seasonally-Active Water on Mars: Vapour, Ice, Adsorbate, and the Possibility of Liquid

    NASA Astrophysics Data System (ADS)

    Richardson, M. I.

    2002-12-01

    southern caps. Similar climate-models of the water cycle also do not need much exchangeable adsorbed water in order to explain the observed vapour distributions. The possibility of liquid water is tantalizing, but difficult to definitively judge. On scales greater than a meter or so, Mars is most definitely well away from the water triple point--although the surface pressure can exceed 6.1 mbars, the partial pressure of water vapor (to which the triple point refers) is at best orders of magnitude lower. Several careful studies have shown, however, that locally transient (meta-stable) liquid is possible, if the net heating of ice deposits is high enough. This process is aided if the total surface pressure exceeds 6.1mbar (this prevents boiling, or the explosive loss of vapour into the atmosphere) or if the liquid is covered by a thin ice shell, and is only possible if surface temperatures exceed 273K (for pure water, or the appropriate eutectic for brines) and if ice is present. The former challenge is much easier to meet than the latter. The melt scenario requires that ice deposited in winter must be protected from sublimation as surface temperatures increase in spring, but then exposed to the peak of solar heating in summer. Available spacecraft observations of seasonal water will be discussed with the aid of GCM model simulations, and examined in the context of water distributions and phases.

  8. Liquid impact and fracture of free-standing CVD diamond

    NASA Astrophysics Data System (ADS)

    Kennedy, Claire F.; Telling, Robert H.; Field, John E.

    1999-07-01

    The Cavendish Laboratory has developed extensive facilities for studies of liquid and solid particle erosion. This paper describes the high-speed liquid impact erosion of thin CVD diamond discs and the variation with grain sizes of the absolute damage threshold velocity (ADTV), viz., the threshold below which the specimen shows no damage. All specimens fail by rear surface cracking and there is shown to be a shallow dependence of rear surface ADTV on grain size. Fracture propagation in CVD diamond has also been monitored using a specially-designed double-torsion apparatus and data for K1C are presented. Tentatively, the results suggest that finer-grained CVD diamond exhibits a higher fracture toughness, although the differences are slight even over a fourfold variation in the mean grain size. No preference for intergranular fracture was observed and one may conclude from this that the grain boundaries themselves do not seriously weaken the material. The large pre-existing flaws, both within and between grains, whose size varies the grain size are believed to be the dominant source of weakness.

  9. Synthesis of large-area multilayer hexagonal boron nitride for high material performance.

    PubMed

    Kim, Soo Min; Hsu, Allen; Park, Min Ho; Chae, Sang Hoon; Yun, Seok Joon; Lee, Joo Song; Cho, Dae-Hyun; Fang, Wenjing; Lee, Changgu; Palacios, Tomás; Dresselhaus, Mildred; Kim, Ki Kang; Lee, Young Hee; Kong, Jing

    2015-10-28

    Although hexagonal boron nitride (h-BN) is a good candidate for gate-insulating materials by minimizing interaction from substrate, further applications to electronic devices with available two-dimensional semiconductors continue to be limited by flake size. While monolayer h-BN has been synthesized on Pt and Cu foil using chemical vapour deposition (CVD), multilayer h-BN is still absent. Here we use Fe foil and synthesize large-area multilayer h-BN film by CVD with a borazine precursor. These films reveal strong cathodoluminescence and high mechanical strength (Young's modulus: 1.16 ± 0.1 TPa), reminiscent of formation of high-quality h-BN. The CVD-grown graphene on multilayer h-BN film yields a high carrier mobility of ∼ 24,000 cm(2) V(-1) s(-1) at room temperature, higher than that (∼ 13,000 (2) V(-1) s(-1)) with exfoliated h-BN. By placing additional h-BN on a SiO2/Si substrate for a MoS2 (WSe2) field-effect transistor, the doping effect from gate oxide is minimized and furthermore the mobility is improved by four (150) times.

  10. Synthesis of large-area multilayer hexagonal boron nitride for high material performance

    PubMed Central

    Kim, Soo Min; Hsu, Allen; Park, Min Ho; Chae, Sang Hoon; Yun, Seok Joon; Lee, Joo Song; Cho, Dae-Hyun; Fang, Wenjing; Lee, Changgu; Palacios, Tomás; Dresselhaus, Mildred; Kim, Ki Kang; Lee, Young Hee; Kong, Jing

    2015-01-01

    Although hexagonal boron nitride (h-BN) is a good candidate for gate-insulating materials by minimizing interaction from substrate, further applications to electronic devices with available two-dimensional semiconductors continue to be limited by flake size. While monolayer h-BN has been synthesized on Pt and Cu foil using chemical vapour deposition (CVD), multilayer h-BN is still absent. Here we use Fe foil and synthesize large-area multilayer h-BN film by CVD with a borazine precursor. These films reveal strong cathodoluminescence and high mechanical strength (Young's modulus: 1.16±0.1 TPa), reminiscent of formation of high-quality h-BN. The CVD-grown graphene on multilayer h-BN film yields a high carrier mobility of ∼24,000 cm2 V−1 s−1 at room temperature, higher than that (∼13,000 2 V−1 s−1) with exfoliated h-BN. By placing additional h-BN on a SiO2/Si substrate for a MoS2 (WSe2) field-effect transistor, the doping effect from gate oxide is minimized and furthermore the mobility is improved by four (150) times. PMID:26507400

  11. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  12. Universal Design: Supporting Students with Color Vision Deficiency (CVD) in Medical Education

    ERIC Educational Resources Information Center

    Meeks, Lisa M.; Jain, Neera R.; Herzer, Kurt R.

    2016-01-01

    Color Vision Deficiency (CVD) is a commonly occurring condition in the general population. For medical students, it has the potential to create unique challenges in the classroom and clinical environments. Few studies have provided medical educators with comprehensive recommendations to assist students with CVD. This article presents a focused…

  13. Metal-organic chemical vapour deposition of polycrystalline tetragonal indium sulphide (InS) thin films

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Cleaver, William M.; Barron, Andrew R.; Power, Michael B.; Hepp, Aloysius F.

    1992-01-01

    The dimeric indium thiolate /(t Bu)2In(mu-S sup t Bu)/2 has been used as a single-source precursor for the MOCVD of InS thin films. The dimeric In2S2 core is proposed to account for the formation of the nonequilibrium high-pressure tetragonal phase in the deposited films. Analysis of the deposited films has been obtained by TEM, with associated energy-dispersive X-ray analysis and X-ray photoelectron spectroscopy.

  14. Characterization of CVD micrometer-size diamond (abstract)

    NASA Astrophysics Data System (ADS)

    Ohsumi, K.; Hagiya, K.; Miyamoto, M.; Matsuda, J.; Ohmasa, M.

    1989-07-01

    formed from carbonaceous materials by impact shock or directly formed from vapor. Recent discovery of vapor-growth diamonds in carbonaceous chondrites has generated a renewed interest in the origin of ureilite diamonds. Two types of micrometer-size diamonds were prepared. One of them was grown under low pressure by chemical vapor deposition (CVD) from gaseous mixtures of H2 and CH4, and another was synthesized by shock effect (kindly offered by Nippon Oil & Fats Co., Ltd.) The micro-Laue method was applied to them in order to get information about their microstructures. Two characteristics are recognized in profiles of reflections themselves and in whole patterns of the Laue photographs. The reflections of CVD diamonds are elongated but symmetric in their profiles and are distributed regularly as they are indexed by the diamond lattice, while those of shock effect are also elongated and asymmetric, and are distributed at random as they cannot be indexed. The characteristics observed by the method may be useful to ascribe the origin to CVD or shock effect.

  15. Transport of metals and sulphur in magmas by flotation of sulphide melt on vapour bubbles

    NASA Astrophysics Data System (ADS)

    Mungall, J. E.; Brenan, J. M.; Godel, B.; Barnes, S. J.; Gaillard, F.

    2015-03-01

    Emissions of sulphur and metals from magmas in Earth’s shallow crust can have global impacts on human society. Sulphur-bearing gases emitted into the atmosphere during volcanic eruptions affect climate, and metals and sulphur can accumulate in the crust above a magma reservoir to form giant copper and gold ore deposits, as well as massive sulphur anomalies. The volumes of sulphur and metals that accumulate in the crust over time exceed the amounts that could have been derived from an isolated magma reservoir. They are instead thought to come from injections of multiple new batches of vapour- and sulphide-saturated magmas into the existing reservoirs. However, the mechanism for the selective upward transfer of sulphur and metals is poorly understood because their main carrier phase, sulphide melt, is dense and is assumed to settle to the bottoms of magma reservoirs. Here we use laboratory experiments as well as gas-speciation and mass-balance models to show that droplets of sulphide melt can attach to vapour bubbles to form compound drops that float. We demonstrate the feasibility of this mechanism for the upward mobility of sulphide liquids to the shallow crust. Our work provides a mechanism for the atmospheric release of large amounts of sulphur, and contradicts the widely held assumption that dense sulphide liquids rich in sulphur, copper and gold will remain sequestered in the deep crust.

  16. Optimization of chemical vapor deposition diamond films growth on steel: correlation between mechanical properties, structure, and composition.

    PubMed

    Laikhtman, A; Rapoport, L; Perfilyev, V; Moshkovich, A; Akhvlediani, R; Hoffman, A

    2011-09-01

    In the present work we perform optimization of mechanical and crystalline properties of CVD microcrystalline diamond films grown on steel substrates. A chromium-nitride (Cr-N) interlayer had been previously proposed to serve as a buffer for carbon and iron inter-diffusion and as a matching layer for the widely differing expansion coefficients of diamond and steel. However, adhesion and wear as well as crystalline perfection of diamond films are strongly affected by conditions of both Cr-N interlayer preparation and CVD diamond deposition. In this work we assess the effects of two parameters. The first one is the temperature of the Cr-N interlayer preparation: temperatures in the range of 500 degrees C-800 degrees C were used. The second one is diamond film thickness in the 0.5 microm-2 microm range monitored through variation of the deposition time from approximately 30 min to 2 hours. The mechanical properties of so deposited diamond films were investigated. For this purpose, scratch tests were performed at different indentation loads. The friction coefficient and wear loss were assessed. The mechanical and tribological properties were related to structure, composition, and crystalline perfection of diamond films which were extensively analyzed using different microscopic and spectroscopic techniques. It was found that relatively thick diamond film deposited on the Cr-N interlayer prepared at the temperature similar to that of the CVD process has the best mechanical and adhesion strength. This film was stable without visible cracks around the wear track during all scratch tests with different indentation loads. In other cases, cracking and delamination of the films took place at low to moderate indentation loads.

  17. Major element and oxygen isotope geochemistry of vapour-phase garnet from the Topopah Spring Tuff at Yucca Mountain, Nevada, USA

    USGS Publications Warehouse

    Moscati, Richard J.; Johnson, Craig A.

    2014-01-01

    Twenty vapour-phase garnets were studied in two samples of the Topopah Spring Tuff of the Paintbrush Group from Yucca Mountain, in southern Nevada. The Miocene-age Topopah Spring Tuff is a 350 m thick, devitrified, moderately to densely welded ash-flow tuff that is zoned compositionally from high-silica rhyolite to latite. During cooling of the tuff, escaping vapour produced lithophysae (former gas cavities) lined with an assemblage of tridymite (commonly inverted to cristobalite or quartz), sanidine and locally, hematite and/or garnet. Vapour-phase topaz and economic deposits associated commonly with topaz-bearing rhyolites (characteristically enriched in F) were not found in the Topopah Spring Tuff at Yucca Mountain. Based on their occurrence only in lithophysae, the garnets are not primary igneous phenocrysts, but rather crystals that grew from a F-poor magma-derived vapour trapped during and after emplacement of the tuff. The garnets are euhedral, vitreous, reddish brown, trapezohedral, as large as 2 mm in diameter and fractured. The garnets also contain inclusions of tridymite. Electron microprobe analyses of the garnets reveal that they are almandine-spessartine (48.0 and 47.9 mol.%, respectively), have an average composition of (Fe1.46Mn1.45Mg0.03Ca0.10)(Al1.93Ti0.02)Si3.01O12 and are comparatively homogeneous in Fe and Mn concentrations from core to rim. Composited garnets from each sample site have δ18O values of 7.2 and 7.4‰. The associated quartz (after tridymite) has δ18O values of 17.4 and 17.6‰, values indicative of reaction with later, low-temperature water. Unaltered tridymite from higher in the stratigraphic section has a δ18O of 11.1‰ which, when coupled with the garnet δ18O values in a quartz-garnet fractionation equation, indicates isotopic equilibration (vapour-phase crystallization) at temperatures of ~600°C. This high-temperature mineralization, formed during cooling of the tuffs, is distinct from the later and commonly recognized

  18. Composition and Morphology Control of Metal Dichalcogenides via Chemical Vapor Deposition for Photovoltaic and Nanoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Samad, Leith L. J.

    The body of work reviewed here encompasses a variety of metal dichalcogenides all synthesized using chemical vapor deposition (CVD) for solar and electronics applications. The first reported phase-pure CVD synthesis of iron pyrite thin films is presented with detailed structural and electrochemical analysis. The phase-pure thin film and improved crystal growth on a metallic backing material represents one of the best options for potential solar applications using iron pyrite. Large tin-sulfur-selenide solid solution plates with tunable bandgaps were also synthesized via CVD as single-crystals with a thin film geometry. Solid solution tin-sulfur-selenide plates were demonstrated to be a new material for solar cells with the first observed solar conversion efficiencies up to 3.1%. Finally, a low temperature molybdenum disulfide vertical heterostructure CVD synthesis with layered controlled growth was achieved with preferential growth enabled by Van der Waals epitaxy. Through recognition of additional reaction parameters, a fully regulated CVD synthesis enabled the controlled growth of 1-6 molybdenum disulfide monolayers for nanoelectronic applications. The improvements in synthesis and materials presented here were all enabled by the control afforded by CVD such that advances in phase purity, growth, and composition control of several metal dichalcogenides were achieved. Further work will be able to take full advantage of these advances for future solar and electronics technologies.

  19. CVD diamond pixel detectors for LHC experiments

    NASA Astrophysics Data System (ADS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A. M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J. C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.; RD42 Collaboration

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  20. The long term financial impacts of CVD: living standards in retirement.

    PubMed

    Schofield, Deborah; Kelly, Simon; Shrestha, Rupendra; Passey, Megan; Callander, Emily; Percival, Richard

    2012-03-22

    Cardiovascular disease (CVD) has significant economic costs, however these are generally estimated for the present-time and little consideration is given to the long term economic consequences. This study estimates the value of savings those who retire early due to CVD will have accumulated by the time they reach the traditional retirement age of 65 years, and how much lower the value of these savings are compared to those who remained healthy and in the workforce. Using Health&WealthMOD - a microsimulation model of Australians aged 45 to 64 years, regression models were used to analyse the differences between the projected savings and the retirement incomes of people by the time they reach age 65 for those currently working with no chronic condition, and people not in the labour force due to CVD. Over 99% of individuals who are employed full-time will have accumulated some savings at age 65; whereas only 77% of those who are out of the labour force due to CVD will have done so. Those who retire early due to CVD will have a median value of total savings by the time they are 65 of $1833. This is far lower than the expected median value of savings for those who remained in the labour force full-time, who will have $281841 of savings. Not only will early retirement due to cardiovascular disease limit the immediate income and wealth available to individuals, but also reduce their long term financial capacity by reducing their savings. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  1. Prevalence of undiagnosed cardiovascular risk factors and 10-year CVD risk in male steel industry workers.

    PubMed

    Gray, Benjamin J; Bracken, Richard M; Turner, Daniel; Morgan, Kerry; Mellalieu, Stephen D; Thomas, Michael; Williams, Sally P; Williams, Meurig; Rice, Sam; Stephens, Jeffrey W

    2014-05-01

    To assess the prevalence of undiagnosed cardiovascular disease (CVD) in a cohort of male steelworkers in South Wales, UK. Male steel industry workers (n = 221) with no prior diagnosis of CVD or diabetes accepted a CVD risk assessment within the work environment. Demographic, anthropometric, family, and medical histories were all recorded and capillary blood samples obtained. The 10-year CVD risk was predicted using the QRISK2-2012 algorithm. Up to 81.5% of workers were either overweight or obese. More than 20% of workers were found to have diastolic hypertension, high total cholesterol, and/or a total cholesterol/high-density lipoprotein ratio of six or more. Over one quarter of workers assessed had an increased 10-year CVD risk. Despite a physically demanding occupation, risk assessment in the workplace uncovered significant occult factors in CVD risk in a sample of male heavy industry workers.

  2. Intercomparison of atmospheric water vapour measurements at a Canadian High Arctic site

    NASA Astrophysics Data System (ADS)

    Weaver, Dan; Strong, Kimberly; Schneider, Matthias; Rowe, Penny M.; Sioris, Chris; Walker, Kaley A.; Mariani, Zen; Uttal, Taneil; McElroy, C. Thomas; Vömel, Holger; Spassiani, Alessio; Drummond, James R.

    2017-08-01

    Water vapour is a critical component of the Earth system. Techniques to acquire and improve measurements of atmospheric water vapour and its isotopes are under active development. This work presents a detailed intercomparison of water vapour total column measurements taken between 2006 and 2014 at a Canadian High Arctic research site (Eureka, Nunavut). Instruments include radiosondes, sun photometers, a microwave radiometer, and emission and solar absorption Fourier transform infrared (FTIR) spectrometers. Close agreement is observed between all combination of datasets, with mean differences ≤ 1.0 kg m-2 and correlation coefficients ≥ 0.98. The one exception in the observed high correlation is the comparison between the microwave radiometer and a radiosonde product, which had a correlation coefficient of 0.92.A variety of biases affecting Eureka instruments are revealed and discussed. A subset of Eureka radiosonde measurements was processed by the Global Climate Observing System (GCOS) Reference Upper Air Network (GRUAN) for this study. Comparisons reveal a small dry bias in the standard radiosonde measurement water vapour total columns of approximately 4 %. A recently produced solar absorption FTIR spectrometer dataset resulting from the MUSICA (MUlti-platform remote Sensing of Isotopologues for investigating the Cycle of Atmospheric water) retrieval technique is shown to offer accurate measurements of water vapour total columns (e.g. average agreement within -5.2 % of GRUAN and -6.5 % of a co-located emission FTIR spectrometer). However, comparisons show a small wet bias of approximately 6 % at the high-latitude Eureka site. In addition, a new dataset derived from Atmospheric Emitted Radiance Interferometer (AERI) measurements is shown to provide accurate water vapour measurements (e.g. average agreement was within 4 % of GRUAN), which usefully enables measurements to be taken during day and night (especially valuable during polar night).

  3. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    NASA Astrophysics Data System (ADS)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  4. Deposition of radon progeny on skin surfaces and resulting radiation doses in radon therapy.

    PubMed

    Tempfer, H; Hofmann, W; Schober, A; Lettner, H; Dinu, A L

    2010-05-01

    In the Gastein valley, Austria, radon-rich thermal water and air have been used for decades for the treatment of various diseases. To explore the exposure pathway of radon progeny adsorbed to the skin, progeny activities on the skin of patients exposed to thermal water (in a bathtub) and hot vapour (in a vapour chamber) were measured by alpha spectrometry. Average total alpha activities on the patients' skin varied from 1.2 to 4.1 Bq/cm(2) in the bathtub, and from 1.1 to 2.6 Bq/cm(2) in the vapour bath. Water pH-value and ion concentration did affect radon progeny adsorption on the skin, whereas skin greasiness and blood circulation did not. Measurements of the penetration of deposited radon progeny into the skin revealed a roughly exponential activity distribution in the upper layers of the skin. Based on the radon progeny surface activity concentrations and their depth distributions, equivalent doses to different layers of the skin, in particular to the Langerhans cells located in the epidermis, ranged from 0.12 mSv in the thermal bath to 0.33 mSv in the vapour bath, exceeding equivalent doses to the inner organs (kidneys) by inhaled radon and progeny by about a factor 3, except for the lung, which receives the highest doses via inhalation. These results suggest that radon progeny attachment on skin surfaces may play a major role in the dosimetry for both thermal water and hot vapour treatment schemes.

  5. The Droplets Condensate Centering in the Vapour Channel of Short Low Temperature Heat Pipes at High Heat Loads

    NASA Astrophysics Data System (ADS)

    Seryakov, A. V.; Shakshin, S. L.; Alekseev, A. P.

    2017-11-01

    The results of experimental studies of the process of condensate microdroplets centering contained in the moving moist vapour in the vapour channel of short heat pipes (HPs) for large thermal loads are presented. A vapour channel formed by capillary-porous insert in the form of the inner Laval-liked nozzle along the entire length of the HP. In the upper cover forming a condensation surface in the HP, on the diametrical line are installed capacitive sensors, forming three capacitors located at different distances from the longitudinal axis of the vapour channel. With increasing heat load and the boil beginning in the evaporator a large amount of moist vapour in the vapour channel of HP occur the pressure pulsation with frequency of 400-500 Hz and amplitude up to 1·104Pa. These pulsations affect the moving of the inertial droplets subsystem of the vapour and due to the heterogeneity of the velocity profile around the particle flow in the vapour channel at the diameter of microdroplets occurs transverse force, called the Saffman force and shear microdroplets to the center of vapour channel. Using installed in the top cover capacitors we can record the radial displacement of the condensable microdroplets.

  6. Alcohol vapours sensor based on thin polyaniline salt film and quartz crystal microbalance.

    PubMed

    Ayad, Mohamad M; Torad, Nagy L

    2009-06-15

    A sensor based on the quartz crystal microbalance (QCM) technique was developed for detection of a number of primary aliphatic alcohols such as ethanol, methanol, 1-propanol, and 2-propanol vapours. Detection was based on a sensitive and a thin film of polyaniline, emeraldine salt (ES), coated the QCM electrode. The frequency shifts (Delta f) of the QCM were increased due to the vapour absorption into the ES film. The values of Delta f were found to be linearly correlated with the concentrations of alcohols vapour in mg L(-1). The changes in frequency are due to the hydrophilic character of the ES and the electrostatic interaction as well as the type of the alcohol. The sensor shows a good reproducibility and reversibility. The diffusion and diffusion coefficient (D) of different alcohols vapour were determined. It was found that the sensor follows Fickian kinetics.

  7. COMPARATIVE EVALUATION OF RISK FACTORS FOR CARDIOVASCULAR DISEASE (CVD) IN GENETICALLY PREDISPOSED RATS

    EPA Science Inventory

    Rodent CVD models are increasingly used for understanding individual differences in susceptibility to environmental stressors such as air pollution. We characterized pathologies and a number of known human risk factors of CVD in genetically predisposed, male young adult Spontaneo...

  8. Saturated Vapour Pressure and Refrigeration - Part I

    ERIC Educational Resources Information Center

    Bunker, C. A.

    1973-01-01

    The first part of a two-part article describes an experimental approach that can be used in teaching the concept of saturated vapour pressure. This leads to a discussion of refrigeration cycles in the second part of the article. (JR)

  9. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  10. The role of NH3 and hydrocarbon mixtures in GaN pseudo-halide CVD: a quantum chemical study.

    PubMed

    Gadzhiev, Oleg B; Sennikov, Peter G; Petrov, Alexander I; Kachel, Krzysztof; Golka, Sebastian; Gogova, Daniela; Siche, Dietmar

    2014-11-01

    The prospects of a control for a novel gallium nitride pseudo-halide vapor phase epitaxy (PHVPE) with HCN were thoroughly analyzed for hydrocarbons-NH3-Ga gas phase on the basis of quantum chemical investigation with DFT (B3LYP, B3LYP with D3 empirical correction on dispersion interaction) and ab-initio (CASSCF, coupled clusters, and multireference configuration interaction including MRCI+Q) methods. The computational screening of reactions for different hydrocarbons (CH4, C2H6, C3H8, C2H4, and C2H2) as readily available carbon precursors for HCN formation, potential chemical transport agents, and for controlled carbon doping of deposited GaN was carried out with the B3LYP method in conjunction with basis sets up to aug-cc-pVTZ. The gas phase intermediates for the reactions in the Ga-hydrocarbon systems were predicted at different theory levels. The located π-complexes Ga…C2H2 and Ga…C2H4 were studied to determine a probable catalytic activity in reactions with NH3. A limited influence of the carbon-containing atmosphere was exhibited for the carbon doping of GaN crystal in the conventional GaN chemical vapor deposition (CVD) process with hydrocarbons injected in the gas phase. Our results provide a basis for experimental studies of GaN crystal growth with C2H4 and C2H2 as auxiliary carbon reagents for the Ga-NH3 and Ga-C-NH3 CVD systems and prerequisites for reactor design to enhance and control the PHVPE process through the HCN synthesis.

  11. Kinetic studies of BTEX vapour adsorption onto surfaces of calix-4-resorcinarene films

    NASA Astrophysics Data System (ADS)

    Hassan, A. K.; Ray, A. K.; Nabok, A. V.; Wilkop, T.

    2001-10-01

    The exposure of spun films of an amphiphilic calix-4-resorcinarene (C-4-RA) derivative to vapours of benzene, toluene, ethylbenzene, and m-xylene (BTEX) has produced a graded response, promising for the development of multisensor arrays. Fast and reversible adsorption of ethylbenzene was associated with changing the refractive index of the sensing layer and is believed to be due to the host-guest interaction between the cavitand C-4-RA molecules and the vapour molecules. Prolonged irradiation of the films with a focused laser beam has resulted in an initial increase of film sensitivity to the different organic vapours.

  12. Association of BMI with risk of CVD mortality and all-cause mortality.

    PubMed

    Kee, Chee Cheong; Sumarni, Mohd Ghazali; Lim, Kuang Hock; Selvarajah, Sharmini; Haniff, Jamaiyah; Tee, Guat Hiong Helen; Gurpreet, Kaur; Faudzi, Yusoff Ahmad; Amal, Nasir Mustafa

    2017-05-01

    To determine the relationship between BMI and risk of CVD mortality and all-cause mortality among Malaysian adults. Population-based, retrospective cohort study. Participants were followed up for 5 years from 2006 to 2010. Mortality data were obtained via record linkages with the Malaysian National Registration Department. Multiple Cox regression was applied to compare risk of CVD and all-cause mortality between BMI categories adjusting for age, gender and ethnicity. Models were generated for all participants, all participants the first 2 years of follow-up, healthy participants, healthy never smokers, never smokers, current smokers and former smokers. All fourteen states in Malaysia. Malaysian adults (n 32 839) aged 18 years or above from the third National Health and Morbidity Survey. Total follow-up time was 153 814 person-years with 1035 deaths from all causes and 225 deaths from CVD. Underweight (BMI<18·5 kg/m2) was associated with a significantly increased risk of all-cause mortality, while obesity (BMI ≥30·0 kg/m2) was associated with a heightened risk of CVD mortality. Overweight (BMI=25·0-29·9 kg/m2) was inversely associated with risk of all-cause mortality. Underweight was significantly associated with all-cause mortality in all models except for current smokers. Overweight was inversely associated with all-cause mortality in all participants. Although a positive trend was observed between BMI and CVD mortality in all participants, a significant association was observed only for severe obesity (BMI≥35·0 kg/m2). Underweight was associated with increased risk of all-cause mortality and obesity with increased risk of CVD mortality. Therefore, maintaining a normal BMI through leading an active lifestyle and healthy dietary habits should continue to be promoted.

  13. 3D-printed poly(vinylidene fluoride)/carbon nanotube composites as a tunable, low-cost chemical vapour sensing platform

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kennedy, Z. C.; Christ, J. F.; Evans, K. A.

    We report the production of flexible, highly-conductive poly(vinylidene flouride) (PVDF) and multi-walled carbon nanotube (MWCNT) composites as filament feedstock for 3D-printing. This account further describes, for the first-time, fused deposition modelling (FDM) derived 3D-printed objects with chemiresistive properties in response to volatile organic compounds. The typically prohibitive thermal expansion and die swell characteristics of PVDF were minimized by the presence of MWCNTs in the composites enabling straightforward processing and printing. The nanotubes form a dispersed network as characterized by helium ion microscopy, contributing to excellent conductivity (1 x 10-2 S / cm). The printed composites contain little residual metal particulatemore » relative to parts from commercial PLA-nanocomposite material visualized by micro X-ray computed tomography (μ-CT) and corroborated with thermogravimetric analysis. Printed sensing strips, with MWCNT loadings up to 15 % mass, function as reversible vapour sensors with the strongest responses arising with organic compounds capable of readily intercalating, and subsequently swelling the PVDF matrix (acetone and ethyl acetate). A direct correlation between MWCNT concentration and resistance change was also observed, with larger responses (up to 161 % after 3 minutes) generated with decreased MWCNT loadings. These findings highlight the utility of FDM printing in generating low-cost sensors that respond strongly and reproducibly to target vapours. Furthermore, the sensors can be easily printed in different geometries, expanding their utility to wearable form factors. The proposed formulation strategy may be tailored to sense diverse sets of vapour classes through structural modification of the polymer backbone and/or functionalization of the nanotubes within the composite.« less

  14. FAST TRACK COMMUNICATION Understanding adhesion at as-deposited interfaces from ab initio thermodynamics of deposition growth: thin-film alumina on titanium carbide

    NASA Astrophysics Data System (ADS)

    Rohrer, Jochen; Hyldgaard, Per

    2010-12-01

    We investigate the chemical composition and adhesion of chemical vapour deposited thin-film alumina on TiC using and extending a recently proposed nonequilibrium method of ab initio thermodynamics of deposition growth (AIT-DG) (Rohrer and Hyldgaard 2010 Phys. Rev. B 82 045415). A previous study of this system (Rohrer et al 2010 J. Phys.: Condens. Matter 22 015004) found that use of equilibrium thermodynamics leads to predictions of a non-binding TiC/alumina interface, despite its industrial use as a wear-resistant coating. This discrepancy between equilibrium theory and experiment is resolved by the AIT-DG method which predicts interfaces with strong adhesion. The AIT-DG method combines density functional theory calculations, rate-equation modelling of the pressure evolution of the deposition environment and thermochemical data. The AIT-DG method was previously used to predict prevalent terminations of growing or as-deposited surfaces of binary materials. Here we extend the method to predict surface and interface compositions of growing or as-deposited thin films on a substrate and find that inclusion of the nonequilibrium deposition environment has important implications for the nature of buried interfaces.

  15. Coffee consumption is not associated with prevalent subclinical cardiovascular disease (CVD) or the risk of CVD events, in nonalcoholic fatty liver disease: results from the multi-ethnic study of atherosclerosis.

    PubMed

    Simon, Tracey G; Trejo, Maria Esther Perez; Zeb, Irfan; Frazier-Wood, Alexis C; McClelland, Robyn L; Chung, Raymond T; Budoff, Matthew J

    2017-10-01

    Atherosclerosis and its clinical sequelae represent the leading cause of mortality among patients with nonalcoholic fatty liver disease (NAFLD). While epidemiologic data support the hepatoprotective benefits of coffee in NAFLD, whether coffee improves NAFLD-associated CVD risk is unknown. We examined 3710 ethnically-diverse participants from the Multi-Ethnic Study of Atherosclerosis (MESA) cohort, without history of known liver disease, and with available coffee data from a validated 120-item food frequency questionnaire. All participants underwent baseline non-contrast cardiac CT from which NAFLD was defined by liver:spleen ratio (L:S<1.0), and subclinical CVD was defined by coronary artery calcium (CAC)>0. Major CVD events were defined by the first occurrence of myocardial infarction, cardiac arrest, angina, stroke, or CVD death. We used log-binomial regression to calculate the adjusted prevalence ratio (PR) for CAC>0 by coffee intake and NAFLD status, and events were compared between groups using frequency of events within adjusted Cox proportional hazard regression models. Seventeen percent (N=637) of participants met criteria for NAFLD. NAFLD participants were more likely to have elevated BMI (mean 31.1±5.5kg/m 2 vs. 28.0±5.2kg/m 2 , p<0.0001), and diabetes (22% vs. 11%, p<0.0001), but did not differ in daily coffee consumption (p=0.97). Among NAFLD participants, coffee consumption was not associated with prevalent, baseline CAC>0 (PR=1.02 [0.98-1.07]). Over 12.8years of follow-up, 93 NAFLD and 415 non-NAFLD participants experienced a CV event. However, coffee intake was not associated with incident CVD events, in either NAFLD (HR=1.05 [0.91-1.21]) or non-NAFLD participants (HR=1.03 [0.97-1.11]). In a large, population-based cohort, coffee consumption was not associated with the prevalence of subclinical CVD, nor did coffee impact the future risk of major CVD events, regardless of underlying NAFLD status. Copyright © 2017 Elsevier Inc. All rights reserved.

  16. Mapping eQTLs in the Norfolk Island Genetic Isolate Identifies Candidate Genes for CVD Risk Traits

    PubMed Central

    Benton, Miles C.; Lea, Rod A.; Macartney-Coxson, Donia; Carless, Melanie A.; Göring, Harald H.; Bellis, Claire; Hanna, Michelle; Eccles, David; Chambers, Geoffrey K.; Curran, Joanne E.; Harper, Jacquie L.; Blangero, John; Griffiths, Lyn R.

    2013-01-01

    Cardiovascular disease (CVD) affects millions of people worldwide and is influenced by numerous factors, including lifestyle and genetics. Expression quantitative trait loci (eQTLs) influence gene expression and are good candidates for CVD risk. Founder-effect pedigrees can provide additional power to map genes associated with disease risk. Therefore, we identified eQTLs in the genetic isolate of Norfolk Island (NI) and tested for associations between these and CVD risk factors. We measured genome-wide transcript levels of blood lymphocytes in 330 individuals and used pedigree-based heritability analysis to identify heritable transcripts. eQTLs were identified by genome-wide association testing of these transcripts. Testing for association between CVD risk factors (i.e., blood lipids, blood pressure, and body fat indices) and eQTLs revealed 1,712 heritable transcripts (p < 0.05) with heritability values ranging from 0.18 to 0.84. From these, we identified 200 cis-acting and 70 trans-acting eQTLs (p < 1.84 × 10−7) An eQTL-centric analysis of CVD risk traits revealed multiple associations, including 12 previously associated with CVD-related traits. Trait versus eQTL regression modeling identified four CVD risk candidates (NAAA, PAPSS1, NME1, and PRDX1), all of which have known biological roles in disease. In addition, we implicated several genes previously associated with CVD risk traits, including MTHFR and FN3KRP. We have successfully identified a panel of eQTLs in the NI pedigree and used this to implicate several genes in CVD risk. Future studies are required for further assessing the functional importance of these eQTLs and whether the findings here also relate to outbred populations. PMID:24314549

  17. Effect of age, gender, ethnicity, socioeconomic status and region on dispensing of CVD secondary prevention medication in New Zealand: the Atlas of Health Care Variation CVD cohort (VIEW-1).

    PubMed

    Kerr, Andrew; Exeter, Dan; Hanham, Grant; Grey, Corina; Zhao, Jinfeng; Riddell, Tania; Lee, Mildred; Jackson, Rod; Wells, Sue

    2014-08-15

    Triple therapy with anti-platelet/anti-coagulant, blood pressure (BP)-lowering, and statin medications improves outcomes in atherosclerotic cardiovascular disease (CVD). However, in practice there is often a substantial evidence-practice gap, with sub-optimal initiation and longer-term adherence. Our aim was to enumerate a contemporary national cohort of people with significant CVD and report the variation in CVD secondary prevention dispensing by demographic variables. Using anonymised linkage of national data sets, we identified 86,256 individuals, alive and residing in New Zealand at the end of 2010, aged 30-79 years who were hospitalised for an atherosclerotic CVD event or procedure in the previous10 years. This cohort was linked to the national pharmaceutical dispensing dataset to assess dispensing of CVD prevention medications during the 2011 calendar year. Adequate dispensing was defined as being dispensed a drug in at least 3 of the 4 quarters of the year. Multivariate regression was used to identify independent predictors of adequate dispensing. 59% were maintained on triple therapy, 77% on BP-lowering medication, 75% on anti-platelet/anti-coagulants and 70% on statins. From multivariate analysis, patients less than 50 years were about 20% less likely than older patients and women were 10% less likely than men to be maintained on triple therapy. Indian patients were about 10% more likely to be maintained on triple therapy than NZ European/Others. Those living in the Southern Cardiac Network region of New Zealand had slightly higher rates of triple therapy than National Cardiac Regions further north. The significant under-utilisation of safe and inexpensive secondary prevention medication, particularly in younger people and women, provides an opportunity to improve CVD outcomes in this easily identifiable high-risk population.

  18. Minimizing artifact formation in magnetorheological finishing of chemical vapor deposition ZnS flats.

    PubMed

    Kozhinova, Irina A; Romanofsky, Henry J; Maltsev, Alexander; Jacobs, Stephen D; Kordonski, William I; Gorodkin, Sergei R

    2005-08-01

    The polishing performance of magnetorheological (MR) fluids prepared with a variety of magnetic and nonmagnetic ingredients was studied on four types of initial surface for chemical vapor deposition (CVD) ZnS flats from domestic and foreign sources. The results showed that it was possible to greatly improve smoothing performance of magnetorheological finishing (MRF) by altering the fluid composition, with the best results obtained for nanoalumina abrasive used with soft carbonyl iron and altered MR fluid chemistry. Surface roughness did not exceed 20 nm peak to valley and 2 nm rms after removal of 2 microm of material. The formation of orange peel and the exposure of a pebblelike structure inherent in ZnS from the CVD process were suppressed.

  19. Correlation of CVD Diamond Electron Emission with Film Properties

    NASA Astrophysics Data System (ADS)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  20. Deposition of tantalum carbide coatings on graphite by laser interactions

    NASA Technical Reports Server (NTRS)

    Veligdan, James; Branch, D.; Vanier, P. E.; Barietta, R. E.

    1994-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing involved the use of a CO2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl5 gas near the substrate. The results of preliminary experiments using these techniques are described.

  1. Gallium hydride complexes stabilised by multidentate alkoxide ligands: precursors to thin films of Ga2O3 at low temperatures.

    PubMed

    Pugh, David; Bloor, Leanne G; Parkin, Ivan P; Carmalt, Claire J

    2012-05-07

    The donor-functionalised alkoxides {Me(3-x)N(CH(2)CH(2)O)(x)} (L(x); x = 1, 2) have been used to form gallium hydride complexes [{GaH(2)(L(1))}(2)] and [{GaH(L(2))}(2)] that are stable and isolable at room temperature. Along with a heteroleptic gallium tris(alkoxide) complex [Ga(L(1))(3)] and the dimeric complex [{GaMe(L(2))}(2)], these compounds have been used as single-source precursors for the deposition of Ga(2)O(3) by aerosol-assisted chemical vapour deposition (AACVD) with toluene as solvent. The resulting films were mostly transparent, indicating low levels of carbon contamination, and they were also mainly amorphous. However, [Ga(L(1))(3)] did contain visibly crystalline material deposited at a substrate temperature of 450 °C, by far the lowest ever observed for the CVD of gallium oxide. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Movers and stayers: The geography of residential mobility and CVD hospitalisations in Auckland, New Zealand.

    PubMed

    Exeter, Daniel J; Sabel, Clive E; Hanham, Grant; Lee, Arier C; Wells, Susan

    2015-05-01

    The association between area-level disadvantage and health and social outcomes is unequivocal. However, less is known about the health impact of residential mobility, particularly at intra-urban scales. We used an encrypted National Health Index (eNHI) number to link individual-level data recorded in routine national health databases to construct a cohort of 641,532 participants aged 30+ years to investigate the association between moving and CVD hospitalisations in Auckland, New Zealand. Residential mobility was measured for participants according to changes in the census Meshblock of usual residence, obtained from the Primary Health Organisation (PHO) database for every calendar quarter between 1/1/2006 and 31/12/2012. The NZDep2006 area deprivation score at the start and end of a participant's inclusion in the study was used to measure deprivation mobility. We investigated the relative risk of movers being hospitalised for CVD relative to stayers using multi-variable binomial regression models, controlling for age, gender, deprivation and ethnicity. Considered together, movers were 1.22 (1.19-1.26) times more likely than stayers to be hospitalised for CVD. Using the 5×5 deprivation origin-destination matrix to model a patient's risk of CVD based on upward, downward or sideways deprivation mobility, movers within the least deprived (NZDep2006 Quintile 1) areas were 10% less likely than stayers to be hospitalised for CVD, while movers within the most deprived (NZDep2006 Q5) areas were 45% more likely than stayers to have had their first CVD hospitalisation in 2006-2012 (RR: 1.45 [1.35-1.55]). Participants who moved upward also had higher relative risks of having a CVD event, although their risk was less than those observed for participants experiencing downward deprivation mobility. This research suggests that residential mobility is an important determinant of CVD in Auckland. Further investigation is required to determine the impact moving has on the risk of

  3. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  4. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  5. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  6. Exposure to oil mist and oil vapour during offshore drilling in norway, 1979-2004.

    PubMed

    Steinsvåg, Kjersti; Bråtveit, Magne; Moen, Bente E

    2006-03-01

    To describe personal exposure to airborne hydrocarbon contaminants (oil mist and oil vapour) from 1979 to 2004 in the mud-handling areas of offshore drilling facilities operating on the Norwegian continental shelf when drilling with oil-based muds. Qualitative and quantitative information was gathered during visits to companies involved in offshore oil and gas production in Norway. Monitoring reports on oil mist and oil vapour exposure covered 37 drilling facilities. Exposure data were analysed using descriptive statistics and by constructing linear mixed-effects models. Samples had been taken during the use of three generations of hydrocarbon base oils, namely diesel oils (1979-1984), low-aromatic mineral oils (1985-1997) and non-aromatic mineral oils (1998-2004). Sampling done before 1984 showed high exposure to diesel vapour (arithmetic mean, AM = 1217 mg m(-3)). When low-aromatic mineral oils were used, the exposure to oil mist and oil vapour was 4.3 and 36 mg m(-3), and the respective AMs for non-aromatic mineral oils were reduced to 0.54 and 16 mg m(-3). Downward time trends were indicated for both oil mist (6% per year) and oil vapour (8% per year) when the year of monitoring was introduced as a fixed effect in a linear mixed-effects model analysis. Rig type, technical control measures and mud temperature significantly determined exposure to oil mist. Rig type, type of base oil, viscosity of the base oil, work area, mud temperature and season significantly determined exposure to oil vapour. Major decreases in variability were found for the between-rig components. Exposure to oil mist and oil vapour declined over time in the mud-handling areas of offshore drilling facilities. Exposure levels were associated with rig type, mud temperature, technical control measures, base oil, viscosity of the base oil, work area and season.

  7. In-vitro and in-vivo anti-Trichophyton activity of essential oils by vapour contact.

    PubMed

    Inouye, S; Uchida, K; Yamaguchi, H

    2001-05-01

    The minimum inhibitory doses (MIDs) of essential oils by vapour contact to inhibit the growth of Trichophyton mentagrophytes and Trichophyton rubrum on agar medium were determined using airtight boxes. Among seven essential oils examined, cinnamon bark oil showed the least MID, followed by lemongrass, thyme and perilla oils. Lavender and tea tree oils showed moderate MID, and citron oil showed the highest MID, being 320 times higher than that of cinnamon bark oil. The MID values were less than the minimum inhibitory concentration (MIC) values determined by agar dilution assay. Furthermore, the minimum agar concentration (MAC) of essential oils absorbed from vapour was determined at the time of MID determination as the second antifungal measure. The MAC value by vapour contact was 1.4 to 4.7 times less than the MAC remaining in the agar at the time of MIC determination by agar dilution assay. Using selected essential oils, the anti-Trichophyton activity by vapour contact was examined in more detail. Lemongrass, thyme and perilla oils killed the conidia, inhibited germination and hyphal elongation at 1-4 micrograms ml-1 air, whereas lavender oil was effective at 40-160 micrograms ml-1 air. The in-vivo efficacy of thyme and perilla oils by vapour contact was shown against an experimental tinea pedis in guinea pigs infected with T. mentagrophytes. These results indicated potent anti-Trichophyton action of essential oils by vapour contact.

  8. The effect of perfluorocarbon vapour on the measurement of respiratory tidal volume during partial liquid ventilation.

    PubMed

    Davies, M W; Dunster, K R

    2000-08-01

    During partial liquid ventilation perfluorocarbon vapour is present in the exhaled gases. The volumes of these gases are measured by pneumotachometers. Error in measuring tidal volumes will give erroneous measurement of lung compliance during partial liquid ventilation. We aim to compare measured tidal volumes with and without perfluorocarbon vapour using tidal volumes suitable for use in neonates. Tidal volumes were produced with a 100 ml calibration syringe from 20 to 100 ml and with a calibrated Harvard rodent ventilator from 2.5 to 20 ml. Control tidal volumes were drawn from a humidifier chamber containing water vapour and the PFC tidal volumes were drawn from a humidifier chamber containing water and perfluorocarbon (FC-77) vapour. Tidal volumes were measured by a fixed orifice, target, differential pressure flowmeter (VenTrak) or a hot-wire anenometer (Bear Cub) placed between the calibration syringe or ventilator and the humidifier chamber. All tidal volumes measured with perfluorocarbon vapour were increased compared with control (ANOVA p < 0.001 and post t-test p < 0.0001). Measured tidal volume increased from 7 to 16% with the fixed orifice type flow-meter, and from 35 to 41% with the hot-wire type. In conclusion, perfluorocarbon vapour flowing through pneumotachometers gives falsely high tidal volume measurements. Calculation of lung compliance must take into account the effect of perfluorocarbon vapour on the measurement of tidal volume.

  9. Predictors of CVD among breast cancer survivors in an integrated health system | Division of Cancer Prevention

    Cancer.gov

    PROJECT SUMMARY / ABSTRACT Breast cancer survivors are at high risk of developing and dying from cardiovascular disease (CVD) following breast cancer diagnosis, but subpopulations at increased risk and targets for intervention have not been well- characterized. A growing body of literature links CVD with specific cardiotoxic cancer treatments. CVD risk among breast cancer

  10. GPS water vapour tomography: preliminary results from the ESCOMPTE field experiment

    NASA Astrophysics Data System (ADS)

    Champollion, C.; Masson, F.; Bouin, M.-N.; Walpersdorf, A.; Doerflinger, E.; Bock, O.; Van Baelen, J.

    2005-03-01

    Water vapour plays a major role in atmospheric processes but remains difficult to quantify due to its high variability in time and space and the sparse set of available measurements. The GPS has proved its capacity to measure the integrated water vapour at zenith with the same accuracy as other methods. Recent studies show that it is possible to quantify the integrated water vapour in the line of sight of the GPS satellite. These observations can be used to study the 3D heterogeneity of the troposphere using tomographic techniques. We develop three-dimensional tomographic software to model the three-dimensional distribution of the tropospheric water vapour from GPS data. First, the tomographic software is validated by simulations based on the realistic ESCOMPTE GPS network configuration. Without a priori information, the absolute value of water vapour is less resolved as opposed to relative horizontal variations. During the ESCOMPTE field experiment, a dense network of 17 dual frequency GPS receivers was operated for 2 weeks within a 20×20-km area around Marseille (southern France). The network extends from sea level to the top of the Etoile chain (˜700 m high). Optimal results have been obtained with time windows of 30-min intervals and input data evaluation every 15 min. The optimal grid for the ESCOMTE geometrical configuration has a horizontal step size of 0.05°×0.05° and 500 m vertical step size. Second, we have compared the results of real data inversions with independent observations. Three inversions have been compared to three successive radiosonde launches and shown to be consistent. A good resolution compared to the a priori information is obtained up to heights of 3000 m. A humidity spike at 4000-m altitude remains unresolved. The reason is probably that the signal is spread homogeneously over the whole network and that such a feature is not resolvable by tomographic techniques. The results of our pure GPS inversion show a correlation with

  11. Performance of irradiated CVD diamond micro-strip sensors

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  12. Dew-point measurements at high water vapour pressure

    NASA Astrophysics Data System (ADS)

    Lomperski, S.; Dreier, J.

    1996-05-01

    A dew-point meter capable of measuring humidity at high vapour pressure and high temperature has been constructed and tested. Humidity measurements in pure steam were made over the temperature range 100 - 1500957-0233/7/5/003/img1C and a vapour pressure range of 1 - 4 bar. The dew-point meter performance was assessed by comparing measurements with a pressure transmitter and agreement between the two was within 0957-0233/7/5/003/img2% relative humidity. Humidity measurements in steam - air mixtures were also made and the dew-point meter readings were compared to those of a zirconia oxygen sensor. For these tests the dew-point meter readings were generally within 0957-0233/7/5/003/img2% relative humidity of the oxygen sensor measurements.

  13. D.C. Arcjet Diamond Deposition

    NASA Astrophysics Data System (ADS)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  14. Modeling chemical vapor deposition of silicon dioxide in microreactors at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Konakov, S. A.; Krzhizhanovskaya, V. V.

    2015-01-01

    We developed a multiphysics mathematical model for simulation of silicon dioxide Chemical Vapor Deposition (CVD) from tetraethyl orthosilicate (TEOS) and oxygen mixture in a microreactor at atmospheric pressure. Microfluidics is a promising technology with numerous applications in chemical synthesis due to its high heat and mass transfer efficiency and well-controlled flow parameters. Experimental studies of CVD microreactor technology are slow and expensive. Analytical solution of the governing equations is impossible due to the complexity of intertwined non-linear physical and chemical processes. Computer simulation is the most effective tool for design and optimization of microreactors. Our computational fluid dynamics model employs mass, momentum and energy balance equations for a laminar transient flow of a chemically reacting gas mixture at low Reynolds number. Simulation results show the influence of microreactor configuration and process parameters on SiO2 deposition rate and uniformity. We simulated three microreactors with the central channel diameter of 5, 10, 20 micrometers, varying gas flow rate in the range of 5-100 microliters per hour and temperature in the range of 300-800 °C. For each microchannel diameter we found an optimal set of process parameters providing the best quality of deposited material. The model will be used for optimization of the microreactor configuration and technological parameters to facilitate the experimental stage of this research.

  15. Oil mist and vapour concentrations from drilling fluids: inter- and intra-laboratory comparison of chemical analyses.

    PubMed

    Galea, Karen S; Searl, Alison; Sánchez-Jiménez, Araceli; Woldbæk, Torill; Halgard, Kristin; Thorud, Syvert; Steinsvåg, Kjersti; Krüger, Kirsti; Maccalman, Laura; Cherrie, John W; van Tongeren, Martie

    2012-01-01

    There are no recognized analytical methods for measuring oil mist and vapours arising from drilling fluids used in offshore petroleum drilling industry. To inform the future development of improved methods of analysis for oil mist and vapours this study assessed the inter- and intra-laboratory variability in oil mist and vapour analysis. In addition, sample losses during transportation and storage were assessed. Replicate samples for oil mist and vapour were collected using the 37-mm Millipore closed cassette and charcoal tube assembly. Sampling was conducted in a simulated shale shaker room, similar to that found offshore for processing drilling fluids. Samples were analysed at two different laboratories, one in Norway and one in the UK. Oil mist samples were analysed using Fourier transform infrared spectroscopy (FTIR), while oil vapour samples were analysed by gas chromatography (GC). The comparison of replicate samples showed substantial within- and between-laboratory variability in reported oil mist concentrations. The variability in oil vapour results was considerably reduced compared to oil mist, provided that a common method of calibration and quantification was adopted. The study also showed that losses can occur during transportation and storage of samples. There is a need to develop a harmonized method for the quantification of oil mist on filter and oil vapour on charcoal supported by a suitable proficiency testing scheme for laboratories involved in the analysis of occupational hygiene samples for the petroleum industry. The uncertainties in oil mist and vapour measurement have substantial implications in relation to compliance with occupational exposure limits and also in the reliability of any exposure-response information reported in epidemiological studies.

  16. Effect of microstructure on the corrosion of CVD-SiC exposed to supercritical water

    NASA Astrophysics Data System (ADS)

    Tan, L.; Allen, T. R.; Barringer, E.

    2009-10-01

    Silicon carbide (SiC) is an important engineering material being studied for potential use in multiple nuclear energy systems including high-temperature gas-cooled reactors and water-cooled reactors. The corrosion behavior of SiC exposed to supercritical water (SCW) is critical for examining its applications in nuclear reactors. Although the hydrothermal corrosion of SiC has been the subject of many investigations, the study on the microstructural effects on the corrosion is limited. This paper presents the effect of residual strain, grain size, grain boundary types, and surface orientations on the corrosion of chemical vapor deposited (CVD) β-SiC exposed to SCW at 500 °C and 25 MPa. Weight loss occurred on all the samples due to localized corrosion. Residual strains associated with small grains showed the most significant effect on the corrosion compared to the other factors.

  17. A chemically stable PVD multilayer encapsulation for lithium microbatteries

    NASA Astrophysics Data System (ADS)

    Ribeiro, J. F.; Sousa, R.; Cunha, D. J.; Vieira, E. M. F.; Silva, M. M.; Dupont, L.; Goncalves, L. M.

    2015-10-01

    A multilayer physical vapour deposition (PVD) thin-film encapsulation method for lithium microbatteries is presented. Lithium microbatteries with a lithium cobalt oxide (LiCoO2) cathode, a lithium phosphorous oxynitride (LiPON) electrolyte and a metallic lithium anode are under development, using PVD deposition techniques. Metallic lithium film is still the most common anode on this battery technology; however, it presents a huge challenge in terms of material encapsulation (lithium reacts with almost any materials deposited on top and almost instantly begins oxidizing in contact with atmosphere). To prove the encapsulation concept and perform all the experiments, lithium films were deposited by thermal evaporation technique on top of a glass substrate, with previously patterned Al/Ti contacts. Three distinct materials, in a multilayer combination, were tested to prevent lithium from reacting with protection materials and atmosphere. These multilayer films were deposited by RF sputtering and were composed of lithium phosphorous oxide (LiPO), LiPON and silicon nitride (Si3N4). To complete the long-term encapsulation after breaking the vacuum, an epoxy was applied on top of the PVD multilayer. In order to evaluate oxidation state of lithium films, the lithium resistance was measured in a four probe setup (cancelling wires/contact resistances) and resistivity calculated, considering physical dimensions. A lithium resistivity of 0.16 Ω μm was maintained for more than a week. This PVD multilayer exonerates the use of chemical vapour deposition (CVD), glove-box chambers and sample manipulation between them, significantly reducing the fabrication cost, since battery and its encapsulation are fabricated in the same PVD chamber.

  18. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  19. The vapour of imidazolium-based ionic liquids: a mass spectrometry study.

    PubMed

    Deyko, A; Lovelock, K R J; Licence, P; Jones, R G

    2011-10-06

    Eight common dialkylimidazolium-based ionic liquids have been successfully evaporated in ultra-high vacuum and their vapours analysed by line of sight mass spectrometry using electron ionisation. The ionic liquids investigated were 1-alkyl-3-methylimidazolium bis[(trifluoromethane)sulfonyl]imide, [C(n)C(1)Im][Tf(2)N] (where n = 2, 4, 6, 8), 1-alkyl-3-methylimidazolium tetrafluoroborate, [C(n)C(1)Im][BF(4)] (where n = 4, 8), 1-butyl-3-methylimidazolium octylsulfate, [C(4)C(1)Im][C(8)OSO(3)] and 1-butyl-3-methylimidazolium tetrachloroferrate, [C(4)C(1)Im][FeCl(4)]. All ionic liquids studied here evaporated as neutral ion pairs; no evidence of decomposition products in the vapour phase were observed. Key fragment cations of the ionised vapour of the ionic liquids are identified. The appearance energies, E(app), of the parent cation were measured and used to estimate the ionisation energies, E(i), for the vapour phase neutral ion pairs. Measured ionisation energies ranged from 10.5 eV to 13.0 eV. Using both the identity and E(app) values, the fragmentation pathways for a number of fragment cations are postulated. It will be shown that the enthalpy of vaporisation, Δ(vap)H, can successfully be measured using more than one fragment cation, although caution is required as many fragment cations can also be formed by ionisation of decomposition products.

  20. THE RELATIONSHIP BETWEEN OZONE-INDUCED LUNG INJURY, ANTIOXIDANT COMPENSATION AND UNDERLYING CARDIOVASCULAR DISEASE (CVD).

    EPA Science Inventory

    Increased levels of oxidants and compromised compensatory response are associated with CVD susceptibility. We hypothesized that rat strains demonstrating genetic CVD will have lower levels of antioxidants and greater ozone-induced pulmonary injury relative to healthy strains. Mal...

  1. Ultrashort pulse laser deposition of thin films

    DOEpatents

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  2. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  3. Labour force participation and the influence of having CVD on income poverty of older workers.

    PubMed

    Schofield, Deborah J; Callander, Emily J; Shrestha, Rupendra N; Percival, Richard; Kelly, Simon J; Passey, Megan E

    2012-04-05

    In addition to being the leading cause of death, cardiovascular disease (CVD) also impacts upon the ability of individuals to function normally in everyday activities, which is likely to affect individuals' employment. This paper will quantify the relationship between labour force participation, CVD and being in poverty. The 2003 Survey of Disability, Ageing and Carers (SDAC) data were used to assess the impact of having CVD on being in poverty amongst the older working aged (aged 45 to 64) population in Australia. Those not in the labour force with no chronic health condition are 93% less likely to be in poverty than those not in the labour force due to CVD (OR 0.07, 95%CI: 0.07-0.07, p<.0001). The likelihood of being in poverty varies with labour force status for those with CVD: those who were either in full time (OR 0.04, 95% CI: 0.04-0.05, p<.0001) or part time (OR 0.19, 95% CI: 0.18-0.19) employment are significantly less likely to be in poverty than those who have had to retire because of the condition. The efforts to increase the labour force participation of individuals with CVD, or ideally prevent the onset of the condition will likely improve their living standards. This study has shown that having CVD and not being in the labour force because of the condition drastically increases the chances of living in poverty. Copyright © 2011 Elsevier Ireland Ltd. All rights reserved.

  4. Salivary Biomarkers of Chronic Psychosocial Stress and CVD Risks: A Systematic Review.

    PubMed

    An, Kyungeh; Salyer, Jeanne; Brown, Roy E; Kao, Hsueh-Fen Sabrina; Starkweather, Angela; Shim, Insop

    2016-05-01

    The use of salivary biomarkers in stress research is increasing, and the precision and accuracy with which researchers are able to measure these biomarkers have dramatically improved. Chronic psychosocial stress is often linked to the pathogenesis of cardiovascular disease (CVD). Salivary biomarkers represent a noninvasive biological method of characterizing the stress phenomenon that may help to more fully describe the mechanism by which stress contributes to the pathogenesis and outcomes of CVD. We conducted a systematic review of 40 research articles to identify the salivary biomarkers researchers have most commonly used to help describe the biological impact of chronic psychosocial stress and explore its associations with CVD risk. We address strengths and weaknesses of specimen collection and measurement. We used PubMed, CINAHL, EBSCOhost, Web of Science, BIOSIS Previews, Biological Sciences (ProQuest), and Dissertations/Theses (ProQuest) to retrieve 387 initial articles. Once we applied our inclusion/exclusion criteria to specifically target adult human studies dealing with chronic stress rather than acute/laboratory-induced stress, 40 studies remained, which we synthesized using Preferred Reporting Items for Systematic Reviews and Meta-Analyses criteria. Cortisol was the biomarker used most frequently. Sources of psychosocial stress included job strain, low socioeconomic status, and environmental factors. Overall, psychosocial stress was associated with CVD risks such as vascular pathology (hypertension, blood pressure fluctuation, and carotid artery plaque) as well as metabolic factors such as abnormal blood glucose, dyslipidemia, and elevated cardiac enzymes. Diverse salivary biomarkers have been useful in stress research, particularly when linked to CVD risks. © The Author(s) 2015.

  5. Different physiological and behavioural effects of e-cigarette vapour and cigarette smoke in mice.

    PubMed

    Ponzoni, L; Moretti, M; Sala, M; Fasoli, F; Mucchietto, V; Lucini, V; Cannazza, G; Gallesi, G; Castellana, C N; Clementi, F; Zoli, M; Gotti, C; Braida, D

    2015-10-01

    Nicotine is the primary addictive substance in tobacco smoke and electronic cigarette (e-cig) vapour. Methodological limitations have made it difficult to compare the role of the nicotine and non-nicotine constituents of tobacco smoke. The aim of this study was to compare the effects of traditional cigarette smoke and e-cig vapour containing the same amount of nicotine in male BALB/c mice exposed to the smoke of 21 cigarettes or e-cig vapour containing 16.8 mg of nicotine delivered by means of a mechanical ventilator for three 30-min sessions/day for seven weeks. One hour after the last session, half of the animals were sacrificed for neurochemical analysis, and the others underwent mecamylamine-precipitated or spontaneous withdrawal for the purposes of behavioural analysis. Chronic intermittent non-contingent, second-hand exposure to cigarette smoke or e-cig vapour led to similar brain cotinine and nicotine levels, similar urine cotinine levels and the similar up-regulation of α4β2 nicotinic acetylcholine receptors in different brain areas, but had different effects on body weight, food intake, and the signs of mecamylamine-precipitated and spontaneous withdrawal episodic memory and emotional responses. The findings of this study demonstrate for the first time that e-cig vapour induces addiction-related neurochemical, physiological and behavioural alterations. The fact that inhaled cigarette smoke and e-cig vapour have partially different dependence-related effects indicates that compounds other than nicotine contribute to tobacco dependence. Copyright © 2015 Elsevier B.V. and ECNP. All rights reserved.

  6. Chemical vapor deposition on chabazite (CHA) zeolite membranes for effective post-combustion CO2 capture.

    PubMed

    Kim, Eunjoo; Lee, Taehee; Kim, Hyungmin; Jung, Won-Jin; Han, Doug-Young; Baik, Hionsuck; Choi, Nakwon; Choi, Jungkyu

    2014-12-16

    Chabazite (CHA) zeolites with a pore size of 0.37 × 0.42 nm(2) are expected to separate CO2 (0.33 nm) from larger N2 (0.364 nm) in postcombustion flue gases by recognizing their minute size differences. Furthermore, the hydrophobic siliceous constituent in CHA membranes can allow for maintaining the CO2/N2 separation performance in the presence of H2O in contrast with the CO2 affinity-based membranes. In an attempt to increase the molecular sieving ability, the pore mouth size of all silica CHA (Si-CHA) particles was reduced via the chemical vapor deposition (CVD) of a silica precursor (tetraethyl orthosilicate). Accordingly, an increase of the CVD treatment duration decreased the penetration rate of CO2 into the CVD-treated Si-CHA particles. Furthermore, the CVD process was applied to siliceous CHA membranes in order to improve their CO2/N2 separation performance. Compared to the intact CHA membranes, the CO2/N2 maximum separation factor (max SF) for CVD-treated CHA membranes was increased by ∼ 2 fold under dry conditions. More desirably, the CO2/N2 max SF was increased by ∼ 3 fold under wet conditions at ∼ 50 °C, a representative temperature of the flue gas stream. In fact, the presence of H2O in the feed disfavored the permeation of N2 more than that of CO2 through CVD-modified CHA membranes and thus, contributed to the increased CO2/N2 separation factor.

  7. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  8. Atomic-scale Studies of Uranium Oxidation and Corrosion by Water Vapour.

    PubMed

    Martin, T L; Coe, C; Bagot, P A J; Morrall, P; Smith, G D W; Scott, T; Moody, M P

    2016-07-12

    Understanding the corrosion of uranium is important for its safe, long-term storage. Uranium metal corrodes rapidly in air, but the exact mechanism remains subject to debate. Atom Probe Tomography was used to investigate the surface microstructure of metallic depleted uranium specimens following polishing and exposure to moist air. A complex, corrugated metal-oxide interface was observed, with approximately 60 at.% oxygen content within the oxide. Interestingly, a very thin (~5 nm) interfacial layer of uranium hydride was observed at the oxide-metal interface. Exposure to deuterated water vapour produced an equivalent deuteride signal at the metal-oxide interface, confirming the hydride as originating via the water vapour oxidation mechanism. Hydroxide ions were detected uniformly throughout the oxide, yet showed reduced prominence at the metal interface. These results support a proposed mechanism for the oxidation of uranium in water vapour environments where the transport of hydroxyl species and the formation of hydride are key to understanding the observed behaviour.

  9. Atomic-scale Studies of Uranium Oxidation and Corrosion by Water Vapour

    NASA Astrophysics Data System (ADS)

    Martin, T. L.; Coe, C.; Bagot, P. A. J.; Morrall, P.; Smith, G. D. W.; Scott, T.; Moody, M. P.

    2016-07-01

    Understanding the corrosion of uranium is important for its safe, long-term storage. Uranium metal corrodes rapidly in air, but the exact mechanism remains subject to debate. Atom Probe Tomography was used to investigate the surface microstructure of metallic depleted uranium specimens following polishing and exposure to moist air. A complex, corrugated metal-oxide interface was observed, with approximately 60 at.% oxygen content within the oxide. Interestingly, a very thin (~5 nm) interfacial layer of uranium hydride was observed at the oxide-metal interface. Exposure to deuterated water vapour produced an equivalent deuteride signal at the metal-oxide interface, confirming the hydride as originating via the water vapour oxidation mechanism. Hydroxide ions were detected uniformly throughout the oxide, yet showed reduced prominence at the metal interface. These results support a proposed mechanism for the oxidation of uranium in water vapour environments where the transport of hydroxyl species and the formation of hydride are key to understanding the observed behaviour.

  10. Preliminary Martian Atmospheric Water Vapour Column Abundances with Mars Climate Sounder

    NASA Astrophysics Data System (ADS)

    Lolachi, Ramin; Irwin, P. G. J.; Teanby, N.; Calcutt, S.; Howett, C. J. A.; Bowles, N. E.; Taylor, F. W.; Schofield, J. T.; Kleinboehl, A.; McCleese, D. J.

    2007-12-01

    Mars Climate Sounder (MCS) is an infra-red radiometer on board NASA's Mars Reconnaissance Orbiter (MRO) launched in August 2005 and now orbiting Mars in a near circular polar orbit. MCS has nine spectral channels in the range 0.3-50 µm. Goals of MCS include global characterization of atmospheric temperature, dust and water profiles observing temporal and spatial variation. Using Oxford University's multivariate retrieval algorithm, NEMESIS, we present preliminary determinations of the water vapour column abundance in the Martian atmosphere during the period September-October 2006 (Ls range 111-129°, i.e. northern hemisphere summer). A combination of spectral channels inside and outside the water vapour rotation band (at 50 µm) are used to retrieve the column abundances mainly using nadir observations (as aerosol opacity is less important relative to water vapour opacity in nadir viewing geometry). We then compare these column abundances to earlier results from the Viking Orbiter Mars Atmospheric Water Detectors (MAWD) and the Thermal Emission Spectrometer (TES) on Mars Global Surveyor.

  11. Atomic-scale Studies of Uranium Oxidation and Corrosion by Water Vapour

    PubMed Central

    Martin, T. L.; Coe, C.; Bagot, P. A. J.; Morrall, P.; Smith, G. D. W; Scott, T.; Moody, M. P.

    2016-01-01

    Understanding the corrosion of uranium is important for its safe, long-term storage. Uranium metal corrodes rapidly in air, but the exact mechanism remains subject to debate. Atom Probe Tomography was used to investigate the surface microstructure of metallic depleted uranium specimens following polishing and exposure to moist air. A complex, corrugated metal-oxide interface was observed, with approximately 60 at.% oxygen content within the oxide. Interestingly, a very thin (~5 nm) interfacial layer of uranium hydride was observed at the oxide-metal interface. Exposure to deuterated water vapour produced an equivalent deuteride signal at the metal-oxide interface, confirming the hydride as originating via the water vapour oxidation mechanism. Hydroxide ions were detected uniformly throughout the oxide, yet showed reduced prominence at the metal interface. These results support a proposed mechanism for the oxidation of uranium in water vapour environments where the transport of hydroxyl species and the formation of hydride are key to understanding the observed behaviour. PMID:27403638

  12. Vapours of US and EU Market Leader Electronic Cigarette Brands and Liquids Are Cytotoxic for Human Vascular Endothelial Cells.

    PubMed

    Putzhammer, Raphaela; Doppler, Christian; Jakschitz, Thomas; Heinz, Katharina; Förste, Juliane; Danzl, Katarina; Messner, Barbara; Bernhard, David

    2016-01-01

    The present study was conducted to provide toxicological data on e-cigarette vapours of different e-cigarette brands and liquids from systems viewed as leaders in the e-cigarette market and to compare e-cigarette vapour toxicity to the toxicity of conventional strong high-nicotine cigarette smoke. Using an adapted version of a previously constructed cigarette smoke constituent sampling device, we collected the hydrophilic fraction of e-cigarette vapour and exposed human umbilical vein endothelial cells (HUVECs) to the mixture of compounds present in the vapour of 4 different single-use e-cigarettes, 6 different liquid vapours produced by the same refillable e-cigarette, and one e-cigarette with an exchangeable liquid cartridge. After incubation of cells with various concentrations and for various periods of time we analysed cell death induction, proliferation rates, the occurrence of intra-cellular reactive oxygen species, cell morphology, and we also measured e-cigarette heating coil temperatures. Overall, conventional cigarette smoke extract showed the most severe impact on endothelial cells. However, some e-cigarette vapour extracts showed high cytotoxicity, inhibition of cell proliferation, and alterations in cell morphology, which were comparable to conventional high-nicotine cigarettes. The vapours generated from different liquids using the same e-cigarette show substantial differences, pointing to the liquids as an important source for toxicity. E-cigarette vapour-mediated induction of oxidative stress was significant in one out of the 11 analysed vapours. There is a high variability in the acute cytotoxicity of e-cigarette vapours depending on the liquid and on the e-cigarettes used. Some products showed toxic effects close to a conventional high-nicotine cigarette. Liquid nicotine, menthol content, and the formation of acute intracellular reactive oxygen species do not seem to be the central elements in e-cigarette vapour toxicity.

  13. Vapours of US and EU Market Leader Electronic Cigarette Brands and Liquids Are Cytotoxic for Human Vascular Endothelial Cells

    PubMed Central

    Putzhammer, Raphaela; Doppler, Christian; Jakschitz, Thomas; Heinz, Katharina; Förste, Juliane; Danzl, Katarina; Messner, Barbara; Bernhard, David

    2016-01-01

    The present study was conducted to provide toxicological data on e-cigarette vapours of different e-cigarette brands and liquids from systems viewed as leaders in the e-cigarette market and to compare e-cigarette vapour toxicity to the toxicity of conventional strong high-nicotine cigarette smoke. Using an adapted version of a previously constructed cigarette smoke constituent sampling device, we collected the hydrophilic fraction of e-cigarette vapour and exposed human umbilical vein endothelial cells (HUVECs) to the mixture of compounds present in the vapour of 4 different single-use e-cigarettes, 6 different liquid vapours produced by the same refillable e-cigarette, and one e-cigarette with an exchangeable liquid cartridge. After incubation of cells with various concentrations and for various periods of time we analysed cell death induction, proliferation rates, the occurrence of intra-cellular reactive oxygen species, cell morphology, and we also measured e-cigarette heating coil temperatures. Overall, conventional cigarette smoke extract showed the most severe impact on endothelial cells. However, some e-cigarette vapour extracts showed high cytotoxicity, inhibition of cell proliferation, and alterations in cell morphology, which were comparable to conventional high-nicotine cigarettes. The vapours generated from different liquids using the same e-cigarette show substantial differences, pointing to the liquids as an important source for toxicity. E-cigarette vapour-mediated induction of oxidative stress was significant in one out of the 11 analysed vapours. There is a high variability in the acute cytotoxicity of e-cigarette vapours depending on the liquid and on the e-cigarettes used. Some products showed toxic effects close to a conventional high-nicotine cigarette. Liquid nicotine, menthol content, and the formation of acute intracellular reactive oxygen species do not seem to be the central elements in e-cigarette vapour toxicity. PMID:27351725

  14. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  15. Implementation of new integrated evaporation equipment for the preparation of 238U targets and improvement of the deposition process

    NASA Astrophysics Data System (ADS)

    Vanleeuw, D.; Lewis, D.; Moens, A.; Sibbens, G.; Wiss, T.

    2018-05-01

    Measurement of neutron cross section data is a core activity of the JRC-Directorate G for Nuclear Safety and Security in Geel. After a period of reduced activity and in line with a renewed interest for nuclear data required for GenIV reactors and waste minimization, the demand for high quality actinide targets increased. Physical vapour deposition by thermal evaporation is a key technique to prepare homogeneous thin actinide layers, but due to ageing effects the earlier in-house developed equipment can no longer provide the required quality. Because of a current lack of experience and human resources cooperation with private companies is required for the development of new deposition equipment directly integrated in a glove box. In this paper we describe the design, implementation and validation of the first commercial actinide evaporator in a glove box as well as the optimization of the deposition process. Highly enriched 238U3O8 was converted to 238UF4 powder and several deposition runs were performed on different substrates. The deposition parameters were varied and defined in order to guarantee physical and chemical stable homogeneous UF4 layers, even on polished substrates which was not longer feasible with the older equipment. The stability problem is discussed in view of the thin layer growth by physical vapour deposition and the influence of the deposition parameters on the layer quality. The deposits were characterized for the total mass by means of substitution weighing and for the areal density of 238U by means of alpha particle counting and thermal ionization mass spectrometry (TIMS). The quality of the layer was visually evaluated and by means of stereo microscopy and auto radiography.

  16. Effects of Surface Treatments on Secondary Electron Emission from CVD Diamond Films

    NASA Technical Reports Server (NTRS)

    Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Zorman, Christian; Wang, Yaxin; Lamouri, A.

    1995-01-01

    Secondary electron emission (SEE) properties of polycrystalline diamond films grown by chemical vapor deposition (CVD) were measured. The total secondary yield (sigma) from as-grown samples was observed to be as high as 20 at room temperature and 48 while heating at 700 K in vacuum. Electron-beam-activated, alkali-terminated diamond films have shown stable values of sigma as high as 60 when coated with CsI and similarly high values when coated with other alkali halides. Diamond coated with BaF2 had a stable sigma of 6, but no enhancement of the SEE properties was observed with coatings of Ti or Au. Hydrogen was identified to give rise to this effect in as-grown films. However, electron beam exposure led to a reduction in sigma values as low as 2. Exposure to a molecular hydrogen environment restored sigma to its original value after degradation, and enabled stable secondary emission during electron beam exposure. Atomic hydrogen and hydrogen plasma treatments were performed on diamond/Mo samples in an attempt to increase the near-surface hydrogen concentration which might lead to increased stability in the secondary emission. Raman scattering analysis, scanning electron microscopy, and Auger electron spectroscopy (AES) confirmed that hydrogen plasma and atomic hydrogen treatments improved the quality of the CVD diamond significantly. Elastic recoil detection (ERD) showed that heating as-grown diamond targets to 7OO K, which was correlated with an increase in sigma, removed contaminants from the surface but did not drive hydrogen from the diamond bulk. ERD showed that the hydrogen plasma treatment produced an increase in the hydrogen concentration in the near-surface region which did not decrease while heating in vacuum at 700 K, but no improvement in the SEE properties was observed.

  17. Preparation of fungal conidia impacts their susceptibility to inactivation by ethanol vapours.

    PubMed

    Dao, Thien; Dantigny, Philippe

    2009-11-15

    A common protocol employed for the preparation of conidia employs flooding a fungal colony grown on semi-solid media under optimum conditions with an aqueous solution. In contrast, conidia produced in a natural environment are usually not hydrated when disseminated in air and can be produced under water stress. In order to simulate the latter conditions, cultures were grown at different water activities and conidia were dry-harvested on the lid by turning the dishes upside-down then gently tapping the bottom of the box. This study aimed at assessing the effect of the preparation of fungal conidia on their inactivation by ethanol vapours. Firstly ethanol vapours (either 0.30 or 0.45 kPa) were applied to conidia obtained from the standardised protocol and to dry-harvested conidia for some species of Penicillium. While all dry-harvested conidia remained viable after 24 h of treatment, about 1.0, 3.5 and 2.5 log(10) reductions were observed for hydrated conidia of Penicillium chrysogenum, Penicillium digitatum and Penicillium italicum respectively. Secondly ethanol vapours (0.67 kPa) were applied to dry-harvested conidia obtained from cultures grown at 0.99 a(w) and at reduced water activities. For all species, the susceptibility to ethanol vapours of conidia obtained at 0.99 a(w) was significantly greater than that of conidia obtained at reduced water activities. Conidia produced in a natural environment under non-optimal conditions would be much more resistant to ethanol vapours than those produced in the laboratory. This phenomenon may be due to a reduced intracellular water activity of dry-harvested conidia.

  18. XPS study of thermal and electron-induced decomposition of Ni and Co acetylacetonate thin films for metal deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weiss, Theodor; Warneke, Jonas; Zielasek, Volkmar, E-mail: zielasek@uni-bremen.de

    2016-07-15

    Optimizing thin metal film deposition techniques from metal-organic precursors such as atomic layer deposition, chemical vapor deposition (CVD), or electron beam-induced deposition (EBID) with the help of surface science analysis tools in ultrahigh vacuum requires a contamination-free precursor delivery technique, especially in the case of the less volatile precursors. For this purpose, the preparation of layers of undecomposed Ni(acac){sub 2} and Co(acac){sub 2} was tried via pulsed spray evaporation of a liquid solution of the precursors in ethanol into a flow of nitrogen on a CVD reactor. Solvent-free layers of intact precursor molecules were obtained when the substrate was heldmore » at a temperature of 115 °C. A qualitative comparison of thermally initiated and electron-induced precursor decomposition and metal center reduction was carried out. All deposited films were analyzed with respect to chemical composition quasi in situ by x-ray photoelectron spectroscopy. Thermally initiated decomposition yielded higher metal-to-metal oxide ratios in the deposit than the electron-induced process for which ratios of 60:40 and 20:80 were achieved for Ni and Co, resp. Compared to continuous EBID processes, all deposits showed low levels of carbon impurities of ∼10 at. %. Therefore, postdeposition irradiation of metal acetylacetonate layers by a focused electron beam and subsequent removal of intact precursor by dissolution in ethanol or by heating is proposed as electron beam lithography technique on the laboratory scale for the production of the metal nanostructures.« less

  19. In-situ sensing using mass spectrometry and its use for run-to-run control on a W-CVD cluster tool

    NASA Astrophysics Data System (ADS)

    Gougousi, T.; Sreenivasan, R.; Xu, Y.; Henn-Lecordier, L.; Rubloff, G. W.; Kidder, , J. N.; Zafiriou, E.

    2001-01-01

    A 300 amu closed-ion-source RGA (Leybold-Inficon Transpector 2) sampling gases directly from the reactor of an ULVAC ERA-1000 cluster tool has been used for real time process monitoring of a W CVD process. The process involves H2 reduction of WF6 at a total pressure of 67 Pa (0.5 torr) to produce W films on Si wafers heated at temperatures around 350 °C. The normalized RGA signals for the H2 reagent depletion and the HF product generation were correlated with the W film weight as measured post-process with an electronic microbalance for the establishment of thin-film weight (thickness) metrology. The metrology uncertainty (about 7% for the HF product) was limited primarily by the very low conversion efficiency of the W CVD process (around 2-3%). The HF metrology was then used to drive a robust run-to-run control algorithm, with the deposition time selected as the manipulated (or controlled) variable. For that purpose, during a 10 wafer run, a systematic process drift was introduced as a -5 °C processing temperature change for each successive wafer, in an otherwise unchanged process recipe. Without adjustment of the deposition time the W film weight (thickness) would have declined by about 50% by the 10th wafer. With the aid of the process control algorithm, an adjusted deposition time was computed so as to maintain constant HF sensing signal, resulting in weight (thickness) control comparable to the accuracy of the thickness metrology. These results suggest that in-situ chemical sensing, and particularly mass spectrometry, provide the basis for wafer state metrology as needed to achieve run-to-run control. Furthermore, since the control accuracy was consistent with the metrology accuracy, we anticipate significant improvements for processes as used in manufacturing, where conversion rates are much higher (40-50%) and corresponding signals for metrology will be much larger.

  20. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377