Sample records for wafer-level vacuum packaging

  1. Wafer-level vacuum/hermetic packaging technologies for MEMS

    NASA Astrophysics Data System (ADS)

    Lee, Sang-Hyun; Mitchell, Jay; Welch, Warren; Lee, Sangwoo; Najafi, Khalil

    2010-02-01

    An overview of wafer-level packaging technologies developed at the University of Michigan is presented. Two sets of packaging technologies are discussed: (i) a low temperature wafer-level packaging processes for vacuum/hermeticity sealing, and (ii) an environmentally resistant packaging (ERP) technology for thermal and mechanical control as well as vacuum packaging. The low temperature wafer-level encapsulation processes are implemented using solder bond rings which are first patterned on a cap wafer and then mated with a device wafer in order to encircle and encapsulate the device at temperatures ranging from 200 to 390 °C. Vacuum levels below 10 mTorr were achieved with yields in an optimized process of better than 90%. Pressures were monitored for more than 4 years yielding important information on reliability and process control. The ERP adopts an environment isolation platform in the packaging substrate. The isolation platform is designed to provide low power oven-control, vibration isolation and shock protection. It involves batch flip-chip assembly of a MEMS device onto the isolation platform wafer. The MEMS device and isolation structure are encapsulated at the wafer-level by another substrate with vertical feedthroughs for vacuum/hermetic sealing and electrical signal connections. This technology was developed for high performance gyroscopes, but can be applied to any type of MEMS device.

  2. Wafer-Level Vacuum Packaging of Smart Sensors.

    PubMed

    Hilton, Allan; Temple, Dorota S

    2016-10-31

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors-"low cost" for ubiquitous presence, and "smart" for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  3. Wafer-Level Vacuum Packaging of Smart Sensors

    PubMed Central

    Hilton, Allan; Temple, Dorota S.

    2016-01-01

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology. PMID:27809249

  4. A wafer-level vacuum package using glass-reflowed silicon through-wafer interconnection for nano/micro devices.

    PubMed

    Jin, Joo-Young; Yoo, Seung-Hyun; Yoo, Byung-Wook; Kim, Yong-Kweon

    2012-07-01

    We propose a vacuum wafer-level packaging (WLP) process using glass-reflowed silicon via for nano/micro devices (NMDs). A through-wafer interconnection (TWIn) substrate with silicon vias and reflowed glass is introduced to accomplish a vertical feed-through of device. NMDs are fabricated in the single crystal silicon (SCS) layer which is formed on the TWIn substrate by Au eutectic bonding including Cr adhesion layer. The WLPof the devices is achieved with the capping glass wafer anodically bonded to the SCS layer. In order to demonstrate the successful hermetic packaging, we fabricated the micro-Pirani gauge in the SCS layer, and packaged it in the wafer-level. The vacuum level inside the packaging was measured to be 3.1 Torr with +/- 0.12 Torr uncertainty, and the packaging leakage was not detected during 24 hour after the packaging.

  5. Wafer-level hermetic vacuum packaging by bonding with a copper-tin thin film sealing ring

    NASA Astrophysics Data System (ADS)

    Akashi, Teruhisa; Funabashi, Hirofumi; Takagi, Hideki; Omura, Yoshiteru; Hata, Yoshiyuki

    2018-04-01

    A wafer-level hermetic vacuum packaging technology intended for use with MEMS devices was developed based on a copper-tin (CuSn) thin film sealing ring. To allow hermetic packaging, the shear strength of the CuSn thin film bond was improved by optimizing the pretreatment conditions. As a result, an average shear strength of 72.3 MPa was obtained and a cavity that had been hermetically sealed using wafer-level packaging (WLP) maintained its vacuum for 1.84 years. The total pressures in the cavities and the partial pressures of residual gases were directly determined with an ultra-low outgassing residual gas analyzer (RGA) system. Hermeticity was evaluated based on helium leak rates, which were calculated from helium pressures determined with the RGA system. The resulting data showed that a vacuum cavity following 1.84 years storage had a total pressure of 83.1 Pa, contained argon as the main residual gas and exhibited a helium leak rate as low as 1.67  ×  10-17 Pa · m3 s-1, corresponding to an air leak rate of 6.19  ×  10-18 Pa · m3 s-1. The RGA data demonstrate that WLP using a CuSn thin film sealing ring permits ultra-high hermeticity in conjunction with long-term vacuum packaging that is applicable to MEMS devices.

  6. A Lateral Differential Resonant Pressure Microsensor Based on SOI-Glass Wafer-Level Vacuum Packaging.

    PubMed

    Xie, Bo; Xing, Yonghao; Wang, Yanshuang; Chen, Jian; Chen, Deyong; Wang, Junbo

    2015-09-21

    This paper presents the fabrication and characterization of a resonant pressure microsensor based on SOI-glass wafer-level vacuum packaging. The SOI-based pressure microsensor consists of a pressure-sensitive diaphragm at the handle layer and two lateral resonators (electrostatic excitation and capacitive detection) on the device layer as a differential setup. The resonators were vacuum packaged with a glass cap using anodic bonding and the wire interconnection was realized using a mask-free electrochemical etching approach by selectively patterning an Au film on highly topographic surfaces. The fabricated resonant pressure microsensor with dual resonators was characterized in a systematic manner, producing a quality factor higher than 10,000 (~6 months), a sensitivity of about 166 Hz/kPa and a reduced nonlinear error of 0.033% F.S. Based on the differential output, the sensitivity was increased to two times and the temperature-caused frequency drift was decreased to 25%.

  7. A High-Q Resonant Pressure Microsensor with Through-Glass Electrical Interconnections Based on Wafer-Level MEMS Vacuum Packaging

    PubMed Central

    Luo, Zhenyu; Chen, Deyong; Wang, Junbo; Li, Yinan; Chen, Jian

    2014-01-01

    This paper presents a high-Q resonant pressure microsensor with through-glass electrical interconnections based on wafer-level MEMS vacuum packaging. An approach to maintaining high-vacuum conditions by integrating the MEMS fabrication process with getter material preparation is presented in this paper. In this device, the pressure under measurement causes a deflection of a pressure-sensitive silicon square diaphragm, which is further translated to stress build up in “H” type doubly-clamped micro resonant beams, leading to a resonance frequency shift. The device geometries were optimized using FEM simulation and a 4-inch SOI wafer was used for device fabrication, which required only three photolithographic steps. In the device fabrication, a non-evaporable metal thin film as the getter material was sputtered on a Pyrex 7740 glass wafer, which was then anodically bonded to the patterned SOI wafer for vacuum packaging. Through-glass via holes predefined in the glass wafer functioned as the electrical interconnections between the patterned SOI wafer and the surrounding electrical components. Experimental results recorded that the Q-factor of the resonant beam was beyond 22,000, with a differential sensitivity of 89.86 Hz/kPa, a device resolution of 10 Pa and a nonlinearity of 0.02% F.S with the pressure varying from 50 kPa to 100 kPa. In addition, the temperature drift coefficient was less than −0.01% F.S/°C in the range of −40 °C to 70 °C, the long-term stability error was quantified as 0.01% F.S over a 5-month period and the accuracy of the microsensor was better than 0.01% F.S. PMID:25521385

  8. A high-Q resonant pressure microsensor with through-glass electrical interconnections based on wafer-level MEMS vacuum packaging.

    PubMed

    Luo, Zhenyu; Chen, Deyong; Wang, Junbo; Li, Yinan; Chen, Jian

    2014-12-16

    This paper presents a high-Q resonant pressure microsensor with through-glass electrical interconnections based on wafer-level MEMS vacuum packaging. An approach to maintaining high-vacuum conditions by integrating the MEMS fabrication process with getter material preparation is presented in this paper. In this device, the pressure under measurement causes a deflection of a pressure-sensitive silicon square diaphragm, which is further translated to stress build up in "H" type doubly-clamped micro resonant beams, leading to a resonance frequency shift. The device geometries were optimized using FEM simulation and a 4-inch SOI wafer was used for device fabrication, which required only three photolithographic steps. In the device fabrication, a non-evaporable metal thin film as the getter material was sputtered on a Pyrex 7740 glass wafer, which was then anodically bonded to the patterned SOI wafer for vacuum packaging. Through-glass via holes predefined in the glass wafer functioned as the electrical interconnections between the patterned SOI wafer and the surrounding electrical components. Experimental results recorded that the Q-factor of the resonant beam was beyond 22,000, with a differential sensitivity of 89.86 Hz/kPa, a device resolution of 10 Pa and a nonlinearity of 0.02% F.S with the pressure varying from 50 kPa to 100 kPa. In addition, the temperature drift coefficient was less than -0.01% F.S/°C in the range of -40 °C to 70 °C, the long-term stability error was quantified as 0.01% F.S over a 5-month period and the accuracy of the microsensor was better than 0.01% F.S.

  9. A Lateral Differential Resonant Pressure Microsensor Based on SOI-Glass Wafer-Level Vacuum Packaging

    PubMed Central

    Xie, Bo; Xing, Yonghao; Wang, Yanshuang; Chen, Jian; Chen, Deyong; Wang, Junbo

    2015-01-01

    This paper presents the fabrication and characterization of a resonant pressure microsensor based on SOI-glass wafer-level vacuum packaging. The SOI-based pressure microsensor consists of a pressure-sensitive diaphragm at the handle layer and two lateral resonators (electrostatic excitation and capacitive detection) on the device layer as a differential setup. The resonators were vacuum packaged with a glass cap using anodic bonding and the wire interconnection was realized using a mask-free electrochemical etching approach by selectively patterning an Au film on highly topographic surfaces. The fabricated resonant pressure microsensor with dual resonators was characterized in a systematic manner, producing a quality factor higher than 10,000 (~6 months), a sensitivity of about 166 Hz/kPa and a reduced nonlinear error of 0.033% F.S. Based on the differential output, the sensitivity was increased to two times and the temperature-caused frequency drift was decreased to 25%. PMID:26402679

  10. Very large scale heterogeneous integration (VLSHI) and wafer-level vacuum packaging for infrared bolometer focal plane arrays

    NASA Astrophysics Data System (ADS)

    Forsberg, Fredrik; Roxhed, Niclas; Fischer, Andreas C.; Samel, Björn; Ericsson, Per; Hoivik, Nils; Lapadatu, Adriana; Bring, Martin; Kittilsland, Gjermund; Stemme, Göran; Niklaus, Frank

    2013-09-01

    Imaging in the long wavelength infrared (LWIR) range from 8 to 14 μm is an extremely useful tool for non-contact measurement and imaging of temperature in many industrial, automotive and security applications. However, the cost of the infrared (IR) imaging components has to be significantly reduced to make IR imaging a viable technology for many cost-sensitive applications. This paper demonstrates new and improved fabrication and packaging technologies for next-generation IR imaging detectors based on uncooled IR bolometer focal plane arrays. The proposed technologies include very large scale heterogeneous integration for combining high-performance, SiGe quantum-well bolometers with electronic integrated read-out circuits and CMOS compatible wafer-level vacuum packing. The fabrication and characterization of bolometers with a pitch of 25 μm × 25 μm that are arranged on read-out-wafers in arrays with 320 × 240 pixels are presented. The bolometers contain a multi-layer quantum well SiGe thermistor with a temperature coefficient of resistance of -3.0%/K. The proposed CMOS compatible wafer-level vacuum packaging technology uses Cu-Sn solid-liquid interdiffusion (SLID) bonding. The presented technologies are suitable for implementation in cost-efficient fabless business models with the potential to bring about the cost reduction needed to enable low-cost IR imaging products for industrial, security and automotive applications.

  11. Characterization of wafer-level bonded hermetic packages using optical leak detection

    NASA Astrophysics Data System (ADS)

    Duan, Ani; Wang, Kaiying; Aasmundtveit, Knut; Hoivik, Nils

    2009-07-01

    For MEMS devices required to be operated in a hermetic environment, one of the main reliability issues is related to the packaging methods applied. In this paper, an optical method for testing low volume hermetic cavities formed by anodic bonding between glass and SOI (silicon on insulator) wafer is presented. Several different cavity-geometry structures have been designed, fabricated and applied to monitor the hermeticity of wafer level anodic bonding. SOI wafer was used as the cap wafer on which the different-geometry structures were fabricated using standard MEMS technology. The test cavities were bonded using SOI wafers to glass wafers at 400C and 1000mbar pressure inside a vacuum bonding chamber. The bonding voltage varies from 200V to 600V. The bonding strength between glass and SOI wafer was mechanically tested using shear tester. The deformation amplitudes of the cavity cap surface were monitored by using an optical interferometer. The hermeticity of the glass-to-SOI wafer level bonding was characterized through observing the surface deformation in a 6 months period in atmospheric environment. We have observed a relatively stable micro vacuum-cavity.

  12. VLED for Si wafer-level packaging

    NASA Astrophysics Data System (ADS)

    Chu, Chen-Fu; Chen, Chiming; Yen, Jui-Kang; Chen, Yung-Wei; Tsou, Chingfu; Chang, Chunming; Doan, Trung; Tran, Chuong Anh

    2012-03-01

    In this paper, we introduced the advantages of Vertical Light emitting diode (VLED) on copper alloy with Si-wafer level packaging technologies. The silicon-based packaging substrate starts with a <100> dou-ble-side polished p-type silicon wafer, then anisotropic wet etching technology is done to construct the re-flector depression and micro through-holes on the silicon substrate. The operating voltage, at a typical cur-rent of 350 milli-ampere (mA), is 3.2V. The operation voltage is less than 3.7V under higher current driving conditions of 1A. The VLED chip on Si package has excellent heat dissipation and can be operated at high currents up to 1A without efficiency degradation. The typical spatial radiation pattern emits a uniform light lambertian distribution from -65° to 65° which can be easily fit for secondary optics. The correlated color temperature (CCT) has only 5% variation for daylight and less than 2% variation for warm white, when the junction temperature is increased from 25°C to 110°C, suggesting a stable CCT during operation for general lighting application. Coupled with aspheric lens and micro lens array in a wafer level process, it has almost the same light distribution intensity for special secondary optics lighting applications. In addition, the ul-tra-violet (UV) VLED, featuring a silicon substrate and hard glass cover, manufactured by wafer level pack-aging emits high power UV wavelengths appropriate for curing, currency, document verification, tanning, medical, and sterilization applications.

  13. Wafer-level vacuum packaged resonant micro-scanning mirrors for compact laser projection displays

    NASA Astrophysics Data System (ADS)

    Hofmann, Ulrich; Oldsen, Marten; Quenzer, Hans-Joachim; Janes, Joachim; Heller, Martin; Weiss, Manfred; Fakas, Georgios; Ratzmann, Lars; Marchetti, Eleonora; D'Ascoli, Francesco; Melani, Massimiliano; Bacciarelli, Luca; Volpi, Emilio; Battini, Francesco; Mostardini, Luca; Sechi, Francesco; De Marinis, Marco; Wagner, Bernd

    2008-02-01

    Scanning laser projection using resonant actuated MEMS scanning mirrors is expected to overcome the current limitation of small display size of mobile devices like cell phones, digital cameras and PDAs. Recent progress in the development of compact modulated RGB laser sources enables to set up very small laser projection systems that become attractive not only for consumer products but also for automotive applications like head-up and dash-board displays. Within the last years continuous progress was made in increasing MEMS scanner performance. However, only little is reported on how mass-produceability of these devices and stable functionality even under harsh environmental conditions can be guaranteed. Automotive application requires stable MEMS scanner operation over a wide temperature range from -40° to +85°Celsius. Therefore, hermetic packaging of electrostatically actuated MEMS scanning mirrors becomes essential to protect the sensitive device against particle contamination and condensing moisture. This paper reports on design, fabrication and test of a resonant actuated two-dimensional micro scanning mirror that is hermetically sealed on wafer level. With resonant frequencies of 30kHz and 1kHz, an achievable Theta-D-product of 13mm.deg and low dynamic deformation <20nm RMS it targets Lissajous projection with SVGA-resolution. Inevitable reflexes at the vacuum package surface can be seperated from the projection field by permanent inclination of the micromirror.

  14. A front-end wafer-level microsystem packaging technique with micro-cap array

    NASA Astrophysics Data System (ADS)

    Chiang, Yuh-Min

    2002-09-01

    The back-end packaging process is the remaining challenge for the micromachining industry to commercialize microsystem technology (MST) devices at low cost. This dissertation presents a novel wafer level protection technique as a final step of the front-end fabrication process for MSTs. It facilitates improved manufacturing throughput and automation in package assembly, wafer level testing of devices, and enhanced device performance. The method involves the use of a wafer-sized micro-cap array, which consists of an assortment of small caps micro-molded onto a material with adjustable shapes and sizes to serve as protective structures against the hostile environments during packaging. The micro-cap array is first constructed by a micromachining process with micro-molding technique, then sealed to the device wafer at wafer level. Epoxy-based wafer-level micro cap array has been successfully fabricated and showed good compatibility with conventional back-end packaging processes. An adhesive transfer technique was demonstrated to seal the micro cap array with a MEMS device wafer. No damage or gross leak was observed while wafer dicing or later during a gross leak test. Applications of the micro cap array are demonstrated on MEMS, microactuators fabricated using CRONOS MUMPS process. Depending on the application needs, the micro-molded cap can be designed and modified to facilitate additional component functions, such as optical, electrical, mechanical, and chemical functions, which are not easily achieved in the device by traditional means. Successful fabrication of a micro cap array comprised with microlenses can provide active functions as well as passive protection. An optical tweezer array could be one possibility for applications of a micro cap with microlenses. The micro cap itself could serve as micro well for DNA or bacteria amplification as well.

  15. A fully wafer-level packaged RF MEMS switch with low actuation voltage using a piezoelectric actuator

    NASA Astrophysics Data System (ADS)

    Park, Jae-Hyoung; Lee, Hee-Chul; Park, Yong-Hee; Kim, Yong-Dae; Ji, Chang-Hyeon; Bu, Jonguk; Nam, Hyo-Jin

    2006-11-01

    In this paper, a fully wafer-level packaged RF MEMS switch has been demonstrated, which has low operation voltage, using a piezoelectric actuator. The piezoelectric actuator was designed to operate at low actuation voltage for application to advanced mobile handsets. The dc contact type RF switch was packaged using the wafer-level bonding process. The CPW transmission lines and piezoelectric actuators have been fabricated on separate wafers and assembled together by the wafer-level eutectic bonding process. A gold and tin composite was used for eutectic bonding at a low temperature of 300 °C. Via holes interconnecting the electrical contact pads through the wafer were filled completely with electroplated copper. The fully wafer-level packaged RF MEMS switch showed an insertion loss of 0.63 dB and an isolation of 26.4 dB at 5 GHz. The actuation voltage of the switch was 5 V. The resonant frequency of the piezoelectric actuator was 38.4 kHz and the spring constant of the actuator was calculated to be 9.6 N m-1. The size of the packaged SPST (single-pole single-through) switch was 1.2 mm × 1.2 mm including the packaging sealing rim. The effect of the proposed package structure on the RF performance was characterized with a device having CPW through lines and vertical feed lines excluding the RF switches. The measured packaging loss was 0.2 dB and the return loss was 33.6 dB at 5 GHz.

  16. Wafer-to-wafer bonding of nonplanarized MEMS surfaces using solder

    NASA Astrophysics Data System (ADS)

    Sparks, D.; Queen, G.; Weston, R.; Woodward, G.; Putty, M.; Jordan, L.; Zarabadi, S.; Jayakar, K.

    2001-11-01

    The fabrication and reliability of a solder wafer-to-wafer bonding process is discussed. Using a solder reflow process allows vacuum packaging to be accomplished with unplanarized complementary metal-oxide semiconductor (CMOS) surface topography. This capability enables standard CMOS processes, and integrated microelectromechanical systems devices to be packaged at the chip-level. Alloy variations give this process the ability to bond at lower temperatures than most alternatives. Factors affecting hermeticity, shorts, Q values, shifting cavity pressure, wafer saw cleanliness and corrosion resistance will be covered.

  17. Wafer-level packaging with compression-controlled seal ring bonding

    DOEpatents

    Farino, Anthony J

    2013-11-05

    A device may be provided in a sealed package by aligning a seal ring provided on a first surface of a first semiconductor wafer in opposing relationship with a seal ring that is provided on a second surface of a second semiconductor wafer and surrounds a portion of the second wafer that contains the device. Forcible movement of the first and second wafer surfaces toward one another compresses the first and second seal rings against one another. A physical barrier against the movement, other than the first and second seal rings, is provided between the first and second wafer surfaces.

  18. Wafer-Level Hermetic Package by Low-Temperature Cu/Sn TLP Bonding with Optimized Sn Thickness

    NASA Astrophysics Data System (ADS)

    Wu, Zijian; Cai, Jian; Wang, Qian; Wang, Junqiang; Wang, Dejun

    2017-10-01

    In this paper, a wafer-level package with hermetic sealing by low-temperature Cu/Sn transient liquid phase (TLP) bonding for a micro-electromechanical system was introduced. A Cu bump with a Sn cap and sealing ring were fabricated simultaneously by electroplating. The model of Cu/Sn TLP bonding was established and the thicknesses of Cu and Sn were optimized after a series of bonding experiments. Cu/Sn wafer-level bonding was undertaken at 260°C for 30 min under a vacuum condition. An average shear strength of 50.36 MPa and a fine leak rate of 1.9 × 10-8 atm cc/s were achieved. Scanning electron microscope photos of the Cu/Sn/Cu interlayers were presented, and energy dispersive x-ray analysis was conducted simultaneously. The results showed that the Sn was completely consumed to form the stable intermetallic compound Cu3Sn. An aging test of 200 h at 200°C was conducted to test the performance of the hermetic sealing, while the results of shear strength, fine leak rate and bonding interface were also set out.

  19. 320 x 240 uncooled IRFPA with pixel wise thin film vacuum packaging

    NASA Astrophysics Data System (ADS)

    Yon, J.-J.; Dumont, G.; Rabaud, W.; Becker, S.; Carle, L.; Goudon, V.; Vialle, C.; Hamelin, A.; Arnaud, A.

    2012-10-01

    Silicon based vacuum packaging is a key enabling technology for achieving affordable uncooled Infrared Focal Plane Arrays (IRFPA) as required by the promising mass market for very low cost IR applications, such as automotive driving assistance, energy loss monitoring in buildings, motion sensors… Among the various approaches studied worldwide, the CEA, LETI is developing a unique technology where each bolometer pixel is sealed under vacuum at the wafer level, using an IR transparent thin film deposition. This technology referred to as PLP (Pixel Level Packaging), leads to an array of hermetic micro-caps each containing a single microbolometer. Since the successful demonstration that the PLP technology, when applied on a single microbolometer pixel, can provide the required vacuum < 10-3 mbar, the authors have pushed forward the development of the technology on fully operational QVGA readout circuits CMOS base wafers (320 x 240 pixels). In this outlook, the article reports on the electro optical performance obtained from this preliminary PLP based QVGA demonstrator. Apart from the response, noise and NETD distributions, the paper also puts emphasis on additional key features such as thermal time constant, image quality, and ageing properties.

  20. Multiple internal seal right micro-electro-mechanical system vacuum package

    NASA Technical Reports Server (NTRS)

    Shcheglov, Kirill V. (Inventor); Wiberg, Dean V. (Inventor); Hayworth, Ken J. (Inventor); Yee, Karl Y. (Inventor); Bae, Youngsam (Inventor); Challoner, A. Dorian (Inventor); Peay, Chris S. (Inventor)

    2007-01-01

    A Multiple Internal Seal Ring (MISR) Micro-Electro-Mechanical System (MEMS) vacuum package that hermetically seals MEMS devices using MISR. The method bonds a capping plate having metal seal rings to a base plate having metal seal rings by wafer bonding the capping plate wafer to the base plate wafer. Bulk electrodes may be used to provide conductive paths between the seal rings on the base plate and the capping plate. All seals are made using only metal-to-metal seal rings deposited on the polished surfaces of the base plate and capping plate wafers. However, multiple electrical feed-through metal traces are provided by fabricating via holes through the capping plate for electrical connection from the outside of the package through the via-holes to the inside of the package. Each metal seal ring serves the dual purposes of hermetic sealing and providing the electrical feed-through metal trace.

  1. Multiple internal seal ring micro-electro-mechanical system vacuum packaging method

    NASA Technical Reports Server (NTRS)

    Hayworth, Ken J. (Inventor); Yee, Karl Y. (Inventor); Shcheglov, Kirill V. (Inventor); Bae, Youngsam (Inventor); Wiberg, Dean V. (Inventor); Challoner, A. Dorian (Inventor); Peay, Chris S. (Inventor)

    2008-01-01

    A Multiple Internal Seal Ring (MISR) Micro-Electro-Mechanical System (MEMS) vacuum packaging method that hermetically seals MEMS devices using MISR. The method bonds a capping plate having metal seal rings to a base plate having metal seal rings by wafer bonding the capping plate wafer to the base plate wafer. Bulk electrodes may be used to provide conductive paths between the seal rings on the base plate and the capping plate. All seals are made using only metal-to-metal seal rings deposited on the polished surfaces of the base plate and capping plate wafers. However, multiple electrical feed-through metal traces are provided by fabricating via holes through the capping plate for electrical connection from the outside of the package through the via-holes to the inside of the package. Each metal seal ring serves the dual purposes of hermetic sealing and providing the electrical feed-through metal trace.

  2. MEMS packaging with etching and thinning of lid wafer to form lids and expose device wafer bond pads

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chanchani, Rajen; Nordquist, Christopher; Olsson, Roy H

    In wafer-level packaging of microelectromechanical (MEMS) devices a lid wafer is bonded to a MEMS wafer in a predermined aligned relationship. Portions of the lid wafer are removed to separate the lid wafer into lid portions that respectively correspond in alignment with MEMS devices on the MEMS wafer, and to expose areas of the MEMS wafer that respectively contain sets of bond pads respectively coupled to the MEMS devices.

  3. Wafer-level micro-optics: trends in manufacturing, testing, packaging, and applications

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Gong, Li; Rieck, Juergen; Zheng, Alan

    2012-11-01

    Micro-optics is an indispensable key enabling technology (KET) for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the last decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks (supercomputer, ROADM), bringing high-speed internet to our homes (FTTH). Even our modern smart phones contain a variety of micro-optical elements. For example, LED flashlight shaping elements, the secondary camera, and ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by semiconductor industry. Thousands of components are fabricated in parallel on a wafer. We report on the state of the art in wafer-based manufacturing, testing, packaging and present examples and applications for micro-optical components and systems.

  4. Application of Au-Sn eutectic bonding in hermetic radio-frequency microelectromechanical system wafer level packaging

    NASA Astrophysics Data System (ADS)

    Wang, Qian; Choa, Sung-Hoon; Kim, Woonbae; Hwang, Junsik; Ham, Sukjin; Moon, Changyoul

    2006-03-01

    Development of packaging is one of the critical issues toward realizing commercialization of radio-frequency-microelectromechanical system (RF-MEMS) devices. The RF-MEMS package should be designed to have small size, hermetic protection, good RF performance, and high reliability. In addition, packaging should be conducted at sufficiently low temperature. In this paper, a low-temperature hermetic wafer level packaging scheme for the RF-MEMS devices is presented. For hermetic sealing, Au-Sn eutectic bonding technology at temperatures below 300°C is used. Au-Sn multilayer metallization with a square loop of 70 µm in width is performed. The electrical feed-through is achieved by the vertical through-hole via filling with electroplated Cu. The size of the MEMS package is 1 mm × 1 mm × 700 µm. The shear strength and hermeticity of the package satisfies the requirements of MIL-STD-883F. Any organic gases or contamination are not observed inside the package. The total insertion loss for the packaging is 0.075 dB at 2 GHz. Furthermore, the robustness of the package is demonstrated by observing no performance degradation and physical damage of the package after several reliability tests.

  5. Quantitative phase measurement for wafer-level optics

    NASA Astrophysics Data System (ADS)

    Qu, Weijuan; Wen, Yongfu; Wang, Zhaomin; Yang, Fang; Huang, Lei; Zuo, Chao

    2015-07-01

    Wafer-level-optics now is widely used in smart phone camera, mobile video conferencing or in medical equipment that require tiny cameras. Extracting quantitative phase information has received increased interest in order to quantify the quality of manufactured wafer-level-optics, detect defective devices before packaging, and provide feedback for manufacturing process control, all at the wafer-level for high-throughput microfabrication. We demonstrate two phase imaging methods, digital holographic microscopy (DHM) and Transport-of-Intensity Equation (TIE) to measure the phase of the wafer-level lenses. DHM is a laser-based interferometric method based on interference of two wavefronts. It can perform a phase measurement in a single shot. While a minimum of two measurements of the spatial intensity of the optical wave in closely spaced planes perpendicular to the direction of propagation are needed to do the direct phase retrieval by solving a second-order differential equation, i.e., with a non-iterative deterministic algorithm from intensity measurements using the Transport-of-Intensity Equation (TIE). But TIE is a non-interferometric method, thus can be applied to partial-coherence light. We demonstrated the capability and disability for the two phase measurement methods for wafer-level optics inspection.

  6. High-Q Wafer Level Package Based on Modified Tri-Layer Anodic Bonding and High Performance Getter and Its Evaluation for Micro Resonant Pressure Sensor.

    PubMed

    Wang, Liying; Du, Xiaohui; Wang, Lingyun; Xu, Zhanhao; Zhang, Chenying; Gu, Dandan

    2017-03-16

    In order to achieve and maintain a high quality factor (high-Q) for the micro resonant pressure sensor, this paper presents a new wafer level package by adopting cross-layer anodic bonding technique of the glass/silicon/silica (GSS) stackable structure and integrated Ti getter. A double-layer structure similar to a silicon-on-insulator (SOI) wafer is formed after the resonant layer and the pressure-sensitive layer are bonded by silicon direct bonding (SDB). In order to form good bonding quality between the pressure-sensitive layer and the glass cap layer, the cross-layer anodic bonding technique is proposed for vacuum package by sputtering Aluminum (Al) on the combination wafer of the pressure-sensitive layer and the resonant layer to achieve electrical interconnection. The model and the bonding effect of this technique are discussed. In addition, in order to enhance the performance of titanium (Ti) getter, the prepared and activation parameters of Ti getter under different sputtering conditions are optimized and discussed. Based on the optimized results, the Ti getter (thickness of 300 nm to 500 nm) is also deposited on the inside of the glass groove by magnetron sputtering to maintain stable quality factor (Q). The Q test of the built testing system shows that the number of resonators with a Q value of more than 10,000 accounts for more than 73% of the total. With an interval of 1.5 years, the Q value of the samples remains almost constant. It proves the proposed cross-layer anodic bonding and getter technique can realize high-Q resonant structure for long-term stable operation.

  7. New optoelectronic methodology for nondestructive evaluation of MEMS at the wafer level

    NASA Astrophysics Data System (ADS)

    Furlong, Cosme; Ferguson, Curtis F.; Melson, Michael J.

    2004-02-01

    One of the approaches to fabrication of MEMS involves surface micromachining to define dies on single crystal silicon wafers, dicing of the wafers to separate the dies, and electronic packaging of the individual dies. Dicing and packaging of MEMS accounts for a large fraction of the fabrication costs, therefore, nondestructive evaluation at the wafer level, before dicing, can have significant implications on improving production yield and costs. In this paper, advances in development of optoelectronic holography (OEH) techniques for nondestructive, noninvasive, full-field of view evaluation of MEMS at the wafer level are described. With OEH techniques, quantitative measurements of shape and deformation of MEMS, as related to their performance and integrity, are obtained with sub-micrometer spatial resolution and nanometer measuring accuracy. To inspect an entire wafer with OEH methodologies, measurements of overlapping regions of interest (ROI) on a wafer are recorded and adjacent ROIs are stitched together through efficient 3D correlation analysis algorithms. Capabilities of the OEH techniques are illustrated with representative applications, including determination of optimal inspection conditions to minimize inspection time while achieving sufficient levels of accuracy and resolution.

  8. Output blue light evaluation for phosphor based smart white LED wafer level packages.

    PubMed

    Kolahdouz, Zahra; Rostamian, Ali; Kolahdouz, Mohammadreza; Ma, Teng; van Zeijl, Henk; Zhang, Kouchi

    2016-02-22

    This study presents a blue light detector for evaluating the output light of phosphor based white LED package. It is composed of a silicon stripe-shaped photodiode designed and implemented in a 2 μm BiCMOS process which can be used for wafer level integration of different passive and active devices all in just 5 lithography steps. The final device shows a high selectivity to blue light. The maximum responsivity at 480 nm is matched with the target blue LED illumination. The designed structure have better responsivity compared to simple photodiode structure due to reducing the effect of dead layer formation close to the surface because of implantation. It has also a two-fold increase in the responsivity and quantum efficiency compared to previously similar published sensors.

  9. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  10. Wafer-level Cu-Sn micro-joints with high mechanical strength and low Sn overflow

    NASA Astrophysics Data System (ADS)

    Duan, Ani; Luu, Thi-Thuy; Wang, Kaiying; Aasmundtveit, Knut; Hoivik, Nils

    2015-09-01

    In this paper, we report wafer-level bonding using solid-liquid inter-diffusion (SLID) processes for fabricating micro-joints Cu-Sn at low temperature (270 °C). The evolution of multilayer Cu/Sn to micro-joint alloys has been characterized by optical microscopy and mechanical die-shear testing. The Cu-Sn joints with line width from 80 to 200 μm prove to be reliable packaging materials for bonding vacuum micro-cavities with controllable Sn overflow, as well as high mechanical strength (>70 MPa). A thermodynamic model has been performed to further understand the formation of Cu-Sn intermetallic alloys. There are two important findings for this work: 1) Using a two-step temperature profile may significantly reduce the amount of Sn overflow; 2) for packaging, a bond frame width greater than 80 μm will result in high yield.

  11. Reliability and Characteristics of Wafer-Level Chip-Scale Packages under Current Stress

    NASA Astrophysics Data System (ADS)

    Chen, Po-Ying; Kung, Heng-Yu; Lai, Yi-Shao; Hsiung Tsai, Ming; Yeh, Wen-Kuan

    2008-02-01

    In this work, we present a novel approach and method for elucidating the characteristics of wafer-level chip-scale packages (WLCSPs) for electromigration (EM) tests. The die in WLCSP was directly attached to the substrate via a soldered interconnect. The shrinking of the area of the die that is available for power, and the solder bump also shrinks the volume and increases the density of electrons for interconnect efficiency. The bump current density now approaches to 106 A/cm2, at which point the EM becomes a significant reliability issue. As known, the EM failure depends on numerous factors, including the working temperature and the under bump metallization (UBM) thickness. A new interconnection geometry is adopted extensively with moderate success in overcoming larger mismatches between the displacements of components during current and temperature changes. Both environments and testing parameters for WLCSP are increasingly demanded. Although failure mechanisms are considered to have been eliminated or at least made manageable, new package technologies are again challenging its process, integrity and reliability. WLCSP technology was developed to eliminate the need for encapsulation to ensure compatibility with smart-mount technology (SMT). The package has good handing properties but is now facing serious reliability problems. In this work, we investigated the reliability of a WLCSP subjected to different accelerated current stressing conditions at a fixed ambient temperature of 125 °C. A very strong correlation exists between the mean time to failure (MTTF) of the WLCSP test vehicle and the mean current density that is carried by a solder joint. A series of current densities were applied to the WLCSP architecture; Black's power law was employed in a failure mode simulation. Additionally, scanning electron microscopy (SEM) was adopted to determine the differences existing between high- and low-current-density failure modes.

  12. Coaxial twin-shaft magnetic fluid seals applied in vacuum wafer-handling robot

    NASA Astrophysics Data System (ADS)

    Cong, Ming; Wen, Haiying; Du, Yu; Dai, Penglei

    2012-07-01

    Compared with traditional mechanical seals, magnetic fluid seals have unique characters of high airtightness, minimal friction torque requirements, pollution-free and long life-span, widely used in vacuum robots. With the rapid development of Integrate Circuit (IC), there is a stringent requirement for sealing wafer-handling robots when working in a vacuum environment. The parameters of magnetic fluid seals structure is very important in the vacuum robot design. This paper gives a magnetic fluid seal device for the robot. Firstly, the seal differential pressure formulas of magnetic fluid seal are deduced according to the theory of ferrohydrodynamics, which indicate that the magnetic field gradient in the sealing gap determines the seal capacity of magnetic fluid seal. Secondly, the magnetic analysis model of twin-shaft magnetic fluid seals structure is established. By analyzing the magnetic field distribution of dual magnetic fluid seal, the optimal value ranges of important parameters, including parameters of the permanent magnetic ring, the magnetic pole tooth, the outer shaft, the outer shaft sleeve and the axial relative position of two permanent magnetic rings, which affect the seal differential pressure, are obtained. A wafer-handling robot equipped with coaxial twin-shaft magnetic fluid rotary seals and bellows seal is devised and an optimized twin-shaft magnetic fluid seals experimental platform is built. Test result shows that when the speed of the two rotational shafts ranges from 0-500 r/min, the maximum burst pressure is about 0.24 MPa. Magnetic fluid rotary seals can provide satisfactory performance in the application of wafer-handling robot. The proposed coaxial twin-shaft magnetic fluid rotary seal provides the instruction to design high-speed vacuum robot.

  13. Wafer level reliability for high-performance VLSI design

    NASA Technical Reports Server (NTRS)

    Root, Bryan J.; Seefeldt, James D.

    1987-01-01

    As very large scale integration architecture requires higher package density, reliability of these devices has approached a critical level. Previous processing techniques allowed a large window for varying reliability. However, as scaling and higher current densities push reliability to its limit, tighter control and instant feedback becomes critical. Several test structures developed to monitor reliability at the wafer level are described. For example, a test structure was developed to monitor metal integrity in seconds as opposed to weeks or months for conventional testing. Another structure monitors mobile ion contamination at critical steps in the process. Thus the reliability jeopardy can be assessed during fabrication preventing defective devices from ever being placed in the field. Most importantly, the reliability can be assessed on each wafer as opposed to an occasional sample.

  14. A low feed-through 3D vacuum packaging technique with silicon vias for RF MEMS resonators

    NASA Astrophysics Data System (ADS)

    Zhao, Jicong; Yuan, Quan; Kan, Xiao; Yang, Jinling; Yang, Fuhua

    2017-01-01

    This paper presents a wafer-level three-dimensional (3D) vacuum packaging technique for radio frequency microelectromechanical systems (RF MEMS) resonators. A Sn-rich Au-Sn solder bonding is employed to provide a vacuum encapsulation as well as electrical conductions. Vertical silicon vias are micro-fabricated by glass reflow process. The optimized grounding, via pitch, and all-round shielding effectively reduce feed-through capacitance. Thus the signal-to-background ratios (SBRs) of the transmission signals increase from 17 dB to 20 dB, and the quality factor (Q) values of the packaged resonators go from around 8000 up to more than 9500. The measured average leak rate and shear strength are (2.55  ±  0.9)  ×  10-8 atm-cc s-1 and 42.53  ±  4.19 MPa, respectively. Furthermore, thermal cycling test between  -40 °C and 100 °C and high temperature storage test at 150 °C show that the resonant-frequency drifts are less than  ±7 ppm. In addition, the SBRs and the Q values have no obvious change after the tests. The experimental results demonstrated that the proposed encapsulation technique is well suited for the applications of RF MEMS devices.

  15. Functional Testing and Characterisation of ISFETs on Wafer Level by Means of a Micro-droplet Cell#

    PubMed Central

    Poghossian, Arshak; Schumacher, Kerstin; Kloock, Joachim P.; Rosenkranz, Christian; Schultze, Joachim W.; Müller-Veggian, Mattea; Schöning, Michael J.

    2006-01-01

    A wafer-level functionality testing and characterisation system for ISFETs (ion-sensitive field-effect transistor) is realised by means of integration of a specifically designed capillary electrochemical micro-droplet cell into a commercial wafer prober-station. The developed system allows the identification and selection of “good” ISFETs at the earliest stage and to avoid expensive bonding, encapsulation and packaging processes for non-functioning ISFETs and thus, to decrease costs, which are wasted for bad dies. The developed system is also feasible for wafer-level characterisation of ISFETs in terms of sensitivity, hysteresis and response time. Additionally, the system might be also utilised for wafer-level testing of further electrochemical sensors.

  16. Wafer-level radiometric performance testing of uncooled microbolometer arrays

    NASA Astrophysics Data System (ADS)

    Dufour, Denis G.; Topart, Patrice; Tremblay, Bruno; Julien, Christian; Martin, Louis; Vachon, Carl

    2014-03-01

    A turn-key semi-automated test system was constructed to perform on-wafer testing of microbolometer arrays. The system allows for testing of several performance characteristics of ROIC-fabricated microbolometer arrays including NETD, SiTF, ROIC functionality, noise and matrix operability, both before and after microbolometer fabrication. The system accepts wafers up to 8 inches in diameter and performs automated wafer die mapping using a microscope camera. Once wafer mapping is completed, a custom-designed quick insertion 8-12 μm AR-coated Germanium viewport is placed and the chamber is pumped down to below 10-5 Torr, allowing for the evaluation of package-level focal plane array (FPA) performance. The probe card is electrically connected to an INO IRXCAM camera core, a versatile system that can be adapted to many types of ROICs using custom-built interface printed circuit boards (PCBs). We currently have the capability for testing 384x288, 35 μm pixel size and 160x120, 52 μm pixel size FPAs. For accurate NETD measurements, the system is designed to provide an F/1 view of two rail-mounted blackbodies seen through the Germanium window by the die under test. A master control computer automates the alignment of the probe card to the dies, the positioning of the blackbodies, FPA image frame acquisition using IRXCAM, as well as data analysis and storage. Radiometric measurement precision has been validated by packaging dies measured by the automated probing system and re-measuring the SiTF and Noise using INO's pre-existing benchtop system.

  17. Low temperature wafer-level bonding for hermetic packaging of 3D microsystems

    NASA Astrophysics Data System (ADS)

    Tan, C. S.; Fan, J.; Lim, D. F.; Chong, G. Y.; Li, K. H.

    2011-07-01

    Metallic copper-copper (Cu-Cu) thermo-compression bonding, oxide-oxide (SiO2-SiO2) fusion bonding and silicon-silicon (Si-Si) direct bonding are investigated for potential application as hermetic seal in 3D microsystem packaging. Cavities are etched to a volume of 1.4 × 10-3 cm3 in accordance with the MIL-STD-883E standard prescribed for microelectronics packaging. In the case of metal bonding, a clean Cu layer with a thickness of 300 nm and a Ti barrier layer with an underlying thickness of 50 nm are used. The wafer pair is bonded at 300 °C under the application of a bonding force of 5500 N for 1 h. On the other hand, Si-Si bonding and SiO2-SiO2 bonding are initiated at room ambient after surface activation, followed by annealing in inert ambient at 300 °C for 1 h. The bonded cavities are stored in a helium bomb chamber and the leak rate is measured with a mass spectrometer. An excellent helium leak rate below 5 × 10-9 atm cm3 s-1 is detected for all cases and this is at least ten times better than the reject limit.

  18. A highly miniaturized vacuum package for a trapped ion atomic clock

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schwindt, Peter D. D., E-mail: pschwin@sandia.gov; Jau, Yuan-Yu; Partner, Heather

    2016-05-15

    We report on the development of a highly miniaturized vacuum package for use in an atomic clock utilizing trapped ytterbium-171 ions. The vacuum package is approximately 1 cm{sup 3} in size and contains a linear quadrupole RF Paul ion trap, miniature neutral Yb sources, and a non-evaporable getter pump. We describe the fabrication process for making the Yb sources and assembling the vacuum package. To prepare the vacuum package for ion trapping, it was evacuated, baked at a high temperature, and then back filled with a helium buffer gas. Once appropriate vacuum conditions were achieved in the package, it wasmore » sealed with a copper pinch-off and was subsequently pumped only by the non-evaporable getter. We demonstrated ion trapping in this vacuum package and the operation of an atomic clock, stabilizing a local oscillator to the 12.6 GHz hyperfine transition of {sup 171}Y b{sup +}. The fractional frequency stability of the clock was measured to be 2 × 10{sup −11}/τ{sup 1/2}.« less

  19. A highly miniaturized vacuum package for a trapped ion atomic clock

    DOE PAGES

    Schwindt, Peter D. D.; Jau, Yuan-Yu; Partner, Heather; ...

    2016-05-12

    We report on the development of a highly miniaturized vacuum package for use in an atomic clock utilizing trapped ytterbium-171 ions. The vacuum package is approximately 1 cm 3 in size and contains a linear quadrupole RF Paul ion trap, miniature neutral Yb sources, and a non-evaporable getter pump. We describe the fabrication process for making the Yb sources and assembling the vacuum package. To prepare the vacuum package for ion trapping, it was evacuated, baked at a high temperature, and then back filled with a helium buffer gas. Once appropriate vacuum conditions were achieved in the package, the packagemore » was sealed with a copper pinch-off and was then pumped only by the non-evaporable getter. We demonstrated ion trapping in this vacuum package and the operation of an atomic clock, stabilizing a local oscillator to the 12.6 GHz hyperfine transition of 171Yb +. The fractional frequency stability of the clock was measured to be 2 × 10 -11 / τ 1/2.« less

  20. Method for sequentially processing a multi-level interconnect circuit in a vacuum chamber

    NASA Technical Reports Server (NTRS)

    Routh, D. E.; Sharma, G. C. (Inventor)

    1984-01-01

    An apparatus is disclosed which includes a vacuum system having a vacuum chamber in which wafers are processed on rotating turntables. The vacuum chamber is provided with an RF sputtering system and a dc magnetron sputtering system. A gas inlet introduces various gases to the vacuum chamber and creates various gas plasma during the sputtering steps. The rotating turntables insure that the respective wafers are present under the sputtering guns for an average amount of time such that consistency in sputtering and deposition is achieved. By continuous and sequential processing of the wafers in a common vacuum chamber without removal, the adverse affects of exposure to atmospheric conditions are eliminated providing higher quality circuit contacts and functional device.

  1. Method for sequentially processing a multi-level interconnect circuit in a vacuum chamber

    NASA Technical Reports Server (NTRS)

    Routh, D. E.; Sharma, G. C. (Inventor)

    1982-01-01

    The processing of wafer devices to form multilevel interconnects for microelectronic circuits is described. The method is directed to performing the sequential steps of etching the via, removing the photo resist pattern, back sputtering the entire wafer surface and depositing the next layer of interconnect material under common vacuum conditions without exposure to atmospheric conditions. Apparatus for performing the method includes a vacuum system having a vacuum chamber in which wafers are processed on rotating turntables. The vacuum chamber is provided with an RF sputtering system and a DC magnetron sputtering system. A gas inlet is provided in the chamber for the introduction of various gases to the vacuum chamber and the creation of various gas plasma during the sputtering steps.

  2. Color stability of ground beef packaged in a low carbon monoxide atmosphere or vacuum.

    PubMed

    Jeong, Jong Youn; Claus, James R

    2011-01-01

    Ground beef was either packaged in an atmosphere of 0.4% CO, 30% CO₂, and 69.6% N₂ (CO-MAP) or vacuum. After storage (48 h, 2-3°C), packages of CO-MAP and vacuum were opened and overwrapped with polyvinyl chloride. Other CO-MAP and vacuum packages were left intact. Packages were initially displayed for 7 days (2-3°C). Intact packages were further displayed up to 35 days before being opened and displayed (1 or 3 days). Intact CO-MAP packaged ground beef was always more red than intact vacuum-packaged ground beef. Color was relatively stable for both types of intact packages over 35 days of display. Upon opening CO-MAP packaged ground beef, the red color decreased slower than in ground beef from vacuum packages. Published by Elsevier Ltd.

  3. Study on vacuum packaging reliability of micromachined quartz tuning fork gyroscopes

    NASA Astrophysics Data System (ADS)

    Fan, Maoyan; Zhang, Lifang

    2017-09-01

    Packaging technology of the micromachined quartz tuning fork gyroscopes by vacuum welding has been experimentally studied. The performance of quartz tuning fork is influenced by the encapsulation shell, encapsulation method and fixation of forks. Alloy solder thick film is widely used in the package to avoid the damage of the chip structure by the heat resistance and hot temperature, and this can improve the device performance and welding reliability. The results show that the bases and the lids plated with gold and nickel can significantly improve the airtightness and reliability of the vacuum package. Vacuum packaging is an effective method to reduce the vibration damping, improve the quality factor and further enhance the performance. The threshold can be improved nearly by 10 times.

  4. Redesigning the continuous vacuum sealer packaging machine to improve the processing speed

    NASA Astrophysics Data System (ADS)

    Belo, J. B.; Widyanto, S. A.; Jamari, J.

    2017-01-01

    Vacuum sealer as a product packaging tool of food products to be able to vacuum air inside the plastic which is filled with food products and it causes the pressure lower. In this condition, the optimal heating temperature is reached in a shorter time, so that damage on plastic sealer of vacuumed food products could be prevented to be more effective and efficient. The purpose of this redesigning is to design a vacuum sealer packaging machine continuously through a conveyor mechanism on the packaging quality, time of processing speed of vacuuming food product in the plastic package. This designing process is conducted through several steps of designing and constructing tools until the products are ready to operate. Data analysis is done through quality test of vacuum and sealer to the plastic thickness of 75 µm, 80 µm, and 100 µm with temperature of 170°C, 180°C, 190°C and vacuum duration of 5 seconds, 8 seconds, and 60 seconds. Results of this designing process indicate that vacuum sealer works practically and more optimally with the time of vacuum processing speed of 0 to 1 minute/s; whereas, the pressure of vacuuming suction is until 1e-5 MPa. The results of tensile strength test are at a maximum of 32,796 (N/mm2) and a minimum of 20,155 (N/mm2) and the analysis of plastic composite with EDX. This result shows that the vacuum pressure and the quality of vacuum sealer are better and more efficient.

  5. Preparation of wafer-level glass cavities by a low-cost chemical foaming process (CFP).

    PubMed

    Shang, Jintang; Chen, Boyin; Lin, Wei; Wong, Ching-Ping; Zhang, Di; Xu, Chao; Liu, Junwen; Huang, Qing-An

    2011-04-21

    A novel foaming process-chemical foaming process (CFP)-using foaming agents to fabricate wafer-level micro glass cavities including channels and bubbles was investigated. The process consists of the following steps sequentially: (1) shallow cavities were fabricated by a wet etching on a silicon wafer; (2) powders of a proper foaming agent were placed in a silicon cavity, named 'mother cavity', on the etched silicon surface; (3) the silicon cavities were sealed with a glass wafer by anodic bonding; (4) the bonded wafers were heated to above the softening point of the glass, and baked for several minutes, when the gas released by the decomposition of the foaming agent in the 'mother cavity' went into the other sealed interconnected silicon cavities to foam the softened glass into cylindrical channels named 'daughter channels', or spherical bubbles named 'son bubbles'. Results showed that wafer-level micro glass cavities with smooth wall surfaces were achieved successfully without contamination by the CFP. A model for the CFP was proposed to predict the final shape of the glass cavity. Experimental results corresponded with model predictions. The CFP provides a low-cost avenue to preparation of micro glass cavities of high quality for applications such as micro-reactors, micro total analysis systems (μTAS), analytical and bio-analytical applications, and MEMS packaging.

  6. Packaging of MEMS/MOEMS and nanodevices: reliability, testing, and characterization aspects

    NASA Astrophysics Data System (ADS)

    Tekin, Tolga; Ngo, Ha-Duong; Wittler, Olaf; Bouhlal, Bouchaib; Lang, Klaus-Dieter

    2011-02-01

    The last decade witnessed an explosive growth in research and development efforts devoted to MEMS devices and packaging. The successfully developed MEMS devices are, for example inkjet, pressure sensors, silicon microphones, accelerometers, gyroscopes, MOEMS, micro fuel cells and emerging MEMS. For the next decade, MEMS/MOEMS and nanodevice based products will penetrate into IT, telecommunications, automotive, defense, life sciences, medical and implantable applications. Forecasts say the MEMS market to be $14 billion by 2012. The packaging cost of MEMS/MOEMS products in general is about 70 percent. Unlike today's electronics IC packaging, their packaging are custom-built and difficult due to the moving structural elements. In order for the moving elements of a MEMS device to move effectively in a well-controlled atmosphere, hermetic sealing of the MEMS device in a cap is necessary. For some MEMS devices, such as resonators and gyroscopes, vacuum packaging is required. Usually, the cap is processed at the wafer level, and thus MEMS packaging is truly a wafer level packaging. In terms of MEMS/MOEMS and nanodevice packaging, there are still many critical issues need to be addressed due to the increasing integration density supported by 3D heterogeneous integration of multi-physic components/layers consisting of photonics, electronics, rf, plasmonics, and wireless. The infrastructure of MEMS/MOEMS and nanodevices and their packaging is not well established yet. Generic packaging platform technologies are not available. Some of critical issues have been studied intensively in the last years. In this paper we will discuss about processes, reliability, testing and characterization of MEMS/MOEMS and nanodevice packaging.

  7. SEMICONDUCTOR TECHNOLOGY: Wafer level hermetic packaging based on Cu-Sn isothermal solidification technology

    NASA Astrophysics Data System (ADS)

    Yuhan, Cao; Le, Luo

    2009-08-01

    A novel wafer level bonding method based on Cu-Sn isothermal solidification technology is established. A multi-layer sealing ring and the bonding processing are designed, and the amount of solder and the bonding parameters are optimized based on both theoretical and experimental results. Verification shows that oxidation of the solder layer, voids and the scalloped-edge appearance of the Cu6Sn5 phase are successfully avoided. An average shear strength of 19.5 MPa and an excellent leak rate of around 1.9 × 10-9 atm cc/s are possible, meeting the demands of MIL-STD-883E.

  8. Localized heating and bonding technique for MEMS packaging

    NASA Astrophysics Data System (ADS)

    Cheng, Yu-Ting

    Localized heating and bonding techniques have been developed for hermetic and vacuum packaging of MEMS devices, including silicon-to-glass fusion, silicon-gold eutectic, and silicon-to-glass bonding using PSG, indium, aluminum, and aluminum/silicon alloy as the intermediate layer. Line shaped phosphorus-doped polysilicon or gold films are used as resistive microheaters to provide enough thermal energy for bonding. The bonding processes are conducted in the common environment of room temperature and atmospheric pressure and can achieve bonding strength comparable to the fracture toughness of bulk silicon in less than 10 minutes. About 5 watts of input power is needed for localized bonding which can seal a 500 x 500 mum2 area. The total input power is determined by the thermal properties of bonding materials, including the heat capacity and latent heat. Two important bonding results are obtained: (1) The surface step created by the electrical interconnect line can be planarized by reflowing the metal solder. (2) Small applied pressure, less than 1MPa, for intimate contact reduces mechanical damage to the device substrate. This new class of bonding technology has potential applications for MEMS fabrication and packaging that require low temperature processing at the wafer level, excellent bonding strength and hermetic sealing characteristics. A hermetic package based on localized aluminum/silicon-to-glass bonding has been successfully fabricated. Less than 0.2 MPa contact pressure with 46mA input current for two parallel 3.5mum wide polysilicon on-chip microheaters can create as high as 700°C bonding temperature and achieve a strong and reliable bond in 7.5 minutes. Accelerated testing in an autoclave shows some packages survive more than 450 hours under 3 atm, 100%RH and 128°C. Premature failure has been attributed to some unbonded regions on the failed samples. The bonding yield and reliability have been improved by increasing bonding time and applied pressure

  9. Maskless wafer-level microfabrication of optical penetrating neural arrays out of soda-lime glass: Utah Optrode Array.

    PubMed

    Boutte, Ronald W; Blair, Steve

    2016-12-01

    Borrowing from the wafer-level fabrication techniques of the Utah Electrode Array, an optical array capable of delivering light for neural optogenetic studies is presented in this paper: the Utah Optrode Array. Utah Optrode Arrays are micromachined out of sheet soda-lime-silica glass using standard backend processes of the semiconductor and microelectronics packaging industries such as precision diamond grinding and wet etching. 9 × 9 arrays with 1100μ m × 100μ m optrodes and a 500μ m back-plane are repeatably reproduced on 2i n wafers 169 arrays at a time. This paper describes the steps and some of the common errors of optrode fabrication.

  10. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  11. Wafer level reliability testing: An idea whose time has come

    NASA Technical Reports Server (NTRS)

    Trapp, O. D.

    1987-01-01

    Wafer level reliability testing has been nurtured in the DARPA supported workshops, held each autumn since 1982. The seeds planted in 1982 have produced an active crop of very large scale integration manufacturers applying wafer level reliability test methods. Computer Aided Reliability (CAR) is a new seed being nurtured. Users are now being awakened by the huge economic value of the wafer reliability testing technology.

  12. Comparison of modified atmosphere packaging and vacuum packaging for long period storage of dry-cured ham: effects on colour, texture and microbiological quality.

    PubMed

    García-Esteban, Marta; Ansorena, Diana; Astiasarán, Iciar

    2004-05-01

    Slices of dry-cured hams (Biceps femoris muscle) were stored during 8 weeks under vacuum and modified atmospheres (100% N(2) and a mixture of 20% CO(2) and 80% N(2)) in order to study the modifications on colour, texture and microbial counts during that period. Lightness was found to be more stable when samples were stored with 20% CO(2) and 80% N(2) without statistical differences between vacuum and 100% N(2). A slight whiteness was observed in the vacuum packed samples. Yellowness increased during time in vacuum packed samples, although no differences were found among the three conditions at the end of the study. Redness values were not affected by time or by the packaging system. With regard to texture, values found for all samples were within the normal range for this type of products, although it was observed that modified atmosphere packaging preserved samples better from hardening than vacuum packaging. No safety problems were detected in relation to the microbial quality in any case. In general, no clear differences were found among the three packaging systems for colour, texture and microbial quality in the storage conditions studied.

  13. Extension of the shelf life of prawns (Penaeus japonicus) by vacuum packaging and high-pressure treatment.

    PubMed

    López-Caballero, M E; Pérez-Mateos, M; Borderías, J A; Montero, P

    2000-10-01

    The present study has investigated the application of high pressures (200 and 400 MPa) in chilled prawn tails, both conventionally stored (air) and vacuum packaged. Vacuum packaging and high-pressure treatment did extend the shelf life of the prawn samples, although it did affect muscle color very slightly, giving it a whiter appearance. The viable shelf life of 1 week for the air-stored samples was extended to 21 days in the vacuum-packed samples, 28 days in the samples treated at 200 MPa, and 35 days in the samples pressurized at 400 MPa. Vacuum packaging checked the onset of blackening, whereas high-pressure treatment aggravated the problem. From a microbiological point of view, batches conventionally stored reached about 6 log CFU/g or even higher at 14 days. Similar figures were reached in total number of bacteria in vacuum-packed samples and in pressurized at 200-MPa samples at 21 days. When samples were pressurized at 400 MPa, total numbers of bacteria were below 5.5 log CFU/g at 35 days of storage. Consequently, a combination of vacuum packaging and high-pressure treatment would appear to be beneficial in prolonging freshness and preventing spotting.

  14. Design and fabrication of a foldable 3D silicon based package for solid state lighting applications

    NASA Astrophysics Data System (ADS)

    Sokolovskij, R.; Liu, P.; van Zeijl, H. W.; Mimoun, B.; Zhang, G. Q.

    2015-05-01

    Miniaturization of solid state lighting (SSL) luminaires as well as reduction of packaging and assembly costs are of prime interest for the SSL lighting industry. A novel silicon based LED package for lighting applications is presented in this paper. The proposed design consists of 5 rigid Si tiles connected by flexible polyimide hinges with embedded interconnects (ICs). Electrical, optical and thermal characteristics were taken into consideration during design. The fabrication process involved polyimide (PI) application and patterning, aluminium interconnect integration in the flexible hinge, LED reflector cavity formation and metalization followed by through wafer DRIE etching for chip formation and release. A method to connect chip front to backside without TSVs was also integrated into the process. Post-fabrication wafer level assembly included LED mounting and wirebond, phosphor-based colour conversion and silicone encapsulation. The package formation was finalized by vacuum assisted wrapping around an assembly structure to form a 3D geometry, which is beneficial for omnidirectional lighting. Bending tests were performed on the flexible ICs and optical performance at different temperatures was evaluated. It is suggested that 3D packages can be expanded to platforms for miniaturized luminaire applications by combining monolithic silicon integration and system-in-package (SiP) technologies.

  15. Evolution of gettering technologies for vacuum tubes to getters for MEMS

    NASA Astrophysics Data System (ADS)

    Amiotti, M.

    2008-05-01

    Getter materials are technically proven and industrially accepted practical ways to maintain vacuum inside hermetically sealed tubes or devices to assure high reliability and long lifetime of the operating devices. The most industrially proven vacuum tube is the cathode rays tubes (CRTs), where large surfaces are available for the deposition of an evaporated barium film by a radio frequency inductive heating of a stainless steel container filled with a BaAl4 powder mixed to Ni powder. The evolution of the CRTs manufacturing technologies required also new types of barium getters able to withstand some thermal process in air without any deterioration of the evaporation characteristics. In other vacuum tubes such as traveling waves tubes, the space available for the evaporation of a barium film and the sorption capacity required to assure the vacuum for the lifetime of the devices did not allow the use of the barium film, prompting the development of sintered non evaporable getter pills that can be activated during the manufacturing process or by flowing current through an embedded resistance. The same sintered non evaporable getter pills could find usage also in evacuated parts to thermally isolate the infrared sensors for different final applications. In high energy physics particle accelerators, the getter technology moved from localized vacuum getter pumps or getter strips to a getter coating over the surface of vacuum chambers in order to guarantee a more uniform pumping speed. With the advent of solid state electronics, new challenges faced the getter technology to assure long life to vacuum or inert gas filled hermetical packages containing microelectronic devices, especially in the telecommunication and military applications. A well known problem of GaAs devices with Pd or Pt metalization is the H2 poisoning of the metal gate: to prevent this degradation a two layer getter film has been develop to absorb a large quantity of H2 per unit of getter surface. The

  16. MEMS packaging: state of the art and future trends

    NASA Astrophysics Data System (ADS)

    Bossche, Andre; Cotofana, Carmen V. B.; Mollinger, Jeff R.

    1998-07-01

    Now that the technology for Integrated sensor and MEMS devices has become sufficiently mature to allow mass production, it is expected that the prices of bare chips will drop dramatically. This means that the package prices will become a limiting factor in market penetration, unless low cost packaging solutions become available. This paper will discuss the developments in packaging technology. Both single-chip and multi-chip packaging solutions will be addressed. It first starts with a discussion on the different requirements that have to be met; both from a device point of view (open access paths to the environment, vacuum cavities, etc.) and from the application point of view (e.g. environmental hostility). Subsequently current technologies are judged on their applicability for MEMS and sensor packaging and a forecast is given for future trends. It is expected that the large majority of sensing devices will be applied in relative friendly environments for which plastic packages would suffice. Therefore, on the short term an important role is foreseen for recently developed plastic packaging techniques such as precision molding and precision dispensing. Just like in standard electronic packaging, complete wafer level packaging methods for sensing devices still have a long way to go before they can compete with the highly optimized and automated plastic packaging processes.

  17. Environmentally benign processing of YAG transparent wafers

    NASA Astrophysics Data System (ADS)

    Yang, Yan; Wu, Yiquan

    2015-12-01

    Transparent yttrium aluminum garnet (YAG) wafers were successfully produced via aqueous tape casting and vacuum sintering techniques using a new environmentally friendly binder, a copolymer of isobutylene and maleic anhydride with the commercial name ISOBAM (noted as ISOBAM). Aqueous YAG slurries were mixed by ball-milling, which was followed by de-gassing and tape casting of wafers. The final YAG green tapes were homogenous and flexible, and could be bent freely without cracking. After the drying and sintering processes, transparent YAG wafers were achieved. The microstructures of both the green tape and vacuum-sintered YAG ceramic were observed by scanning electronic microscopy (SEM). Phase compositions were examined by X-ray diffraction (XRD). Optical transmittance was measured in UV-VIS regions with the result that the transmittance is 82.6% at a wavelength of 800 nm.

  18. Wafer-level colinearity monitoring for TFH applications

    NASA Astrophysics Data System (ADS)

    Moore, Patrick; Newman, Gary; Abreau, Kelly J.

    2000-06-01

    Advances in thin film head (TFH) designs continue to outpace those in the IC industry. The transition to giant magneto resistive (GMR) designs is underway along with the push toward areal densities in the 20 Gbit/inch2 regime and beyond. This comes at a time when the popularity of the low-cost personal computer (PC) is extremely high, and PC prices are continuing to fall. Consequently, TFH manufacturers are forced to deal with pricing pressure in addition to technological demands. New methods of monitoring and improving yield are required along with advanced head designs. TFH manufacturing is a two-step process. The first is a wafer-level process consisting of manufacturing devices on substrates using processes similar to those in the IC industry. The second half is a slider-level process where wafers are diced into 'rowbars' containing many heads. Each rowbar is then lapped to obtain the desired performance from each head. Variation in the placement of specific layers of each device on the bar, known as a colinearity error, causes a change in device performance and directly impacts yield. The photolithography tool and process contribute to colinearity errors. These components include stepper lens distortion errors, stepper stage errors, reticle fabrication errors, and CD uniformity errors. Currently, colinearity is only very roughly estimated during wafer-level TFH production. An absolute metrology tool, such as a Nikon XY, could be used to quantify colinearity with improved accuracy, but this technique is impractical since TFH manufacturers typically do not have this type of equipment at the production site. More importantly, this measurement technique does not provide the rapid feedback needed in a high-volume production facility. Consequently, the wafer-fab must rely on resistivity-based measurements from slider-fab to quantify colinearity errors. The feedback of this data may require several weeks, making it useless as a process diagnostic. This study examines

  19. Eutectic-based wafer-level-packaging technique for piezoresistive MEMS accelerometers and bond characterization using molecular dynamics simulations

    NASA Astrophysics Data System (ADS)

    Aono, T.; Kazama, A.; Okada, R.; Iwasaki, T.; Isono, Y.

    2018-03-01

    We developed a eutectic-based wafer-level-packaging (WLP) technique for piezoresistive micro-electromechanical systems (MEMS) accelerometers on the basis of molecular dynamics analyses and shear tests of WLP accelerometers. The bonding conditions were experimentally and analytically determined to realize a high shear strength without solder material atoms diffusing to adhesion layers. Molecular dynamics (MD) simulations and energy dispersive x-ray (EDX) spectrometry done after the shear tests clarified the eutectic reaction of the solder materials used in this research. Energy relaxation calculations in MD showed that the diffusion of solder material atoms into the adhesive layer was promoted at a higher temperature. Tensile creep MD simulations also suggested that the local potential energy in a solder material model determined the fracture points of the model. These numerical results were supported by the shear tests and EDX analyses for WLP accelerometers. Consequently, a bonding load of 9.8 kN and temperature of 300 °C were found to be rational conditions because the shear strength was sufficient to endure the polishing process after the WLP process and there was little diffusion of solder material atoms to the adhesion layer. Also, eutectic-bonding-based WLP was effective for controlling the attenuation of the accelerometers by determining the thickness of electroplated solder materials that played the role of a cavity between the accelerometers and lids. If the gap distance between the two was less than 6.2 µm, the signal gains for x- and z-axis acceleration were less than 20 dB even at the resonance frequency due to air-damping.

  20. Quality changes of cuttlefish stored under various atmosphere modifications and vacuum packaging.

    PubMed

    Bouletis, Achilleas D; Arvanitoyannis, Ioannis S; Hadjichristodoulou, Christos; Neofitou, Christos; Parlapani, Foteini F; Gkagtzis, Dimitrios C

    2016-06-01

    Seafood preservation and its shelf life prolongation are two of the main issues in the seafood industry. As a result, and in view of market globalization, research has been triggered in this direction by applying several techniques such as modified atmosphere packaging (MAP), vacuum packaging (VP) and active packaging (AP). However, seafood such as octopus, cuttlefish and others have not been thoroughly investigated up to now. The aim of this research was to determine the optimal conditions of modified atmosphere under which cuttlefish storage time and consequently shelf life time could be prolonged without endangering consumer safety. It was found that cuttlefish shelf life reached 2, 2, 4, 8 and 8 days for control, VP, MAP 1, MAP 2 and MAP 3 (20% CO2 -80% N2 , 50% CO2 -50% N2 and 70% CO2 -30% N2 for MAP 1, 2 and 3, respectively) samples, respectively, judging by their sensorial attributes. Elevated CO2 levels had a strong microbiostatic effect, whereas storage under vacuum did not offer significant advantages. All physicochemical attributes of MAP-treated samples were better preserved compared to control. Application of high CO2 atmospheres such as MAP 2 and MAP 3 proved to be an effective strategy toward preserving the characteristics and prolonging the shelf life of fresh cuttlefish and thereby improving its potential in the market. © 2015 Society of Chemical Industry. © 2015 Society of Chemical Industry.

  1. Co-Design Method and Wafer-Level Packaging Technique of Thin-Film Flexible Antenna and Silicon CMOS Rectifier Chips for Wireless-Powered Neural Interface Systems.

    PubMed

    Okabe, Kenji; Jeewan, Horagodage Prabhath; Yamagiwa, Shota; Kawano, Takeshi; Ishida, Makoto; Akita, Ippei

    2015-12-16

    In this paper, a co-design method and a wafer-level packaging technique of a flexible antenna and a CMOS rectifier chip for use in a small-sized implantable system on the brain surface are proposed. The proposed co-design method optimizes the system architecture, and can help avoid the use of external matching components, resulting in the realization of a small-size system. In addition, the technique employed to assemble a silicon large-scale integration (LSI) chip on the very thin parylene film (5 μm) enables the integration of the rectifier circuits and the flexible antenna (rectenna). In the demonstration of wireless power transmission (WPT), the fabricated flexible rectenna achieved a maximum efficiency of 0.497% with a distance of 3 cm between antennas. In addition, WPT with radio waves allows a misalignment of 185% against antenna size, implying that the misalignment has a less effect on the WPT characteristics compared with electromagnetic induction.

  2. Co-Design Method and Wafer-Level Packaging Technique of Thin-Film Flexible Antenna and Silicon CMOS Rectifier Chips for Wireless-Powered Neural Interface Systems

    PubMed Central

    Okabe, Kenji; Jeewan, Horagodage Prabhath; Yamagiwa, Shota; Kawano, Takeshi; Ishida, Makoto; Akita, Ippei

    2015-01-01

    In this paper, a co-design method and a wafer-level packaging technique of a flexible antenna and a CMOS rectifier chip for use in a small-sized implantable system on the brain surface are proposed. The proposed co-design method optimizes the system architecture, and can help avoid the use of external matching components, resulting in the realization of a small-size system. In addition, the technique employed to assemble a silicon large-scale integration (LSI) chip on the very thin parylene film (5 μm) enables the integration of the rectifier circuits and the flexible antenna (rectenna). In the demonstration of wireless power transmission (WPT), the fabricated flexible rectenna achieved a maximum efficiency of 0.497% with a distance of 3 cm between antennas. In addition, WPT with radio waves allows a misalignment of 185% against antenna size, implying that the misalignment has a less effect on the WPT characteristics compared with electromagnetic induction. PMID:26694407

  3. An investigation of the effect of rapid slurry chilling on blown pack spoilage of vacuum-packaged beef primals.

    PubMed

    Reid, R; Fanning, S; Whyte, P; Kerry, J; Bolton, D

    2017-02-01

    The aim of this study was to investigate if rapid slurry chilling would retard or prevent blown pack spoilage (BPS) of vacuum-packaged beef primals. Beef primals were inoculated with Clostridium estertheticum subspp. estertheticum (DSMZ 8809), C. estertheticum subspp. laramenise (DSMZ 14864) and C. gasigenes (DSMZ 12272), and vacuum-packaged with and without heat shrinkage (90°C for 3 s). These packs were then subjected to immediate chilling in an ice slurry or using conventional blast chilling systems and stored at 2°C for up to 100 days. The onset and progress of BPS was monitored using the following scale; 0-no gas bubbles in drip; 1-gas bubbles in drip; 2-loss of vacuum; 3-'blown'; 4-presence of sufficient gas inside the packs to produce pack distension and 5-tightly stretched, 'overblown' packs/packs leaking. Rapid slurry chilling (as compared to conventional chilling) did not significantly affect (P > 0.05) the time to the onset or progress of BPS. It was therefore concluded that rapid chilling of vacuum-packaged beef primals, using an ice slurry system, may not be used as a control intervention to prevent or retard blown pack spoilage. This study adds to our growing understanding of blown pack spoilage of vacuum-packaged beef primals and suggests that rapid chilling of vacuum-packaged beef primals is not a control option for the beef industry. The results suggest that neither eliminating the heat shrinkage step nor rapid chilling of vacuum-packaged beef retard the time to blown pack spoilage. © 2016 The Society for Applied Microbiology.

  4. How to Build a Vacuum Spring-transport Package for Spinning Rotor Gauges

    PubMed Central

    Fedchak, James A.; Scherschligt, Julia; Sefa, Makfir

    2016-01-01

    The spinning rotor gauge (SRG) is a high-vacuum gauge often used as a secondary or transfer standard for vacuum pressures in the range of 1.0 x 10-4 Pa to 1.0 Pa. In this application, the SRGs are frequently transported to laboratories for calibration. Events can occur during transportation that change the rotor surface conditions, thus changing the calibration factor. To assure calibration stability, a spring-transport mechanism is often used to immobilize the rotor and keep it under vacuum during transport. It is also important to transport the spring-transport mechanism using packaging designed to minimize the risk of damage during shipping. In this manuscript, a detailed description is given on how to build a robust spring-transport mechanism and shipping container. Together these form a spring-transport package. The spring-transport package design was tested using drop-tests and the performance was found to be excellent. The present spring-transport mechanism design keeps the rotor immobilized when experiencing shocks of several hundred g (g = 9.8 m/sec2 and is the acceleration due to gravity), while the shipping container assures that the mechanism will not experience shocks greater than about 100 g during common shipping mishaps (as defined by industry standards). PMID:27078575

  5. Magnetically Suspended Linear Pulse Motor for Semiconductor Wafer Transfer in Vacuum Chamber

    NASA Technical Reports Server (NTRS)

    Moriyama, Shin-Ichi; Hiraki, Naoji; Watanabe, Katsuhide; Kanemitsu, Yoichi

    1996-01-01

    This paper describes a magnetically suspended linear pulse motor for a semiconductor wafer transfer robot in a vacuum chamber. The motor can drive a wafer transfer arm horizontally without mechanical contact. In the construction of the magnetic suspension system, four pairs of linear magnetic bearings for the lift control are used for the guidance control as well. This approach allows us to make the whole motor compact in size and light in weight. The tested motor consists of a double-sided stator and a transfer arm with a width of 50 mm and a total length of 700 mm. The arm, like a ladder in shape, is designed as the floating element with a tooth width of 4 mm (a tooth pitch of 8 mm). The mover mass is limited to about 1.6 kg by adopting such an arm structure, and the ratio of thrust to mover mass reaches to 3.2 N/kg under a broad air gap (1 mm) between the stator teeth and the mover teeth. The performance testing was carried out with a transfer distance less than 450 mm and a transfer speed less than 560 mm/s. The attitude of the arm was well controlled by the linear magnetic bearings with a combined use, and consequently the repeatability on the positioning of the arm reached to about 2 micron. In addition, the positioning accuracy was improved up to about 30 micron through a compensation of the 128-step wave current which was used for the micro-step drive with a step increment of 62.5 micron.

  6. Protection of microelectronic devices during packaging

    DOEpatents

    Peterson, Kenneth A.; Conley, William R.

    2002-01-01

    The present invention relates to a method of protecting a microelectronic device during device packaging, including the steps of applying a water-insoluble, protective coating to a sensitive area on the device; performing at least one packaging step; and then substantially removing the protective coating, preferably by dry plasma etching. The sensitive area can include a released MEMS element. The microelectronic device can be disposed on a wafer. The protective coating can be a vacuum vapor-deposited parylene polymer, silicon nitride, metal (e.g. aluminum or tungsten), a vapor deposited organic material, cynoacrylate, a carbon film, a self-assembled monolayered material, perfluoropolyether, hexamethyldisilazane, or perfluorodecanoic carboxylic acid, silicon dioxide, silicate glass, or combinations thereof. The present invention also relates to a method of packaging a microelectronic device, including: providing a microelectronic device having a sensitive area; applying a water-insoluble, protective coating to the sensitive area; providing a package; attaching the device to the package; electrically interconnecting the device to the package; and substantially removing the protective coating from the sensitive area.

  7. Genesis Ultrapure Water Megasonic Wafer Spin Cleaner

    NASA Technical Reports Server (NTRS)

    Allton, Judith H.; Stansbery, Eileen K.; Calaway, Michael J.; Rodriquez, Melissa C.

    2013-01-01

    A device removes, with high precision, the majority of surface particle contamination greater than 1-micron-diameter in size from ultrapure semiconductor wafer materials containing implanted solar wind samples returned by NASA's Genesis mission. This cleaning device uses a 1.5-liter/minute flowing stream of heated ultrapure water (UPW) with 1- MHz oscillating megasonic pulse energy focused at 3 to 5 mm away from the wafer surface spinning at 1,000 to 10,000 RPM, depending on sample size. The surface particle contamination is removed by three processes: flowing UPW, megasonic cavitations, and centripetal force from the spinning wafer. The device can also dry the wafer fragment after UPW/megasonic cleaning by continuing to spin the wafer in the cleaning chamber, which is purged with flowing ultrapure nitrogen gas at 65 psi (.448 kPa). The cleaner also uses three types of vacuum chucks that can accommodate all Genesis-flown array fragments in any dimensional shape between 3 and 100 mm in diameter. A sample vacuum chuck, and the manufactured UPW/megasonic nozzle holder, replace the human deficiencies by maintaining a consistent distance between the nozzle and wafer surface as well as allowing for longer cleaning time. The 3- to 5-mm critical distance is important for the ability to remove particles by megasonic cavitations. The increased UPW sonication time and exposure to heated UPW improve the removal of 1- to 5-micron-sized particles.

  8. Effect of the ripening time under vacuum and packaging film permeability on processing and quality characteristics of low-fat fermented sausages.

    PubMed

    Liaros, N G; Katsanidis, E; Bloukas, J G

    2009-12-01

    The effect of vacuum ripening of low-fat fermented sausages packaged in films with different permeabilities on their microbiological, physicochemical and sensorial characteristics was studied. High-fat control sausages were produced with 30% initial fat and low-fat sausages with 10% initial fat. The low-fat sausages were separated into: (a) non-packaged (control) and (b) packaged under vacuum on 7th, 12th and 17th day of processing, remaining under vacuum during the ripening period for 21, 16 and 11days, respectively, in three different oxygen (100, 38 and⩽5cm(3)/m(2)/24h/1atm) and water vapour (4.5, <2.5 and 1g/m(2)24h) permeability plastic bags. Vacuum packaging reduced (p<0.05) the weight loss, the hardness and extent of lipid oxidation in the sausages, increased (p<0.05) their lightness, but had no effect (p>0.05) on the redness, compared to the control sausages. Packaging low-fat fermented sausages under vacuum for the last 11days of ripening in packaging film with high permeability increased (p<0.05) the lactic acid bacteria count. The same product packaged in film with medium permeability had a higher (p<0.05) Micrococcaceae count and the same (p>0.05) hardness and overall acceptability as the high-fat control sausages. A ripening time of 11days and the medium packaging film permeability were the most appropriate conditions for the vacuum packaging of low-fat fermented sausages.

  9. Effect of low-dose (1 kGy) gamma radiation and selected phosphates on the microflora of vacuum-packaged ground pork

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ehioba, R.M.

    1987-01-01

    The effects of low-dose (1 kGy) gamma radiation and selected phosphates on the microbiology of refrigerated, vacuum-packaged ground pork were studied. Low-dose gamma radiation reduced the numbers of naturally occurring mesophiles, psychrotrophs, and anaerobes. The effect of low-dose radiation on the populations of lactic acid bacteria was minimal. On storage of the irradiated vacuum-packaged ground pork at 5/sup 0/C, there was a partial bacterial recovery, suggesting sublethal bacterial injury due to irradiation. When 10/sup 7/ CFU/g of meat is taken to be the level beyond which the meat would be considered spoiled, uninoculated, vacuum-packaged ground pork treated with 1 kGymore » (100 krad) of gamma radiation had 3.5 more days of shelf-life in terms of psychrotrophic total counts. In relation to anaerobic bacterial numbers, meat shelf-life was extended 2.5 days, while the shelf-life of meat was extended 1 day in terms of aerobic mesophilic bacteria. Irradiation prolonged shelf-life in inoculated (10/sup 5/CFU/g) meat for 1.0-1.5 days. Addition of 0.4% sodium acid pyrophosphate (SAPP) contributed 2 additional days to inoculated, irradiated vacuum-packaged ground pork shelf-life. However, SAPP had no added effect on naturally occurring microflora. Irradiation greatly decreased the numbers of gram-negative microorganisms, resulting in predominance of the gram-positive, nonsporeforming Lactobacillus and coryneform bacteria.« less

  10. New getter configuration at wafer level for assuring long term stability of MEMs

    NASA Astrophysics Data System (ADS)

    Moraja, Marco; Amiotti, Marco; Kullberg, Richard C.

    2003-01-01

    The evolution from ceramic packages to wafer to wafer hermetic sealing poses tremendous technical challenges to integrate a proper getter inside the MEMs to assure a long term stability and reliability of the devices. The state of the art solution to integrate a getter inside the MEMs of the last generation consists in patterning the getter material with a specific geometry onto the Si cap wafer. The practical implementation of this solution consists in a 4" or 6" Si wafers with grooves or particular incisures, where the getter material is placed in form of a thick film. The typical thickness of these thick films is in the range of few microns, depending on the gas load to be handled during the lifetime of the device. The structure of the thick getter film is highly porous in order to improve sorption performances, but at the same time there are no loose particles thanks to a proprietary manufacturing method. The getter thick film is composed of a Zr special alloy with a proper composition to optimize the sorption performances. The getter thick film can be placed selectively into grooves without affecting the lateral regions, surrounding the grooves where the hermetic sealing is performed.

  11. Effect of vacuum and modified atmosphere packaging on microbiological properties of cold-smoked trout

    NASA Astrophysics Data System (ADS)

    Đorđević, J.; Pavlićević, N.; Bošković, M.; Janjić, J.; Glišić, M.; Starčević, M.; Baltić, M. Ž.

    2017-09-01

    Because of the importance of different packaging methods for the extension of fish shelf life, as a highly perishable food, the aim of the present study was to examine the effect of vacuum and modified atmosphere packaging on the total Enterobacteriaceae and lactic acid bacteria counts of cold-smoked Salmon trout (Oncorhynchus mykiss) stored at 3°C during six weeks. Trout fillets were vacuumed packaged (VP) or packaged in one of two different modified atmospheres, with gas ratio of 50%CO2/50%N2 (MAP1) and 90%CO2/10%N2 (MAP2) and analysed on days 0, 7, 14, 21, 28, 35 and 42. Both the total Enterobacteriaceae and total lactic acid bacteria counts increased in the trout fillets in all packaging types during storage. A significantly lower total Enterobacteriaceae count was determined in the MAP fish compared to the VP fish, with the weakest growth rate and lowest numbers attained in MAP2 fillets. The lactic acid bacteria count was higher in trout packaged in MAP compared to VP, with the highest number in the MAP with 90% CO2 (MAP2).

  12. Lipid and protein oxidation and sensory properties of vacuum-packaged dry-cured ham subjected to high hydrostatic pressure.

    PubMed

    Fuentes, Verónica; Ventanas, Jesús; Morcuende, David; Estévez, Mario; Ventanas, Sonia

    2010-07-01

    The effect of HHP treatment (600 MPa) on the oxidative stability of lipids and proteins of vacuum-packaged Iberian dry-cured ham and the impact on the sensory characteristics of the product was investigated. In order to assess how different commercial presentations are affected by HHP treatment, three different presentations of vacuum-packaged Iberian dry-cured ham were considered, namely, (i) intact format (IF) corresponding to non-sliced vacuum-packaged dry-cured ham, (ii) conventional-sliced format (CSF) corresponding to dry-cured ham slices placed stretched out in the package and (iii) alternative-sliced format (ASF) corresponding to dry-cured ham slices piled up horizontally. The oxidation of dry-cured ham lipids and proteins was enhanced by HHP-treatment with the presentation being highly influential on these oxidative reactions. Pre-slicing dry-cured ham results in a more susceptible product to oxidative reactions during pressurisation and subsequent refrigerated storage. Possible mechanisms, by which HHP-induced oxidative reactions would affect particular sensory traits in vacuum-packaged Iberian dry-cured ham such as colour, texture and flavour attributes, are discussed. Copyright 2010 Elsevier Ltd. All rights reserved.

  13. [Evaluation of the quality of poultry meat and its processing for vacuum packaging].

    PubMed

    Swiderski, F; Russel, S; Waszkiewicz-Robak, B; Cholewińska, E

    1997-01-01

    The aim of study was to evaluate the quality of poultry meat, roasted and smoked chicken and poultry pie packing under low and high vacuum. All investigated products were stored at +4 degrees C and evaluated by microbiological analysis. It was showed that packing under low and high vacuum inhibited development of aerobic microorganisms, proteolytic bacteria, yeasts and moulds. Vacuum-packaged storage of poultry meat and its products stimulated activity of anaerobic, nonsporeforming bacteria. The fast spoilage of fresh poultry meat was observed both under vacuum and conventional storage. The microbiology quality of poultry products depended on technology of production and microbiological quality of raw material.

  14. Quality of Meat (Longissimus dorsi) from Male Fallow Deer (Dama dama) Packaged and Stored under Vacuum and Modified Atmosphere Conditions

    PubMed Central

    Piaskowska, N.; Daszkiewicz, T.; Kubiak, D.; Zapotoczny, P.

    2016-01-01

    This study evaluated the effect of vacuum and modified atmosphere (40% CO2+60% N2, MA) packaging on the chemical composition, physicochemical properties and sensory attributes of chill-stored meat from 10 fallow deer (Dama dama) bucks at 17 to 18 months of age. The animals were hunter-harvested in the forests of north-eastern Poland. During carcass dressing (48 to 54 h post mortem), both musculus longissimus muscles were cut out. Each muscle was divided into seven sections which were allocated to three groups: 0, A, and B. Samples 0 were immediately subjected to laboratory analyses. Samples A were vacuum-packaged, and samples B were packaged in MA. Packaged samples were stored for 7, 14, and 21 days at 2°C. The results of the present study showed that the evaluated packaging systems had no significant effect on the quality of fallow deer meat during chilled storage. However, vacuum-packaged meat samples were characterised by greater drip loss. Vacuum and MA packaging contributed to preserving the desired physicochemical properties and sensory attributes of meat during 21 days of storage. Regardless of the packaging method used, undesirable changes in the colour, water-holding capacity and juiciness of meat, accompanied by tenderness improvement, were observed during chilled storage. PMID:27165026

  15. Particle monitoring and control in vacuum processing equipment

    NASA Astrophysics Data System (ADS)

    Borden, Peter G., Dr.; Gregg, John

    1989-10-01

    Particle contamination during vacuum processes has emerged as the largest single source of yield loss in VLSI manufacturing. While a number of tools have been available to help understand the sources and nature of this contamination, only recently has it been possible to monitor free particle levels within vacuum equipment in real-time. As a result, a better picture is available of how particle contamination can affect a variety of processes. This paper reviews some of the work that has been done to monitor particles in vacuum loadlocks and in processes such as etching, sputtering and ion implantation. The aim has been to make free particles in vacuum equipment a measurable process parameter. Achieving this allows particles to be controlled using statistical process control. It will be shown that free particle levels in load locks correlate to wafer surface counts, device yield and process conditions, but that these levels are considerable higher during production than when dummy wafers are run to qualify a system. It will also be shown how real-time free particle monitoring can be used to monitor and control cleaning cycles, how major episodic events can be detected, and how data can be gathered in a format suitable for statistical process control.

  16. Relevance of nanocomposite packaging on the stability of vacuum-packed dry cured ham.

    PubMed

    Lloret, Elsa; Fernandez, Avelina; Trbojevich, Raul; Arnau, Jacint; Picouet, Pierre A

    2016-08-01

    In this study effects of a novel high barrier multilayer polyamide film containing dispersed nanoclays (PAN) on the stability of vacuum packed dry-cured ham were investigated during 90days refrigerated storage in comparison with non-modified multilayer polyamide (PA) and a commercial high barrier film. Characteristic bands of the mineral in FT-IR spectra confirmed the presence of nanoclays in PAN, enhancing oxygen transmission barrier properties and UV protection. Packaging in PAN films did not originate significant changes on colour or lipid oxidation during prolonged storage of vacuum-packed dry-cured ham. Larger oxygen transmission rates in PA films caused changes in CIE b* during refrigerated storage. Ham quality was not affected by light exposition during 90days and only curing had a significant benefit on colour and TBARS, being cured samples more stable during storage in all the packages used. Packaging of dry-cured ham in PAN was equivalent to commercial high barrier films. Copyright © 2016 Elsevier Ltd. All rights reserved.

  17. The effect of vacuum packaging, EDTA, oregano and thyme oils on the microbiological quality of chicken's breast.

    PubMed

    Pavelková, Adriana; Kačániová, Miroslava; Horská, Elena; Rovná, Katarína; Hleba, Lukáš; Petrová, Jana

    2014-10-01

    The effect of ethylenediaminetetraacetate (EDTA), oregano (Origanum vulgare) and thyme (Thymus vulgaris) oils, on the chicken breast fillets was examined in this study. The chicken breast fillets were stored under vacuum packaging (VP), at 4 ± 0.5 °C for a period of 18 days. There were used the following treatments of chicken breast fillets: Air-packaged (AC, control samples), vacuum-packaged (VPC, control samples), VP with EDTA solution 1.50% w/w (VPEC, control samples), VP with oregano oil 0.20% v/w (VP + O) and VP with thyme oil 0.20% v/w, (VP + T). The quality assessment for vacuum packaging of the product in accordance with the terms above and EDTA treatment, oregano and thyme oil was established by microbiological analyzes. The microbiological properties as the total viable counts on Plate Count Agar, after incubation for 2 days at 37 °C and coliform bacteria on Violet Red Bile Glucose agar incubated at 37 °C for 24 h, lactobacilli on Rogosa and Sharpe agar after incubation 48-78 h at 37 °C in an aerobic atmosphere supplemented with carbon dioxide (5% CO2) and Pseudomonas aeruginosa on Pseudomonas Isolation agar (PIA, Oxoid, UK) after incubation at 48 h at 35 °C were monitored. The using of oregano, thyme oil and EDTA with combination of vacuum packaging has significant effects to reduction of all followed groups of microorganisms compared with control group without vacuum packaging and untreated control group. The natural preservatives can be used as alternatives to chemical additives which could extend the meat and meat products shelf life. The knowledge about them can have an important economic feedback by reducing losses attributed to spoilage and by allowing the products to reach distant and new markets. This study shows how using of natural antimicrobials can extend the shelf-life of the meat product. Copyright © 2013 Elsevier Ltd. All rights reserved.

  18. Temporary coatings for protection of microelectronic devices during packaging

    DOEpatents

    Peterson, Kenneth A.; Conley, William R.

    2005-01-18

    The present invention relates to a method of protecting a microelectronic device during device packaging, including the steps of applying a water-insoluble, temporary protective coating to a sensitive area on the device; performing at least one packaging step; and then substantially removing the protective coating, preferably by dry plasma etching. The sensitive area can include a released MEMS element. The microelectronic device can be disposed on a wafer. The protective coating can be a vacuum vapor-deposited parylene polymer, silicon nitride, metal (e.g. aluminum or tungsten), a vapor deposited organic material, cynoacrylate, a carbon film, a self-assembled monolayered material, perfluoropolyether, hexamethyldisilazane, or perfluorodecanoic carboxylic acid, silicon dioxide, silicate glass, or combinations thereof. The present invention also relates to a method of packaging a microelectronic device, including: providing a microelectronic device having a sensitive area; applying a water-insoluble, protective coating to the sensitive area; providing a package; attaching the device to the package; electrically interconnecting the device to the package; and substantially removing the protective coating from the sensitive area.

  19. Low-temperature wafer-level gold thermocompression bonding: modeling of flatness deviations and associated process optimization for high yield and tough bonds

    NASA Astrophysics Data System (ADS)

    Stamoulis, Konstantinos; Tsau, Christine H.; Spearing, S. Mark

    2005-01-01

    Wafer-level, thermocompression bonding is a promising technique for MEMS packaging. The quality of the bond is critically dependent on the interaction between flatness deviations, the gold film properties and the process parameters and tooling used to achieve the bonds. The effect of flatness deviations on the resulting bond is investigated in the current work. The strain energy release rate associated with the elastic deformation required to overcome wafer bow is calculated. A contact yield criterion is used to examine the pressure and temperature conditions required to flatten surface roughness asperities in order to achieve bonding over the full apparent area. The results are compared to experimental data of bond yield and toughness obtained from four-point bend delamination testing and microscopic observations of the fractured surfaces. Conclusions from the modeling and experiments indicate that wafer bow has negligible effect on determining the variability of bond quality and that the well-bonded area is increased with increasing bonding pressure. The enhanced understanding of the underlying deformation mechanisms allows for a better controlled trade-off between the bonding pressure and temperature.

  20. Low-temperature wafer-level gold thermocompression bonding: modeling of flatness deviations and associated process optimization for high yield and tough bonds

    NASA Astrophysics Data System (ADS)

    Stamoulis, Konstantinos; Tsau, Christine H.; Spearing, S. Mark

    2004-12-01

    Wafer-level, thermocompression bonding is a promising technique for MEMS packaging. The quality of the bond is critically dependent on the interaction between flatness deviations, the gold film properties and the process parameters and tooling used to achieve the bonds. The effect of flatness deviations on the resulting bond is investigated in the current work. The strain energy release rate associated with the elastic deformation required to overcome wafer bow is calculated. A contact yield criterion is used to examine the pressure and temperature conditions required to flatten surface roughness asperities in order to achieve bonding over the full apparent area. The results are compared to experimental data of bond yield and toughness obtained from four-point bend delamination testing and microscopic observations of the fractured surfaces. Conclusions from the modeling and experiments indicate that wafer bow has negligible effect on determining the variability of bond quality and that the well-bonded area is increased with increasing bonding pressure. The enhanced understanding of the underlying deformation mechanisms allows for a better controlled trade-off between the bonding pressure and temperature.

  1. Wafer-scale micro-optics fabrication

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  2. Modification of in-pack conditions to extend the storage life of vacuum packaged lamb.

    PubMed

    Gill, C O; Penney, N

    1985-01-01

    High pH (>5·9) lamb loins from a research abattoir were subjected to differing packaging treatments to determine whether package modification could reliably extend the storage life of chilled lamb cuts beyond that attained by cuts vacuum-packaged in film of low gas permeability, as in current commercial practice. Treatments applied were carbon dioxide flushing or addition of a citrate buffer (pH 4·8), a 5% lactic acid solution or a Lactobacillus inoculum (plastic packs only) and packaging in a plastic film of moderately low oxygen permeability (140 cc/m(2)/24 h at 25°C and 90% relative humidity) or in a foil laminate of immeasurably low oxygen permeability. After 12 weeks' storage at -0.5°C, the cuts packaged in the plastic film were spoiled by off-odours produced by enterobacteria, except for inoculated cuts, which, instead, had developed unacceptable dairy flavours. In contrast, cuts packaged in foil laminate developed floras of lactobacilli that had not caused spoilage after 12 weeks, and meat colour was much improved by the exclusion of oxygen. Loin cuts from a commercial packaging operation were packaged in a shrinkable plastic film of low oxygen permeability (30 to 40 cc/m(2)/24 h at 25°C and 90% relative humidity), in foil laminate, or in foil laminate after the addition of 5% lactic acid solution. For the first 6 weeks, cuts were stored in a commercial chiller nominally operating at 0°C; subsequently, they were held in a laboratory chiller at -0.5°C. Some cuts packaged in the shrinkable plastic were spoiled after 9 weeks' storage and all were spoiled at 12 weeks, because of off-flavours produced by enterobacteria. All cuts packaged in the foil laminate were very acceptable at 9 weeks but most were spoiled by off-flavours at 12 weeks. Most cuts treated with lactic acid and packaged in foil laminate were unspoiled after 12 weeks. The packaging requirements indicated to be necessary for reliable extension of the storage life of vacuum packaged lamb are

  3. Wafer-fused semiconductor radiation detector

    DOEpatents

    Lee, Edwin Y.; James, Ralph B.

    2002-01-01

    Wafer-fused semiconductor radiation detector useful for gamma-ray and x-ray spectrometers and imaging systems. The detector is fabricated using wafer fusion to insert an electrically conductive grid, typically comprising a metal, between two solid semiconductor pieces, one having a cathode (negative electrode) and the other having an anode (positive electrode). The wafer fused semiconductor radiation detector functions like the commonly used Frisch grid radiation detector, in which an electrically conductive grid is inserted in high vacuum between the cathode and the anode. The wafer-fused semiconductor radiation detector can be fabricated using the same or two different semiconductor materials of different sizes and of the same or different thicknesses; and it may utilize a wide range of metals, or other electrically conducting materials, to form the grid, to optimize the detector performance, without being constrained by structural dissimilarity of the individual parts. The wafer-fused detector is basically formed, for example, by etching spaced grooves across one end of one of two pieces of semiconductor materials, partially filling the grooves with a selected electrical conductor which forms a grid electrode, and then fusing the grooved end of the one semiconductor piece to an end of the other semiconductor piece with a cathode and an anode being formed on opposite ends of the semiconductor pieces.

  4. Study of cavity effect in micro-Pirani gauge chamber with improved sensitivity for high vacuum regime

    NASA Astrophysics Data System (ADS)

    Zhang, Guohe; Lai, Junhua; Kong, Yanmei; Jiao, Binbin; Yun, Shichang; Ye, Yuxin

    2018-05-01

    Ultra-low pressure application of Pirani gauge needs significant improvement of sensitivity and expansion of measureable low pressure limit. However, the performance of Pirani gauge in high vacuum regime remains critical concerns since gaseous thermal conduction with high percentage is essential requirement. In this work, the heat transfer mechanism of micro-Pirani gauge packaged in a non-hermetic chamber was investigated and analyzed compared with the one before wafer-level packaging. The cavity effect, extremely important for the efficient detection of low pressure, was numerically and experimentally analyzed considering the influence of the pressure, the temperature and the effective heat transfer area in micro-Pirani gauge chamber. The thermal conduction model is validated by experiment data of MEMS Pirani gauges with and without capping. It is found that nature gaseous convection in chamber, determined by the Rayleigh number, should be taken into consideration. The experiment and model calculated results show that thermal resistance increases in the molecule regime, and further increases after capping due to the suppression of gaseous convection. The gaseous thermal conduction accounts for an increasing percentage of thermal conduction at low pressure while little changes at high pressure after capping because of the existence of cavity effect improving the sensitivity of cavity-effect-influenced Pirani gauge for high vacuum regime.

  5. Vacuum decay container closure integrity leak test method development and validation for a lyophilized product-package system.

    PubMed

    Patel, Jayshree; Mulhall, Brian; Wolf, Heinz; Klohr, Steven; Guazzo, Dana Morton

    2011-01-01

    A leak test performed according to ASTM F2338-09 Standard Test Method for Nondestructive Detection of Leaks in Packages by Vacuum Decay Method was developed and validated for container-closure integrity verification of a lyophilized product in a parenteral vial package system. This nondestructive leak test method is intended for use in manufacturing as an in-process package integrity check, and for testing product stored on stability in lieu of sterility tests. Method development and optimization challenge studies incorporated artificially defective packages representing a range of glass vial wall and sealing surface defects, as well as various elastomeric stopper defects. Method validation required 3 days of random-order replicate testing of a test sample population of negative-control, no-defect packages and positive-control, with-defect packages. Positive-control packages were prepared using vials each with a single hole laser-drilled through the glass vial wall. Hole creation and hole size certification was performed by Lenox Laser. Validation study results successfully demonstrated the vacuum decay leak test method's ability to accurately and reliably detect those packages with laser-drilled holes greater than or equal to approximately 5 μm in nominal diameter. All development and validation studies were performed at Whitehouse Analytical Laboratories in Whitehouse, NJ, under the direction of consultant Dana Guazzo of RxPax, LLC, using a VeriPac 455 Micro Leak Test System by Packaging Technologies & Inspection (Tuckahoe, NY). Bristol Myers Squibb (New Brunswick, NJ) fully subsidized all work. A leak test performed according to ASTM F2338-09 Standard Test Method for Nondestructive Detection of Leaks in Packages by Vacuum Decay Method was developed and validated to detect defects in stoppered vial packages containing lyophilized product for injection. This nondestructive leak test method is intended for use in manufacturing as an in-process package integrity

  6. Wafer-level manufacturing technology of glass microlenses

    NASA Astrophysics Data System (ADS)

    Gossner, U.; Hoeftmann, T.; Wieland, R.; Hansch, W.

    2014-08-01

    In high-tech products, there is an increasing demand to integrate glass lenses into complex micro systems. Especially in the lighting industry LEDs and laser diodes used for automotive applications require encapsulated micro lenses. To enable low-cost production, manufacturing of micro lenses on wafer level base using a replication technology is a key technology. This requires accurate forming of thousands of lenses with a diameter of 1-2 mm on a 200 mm wafer compliant with mass production. The article will discuss the technical aspects of a lens manufacturing replication process and the challenges, which need to be solved: choice of an appropriate master for replication, thermally robust interlayer coating, choice of replica glass, bonding and separation procedure. A promising approach for the master substrate material is based on a lens structured high-quality glass wafer with high melting point covered by a coating layer of amorphous silicon or germanium. This layer serves as an interlayer for the glass bonding process. Low pressure chemical vapor deposition and plasma enhanced chemical vapor deposition processes allow a deposition of layer coatings with different hydrogen and doping content influencing their chemical and physical behavior. A time reduced molding process using a float glass enables the formation of high quality lenses while preserving the recyclability of the mother substrate. The challenge is the separation of the replica from the master mold. An overview of chemical methods based on optimized etching of coating layer through small channels will be given and the impact of glass etching on surface roughness is discussed.

  7. Effect of modified atmosphere and vacuum packaging on TVB-N production of rainbow trout (Oncorhynchus mykiss) and carp (Cyprinus carpio) cuts

    NASA Astrophysics Data System (ADS)

    Babić Milijašević, J.; Milijašević, M.; Đinović-Stojanović, J.; Vranić, D.

    2017-09-01

    The aim of our research was to examine the influence of packaging in modified atmosphere and vacuum on the total volatile basic nitrogen (TVB-N) content in muscle of rainbow trout (Oncorhynchus mykiss) and common carp (Cyprinus carpio), as well as to determine the most suitable gas mixtures for packing of these freshwater species. Three sample groups of trout and carp cuts were investigated. The two groups were packaged in modified atmosphere with different gas ratios: 90%CO2+10%N2 (MAP 1) and 60%CO2+40%N2 (MAP 2), whereas the third group of fish cuts were vacuum packaged. During trials, the trout and carp cuts were stored in refrigerator at 3°C±0.5°C. Determination of TVB-N was performed on 1, 4, 7, 9, 12 and 14 days of storage. The obtained results indicate that the investigated mixtures of gases and vacuum had a significant influence on the values of TVB-N in trout and carp cuts. The lowest increase in TVB-N was established in trout and carp cuts packaged in MAP 1, whereas the highest increase was established in vacuum packaged cuts. Based on the obtained results, it can be concluded that the gas mixture consisting of 90% CO2 and 10% N2 was the most suitable for packaging of fresh trout and carp cuts in terms of TVB-N value.

  8. Assessment of Performance of the Industrial Process of Bulk Vacuum Packaging of Raw Meat with Nondestructive Optical Oxygen Sensing Systems.

    PubMed

    Kelly, Caroline A; Cruz-Romero, Malco; Kerry, Joseph P; Papkovsky, Dmitri P

    2018-05-02

    The commercially-available optical oxygen-sensing system Optech-O₂ Platinum was applied to nondestructively assess the in situ performance of bulk, vacuum-packaged raw beef in three ~300 kg containers. Twenty sensors were attached to the inner surface of the standard bin-contained laminate bag (10 on the front and back sides), such that after filling with meat and sealing under vacuum, the sensors were accessible for optical interrogation with the external reader device. After filling and sealing each bag, the sensors were measured repetitively and nondestructively over a 15-day storage period at 1 °C, thus tracking residual oxygen distribution in the bag and changes during storage. The sensors revealed a number of unidentified meat quality and processing issues, and helped to improve the packaging process by pouring flakes of dry ice into the bag. Sensor utility in mapping the distribution of residual O₂ in sealed bulk containers and optimising and improving the packaging process, including handling and storage of bulk vacuum-packaged meat bins, was evident.

  9. Latest improvements in microbolometer thin film packaging: paving the way for low-cost consumer applications

    NASA Astrophysics Data System (ADS)

    Yon, J. J.; Dumont, G.; Goudon, V.; Becker, S.; Arnaud, A.; Cortial, S.; Tisse, C. L.

    2014-06-01

    Silicon-based vacuum packaging is a key enabling technology for achieving affordable uncooled Infrared Focal Plane Arrays (IRFPA) required by a promising mass market that shows momentum for some extensive consumer applications, such as automotive driving assistance, smart presence localization and building management. Among the various approaches studied worldwide, CEA, LETI in partnership with ULIS is committed to the development of a unique technology referred to as PLP (Pixel Level Packaging). In this PLP technology, each bolometer pixel is sealed under vacuum using a transparent thin film deposition on wafer. PLP operates as an array of hermetic micro caps above the focal plane, each enclosing a single microbolometer. In continuation of our on-going studies on PLP for regular QVGA IRFPAs, this paper emphasizes on the innate scalability of the technology which was successfully demonstrated through the development of an 80 × 80 pixel IRFPA. The relevance of the technology with regard to the two formats is discussed, considering both performance and cost issues. We show that the suboptimal fill factor inherent to the PLP arrangement is not so critical when considering smaller arrays preferably fitted for consumer applications. The discussion is supported with the electro-optical performance measurements of the PLP-based 80×80 demonstrator.

  10. The effect of vacuum packaging on histamine changes of milkfish sticks at various storage temperatures.

    PubMed

    Kung, Hsien-Feng; Lee, Yi-Chen; Lin, Chiang-Wei; Huang, Yu-Ru; Cheng, Chao-An; Lin, Chia-Min; Tsai, Yung-Hsiang

    2017-10-01

    The effects of polyethylene packaging (PEP) (in air) and vacuum packaging (VP) on the histamine related quality of milkfish sticks stored at different temperatures (-20°C, 4°C, 15°C, and 25°C) were studied. The results showed that the aerobic plate count (APC), pH, total volatile basic nitrogen (TVBN), and histamine contents increased as storage time increased when the PEP and VP samples were stored at 25°C. At below 15°C, the APC, TVBN, pH, and histamine levels in PEP and VP samples were retarded, but the VP samples had considerably lower levels of APC, TVBN, and histamine than PEP samples. Once the frozen fish samples stored at -20°C for 2 months were thawed and stored at 25°C, VP retarded the increase of histamine in milkfish sticks as compared to PEP. In summary, this result suggested the milkfish sticks packed with VP and stored below 4°C could prevent deterioration of product quality and extend shelf-life. Copyright © 2017. Published by Elsevier B.V.

  11. The effects of atmospheric pressure cold plasma treatment on microbiological, physical-chemical and sensory characteristics of vacuum packaged beef loin.

    PubMed

    Bauer, A; Ni, Y; Bauer, S; Paulsen, P; Modic, M; Walsh, J L; Smulders, F J M

    2017-06-01

    Effects on vacuum packaged and non-packaged beef longissimus samples exposed to atmospheric cold plasma (ACP) generated at different powers were studied over a 10day period of vacuum-, and a subsequent 3day period of aerobic storage. Exposure of non-covered beef samples under high power ACP conditions resulted in increased a*, b*, Chroma and Hue values, but ACP treatment of packaged loins did not impact colour (L*, a*, b*, Chroma, Hue), lipid peroxidation, sarcoplasmic protein denaturation, nitrate/nitrite uptake, or myoglobin isoform distribution. Colour values measured after 3days of aerobic storage following unpackaging (i.e. 20days post-mortem) were similar and all compliant with consumer acceptability standards. Exposure to ACP of the polyamide-polyethylene packaging film inoculated with Staphylococcus aureus, Listeria monocytogenes and two Escherichia coli strains resulted in >2 log reduction without affecting the integrity of the packaging matrix. Results indicate that ACP can reduce microbial numbers on surfaces of beef packages without affecting characteristics of the packaged beef. Copyright © 2017 Elsevier Ltd. All rights reserved.

  12. Sulfur passivation techniques for III-V wafer bonding

    NASA Astrophysics Data System (ADS)

    Jackson, Michael James

    The use of direct wafer bonding in a multijunction III-V solar cell structure requires the formation of a low resistance bonded interface with minimal thermal treatment. A wafer bonded interface behaves as two independent surfaces in close proximity, hence a major source of resistance is Fermi level pinning common in III-V surfaces. This study demonstrates the use of sulfur passivation in III-V wafer bonding to reduce the energy barrier at the interface. Two different sulfur passivation processes are addressed. A dry sulfur passivation method that utilizes elemental sulfur vapor activated by ultraviolet light in vacuum is compared with aqueous sulfide and native oxide etch treatments. Through the addition of a sulfur desorption step in vacuum, the UV-S treatment achieves bondable surfaces free of particles contamination or surface roughening. X-ray photoelectron spectroscopy measurements of the sulfur treated GaAs surfaces find lower levels of oxide and the appearance of sulfide species. After 4 hrs of air exposure, the UV-S treated GaAs actually showed an increase in the amount of sulfide bonded to the semiconductor, resulting in less oxidation compared to the aqueous sulfide treatment. Large area bonding is achieved for sulfur treated GaAs / GaAs and InP / InP with bulk fracture strength achieved after annealing at 400 °C and 300 °C respectively, without large compressive forces. The electrical conductivity across a sulfur treated 400 °C bonded n-GaAs/n-GaAs interface significantly increased with a short anneal (1-2 minutes) at elevated temperatures (50--600 °C). Interfaces treated with the NH4OH oxide etch, on the other hand, exhibited only mild improvement in accordance with previously published studies in this area. TEM and STEM images revealed similar interfacial microstructure changes with annealing for both sulfur treated and NH4OH interfaces, whereby some areas have direct semiconductor-semiconductor contact without any interfacial layer. Fitting the

  13. Screening of lactic acid bacteria from vacuum packaged beef for antimicrobial activity

    PubMed Central

    Oliveira, Roseane B. P.; de L. Oliveira, Afonso; Glória, M. Beatriz A.

    2008-01-01

    The objective of this study was to isolate lactic acid bacteria (LAB) from vacuum packaged beef and to investigate their antagonist activity. LAB mean counts of 5.19 log cfu/cm2 were obtained from five samples of vacuum packaged beef. Two hundred isolates were selected and screened for the inhibitory effect on five ATCC reference Lactobacillus strains. Thirty six isolates showed activity in the agar spot test against at least two of the indicator strains. However, only six cell free supernatants (CFS) from these isolates exhibited activity against the indicator strains using the well-diffusion test and conditions that eliminated the effects of organic acids and hydrogen peroxide. L. acidophilus was the most sensitive indicator tested, whereas L. plantarum and L. fermentum were the most resistant ones. Identification by MIDI system indicated that these LAB isolates were Lactococcus lactis subsp. cremoris, Pediococcus acidilactici, Lactobacillus delbrueckii subsp. bulgaricus and Lactobacillus casei GC subgroup A. The antagonistic factors produced by most of these LAB against L. acidophilus were resistant to heat treatment (100°C for 10 min) and stable over a wide pH range (4.0 to 9.0). These data suggest that these isolates could be used as promising hurdles aiming increased safety and extended shelf life of meat products. PMID:24031232

  14. Qualification and Reliability for MEMS and IC Packages

    NASA Technical Reports Server (NTRS)

    Ghaffarian, Reza

    2004-01-01

    Advanced IC electronic packages are moving toward miniaturization from two key different approaches, front and back-end processes, each with their own challenges. Successful use of more of the back-end process front-end, e.g. microelectromechanical systems (MEMS) Wafer Level Package (WLP), enable reducing size and cost. Use of direct flip chip die is the most efficient approach if and when the issues of know good die and board/assembly are resolved. Wafer level package solve the issue of known good die by enabling package test, but it has its own limitation, e.g., the I/O limitation, additional cost, and reliability. From the back-end approach, system-in-a-package (SIAP/SIP) development is a response to an increasing demand for package and die integration of different functions into one unit to reduce size and cost and improve functionality. MEMS add another challenging dimension to electronic packaging since they include moving mechanical elements. Conventional qualification and reliability need to be modified and expanded in most cases in order to detect new unknown failures. This paper will review four standards that already released or being developed that specifically address the issues on qualification and reliability of assembled packages. Exposures to thermal cycles, monotonic bend test, mechanical shock and drop are covered in these specifications. Finally, mechanical and thermal cycle qualification data generated for MEMS accelerometer will be presented. The MEMS was an element of an inertial measurement unit (IMU) qualified for NASA Mars Exploration Rovers (MERs), Spirit and Opportunity that successfully is currently roaring the Martian surface

  15. Study of temperature distributions in wafer exposure process

    NASA Astrophysics Data System (ADS)

    Lin, Zone-Ching; Wu, Wen-Jang

    During the exposure process of photolithography, wafer absorbs the exposure energy, which results in rising temperature and the phenomenon of thermal expansion. This phenomenon was often neglected due to its limited effect in the previous generation of process. However, in the new generation of process, it may very likely become a factor to be considered. In this paper, the finite element model for analyzing the transient behavior of the distribution of wafer temperature during exposure was established under the assumption that the wafer was clamped by a vacuum chuck without warpage. The model is capable of simulating the distribution of the wafer temperature under different exposure conditions. The flowchart of analysis begins with the simulation of transient behavior in a single exposure region to the variation of exposure energy, interval of exposure locations and interval of exposure time under continuous exposure to investigate the distribution of wafer temperature. The simulation results indicate that widening the interval of exposure locations has a greater impact in improving the distribution of wafer temperature than extending the interval of exposure time between neighboring image fields. Besides, as long as the distance between the field center locations of two neighboring exposure regions exceeds the straight distance equals to three image fields wide, the interacting thermal effect during wafer exposure can be ignored. The analysis flow proposed in this paper can serve as a supporting reference tool for engineers in planning exposure paths.

  16. Quality attributes of farmed eel (Anguilla anguilla) stored under air, vacuum and modified atmosphere packaging at 0 degrees C.

    PubMed

    Arkoudelos, John; Stamatis, Nikolaos; Samaras, Fotis

    2007-01-01

    The shelf life of fresh eel in various packaging conditions of atmospheric air, vacuum and modified atmosphere packaging (MAP) (40% CO(2), 30% N(2) and 30% O(2)) at 0 degrees C was investigated. All raw eel samples received acceptable sensory scores during the first 11+/-1 days of storage in atmospheric air, 11+/-1 days of storage in vacuum and finally 18+/-1 days of storage in MAP conditions. Using the microbial quality indicators the shelf life of eel packed in air, vacuum and MAP was estimated to be more than 18, 28 and 34 days, respectively. The main spoilage microorganisms under MAP conditions were lactic acid producing bacteria followed by Shewanella spp., pseudomonads, Enterobacteriaceae and yeasts. Chemical data revealed that pH, ammonia, glucose and lactate examinations might not be useful for monitoring eel quality differences.

  17. Modified atmosphere packaging and vacuum packaging for long period chilled storage of dry-cured Iberian ham.

    PubMed

    Parra, V; Viguera, J; Sánchez, J; Peinado, J; Espárrago, F; Gutierrez, J I; Andrés, A I

    2010-04-01

    Dry-cured Iberian ham slices were stored under vacuum and under four different modified atmospheres (60/40=60%N(2)+40%CO(2); 70/30=70%N(2)+30%CO(2); 80/20=80%N(2)+20%CO(2); argon=70%argon+30%CO(2)) at 4+/-1 degrees C during 120 days. Gas composition, moisture content, pH, colour, pigment content, and lipid stability were measured, as well as sensory and microbial analysis were carried out throughout storage. A loss of intensity of red colour (a(*)-values) was observed during storage in ham slices (P<0.05). Consistently, MbFe(II)NO content also decreased throughout storage (P>0.05). Slices of ham packed in 40%CO(2) (60/40) and 30%CO(2) (70/30) showed lower a(*)-values than the rest of the batches after 60 days (P<0.05), though differences were not evident after 120 days (P>0.05). TBARs values showed an upward trend during the storage of packaged slices (P<0.05). Vacuum-packed slices showed the lowest TBARs values and those packed with 40%CO(2), the highest. Sensory attributes did not vary significantly (P>0.05) throughout storage under refrigeration and packed either in vacuum or in modified atmospheres. No safety problems were detected in relation to the microbial quality in any case. 2009 Elsevier Ltd. All rights reserved.

  18. Use of Optical Oxygen Sensors in Non-Destructively Determining the Levels of Oxygen Present in Combined Vacuum and Modified Atmosphere Packaged Pre-Cooked Convenience-Style Foods and the Use of Ethanol Emitters to Extend Product Shelf-Life.

    PubMed

    Hempel, Andreas W; Papkovsky, Dmitri B; Kerry, Joseph P

    2013-11-18

    O₂ sensors were used to non-destructively monitor O₂ levels in commercially packed pre-cooked, convenience modified atmosphere packaging (MAP) foods. A substantial level of O₂ (>15%) was present in packs resulting in a shorter than expected shelf-life, where the primary spoilage mechanism was found to be mould. Various combinations of vacuum (0-0.6 MPa) and gas flush (0.02-0.03 MPa) (30% CO₂/70% N₂) settings were assessed as treatments that result in the desired shelf-life (28 days). This was achieved using the combined treatment of vacuum 0.35 MPa and gas flush 0.02 MPa which resulted in a reduction of 6%-9% O 2 in all three samples (battered sausages (BS), bacon slices (BA), and meat and potato pies (PP)). Reduced O₂ levels reflect the microbial quality of products, which has been successfully reduced. Duplicate samples of all product packs were produced using ethanol emitters (EE) to see if shelf-life could be further extended. Results showed a further improvement in shelf-life to 35 days. Sensory analysis showed that ethanol flavour and aroma was not perceived by panellists in two of the three products assessed. This study demonstrates how smart packaging technologies, both intelligent and active, can be used to assist in the modification of conventional packaging systems in order to enhance product quality and safety and through the extension of product shelf-life.

  19. Use of Optical Oxygen Sensors in Non-Destructively Determining the Levels of Oxygen Present in Combined Vacuum and Modified Atmosphere Packaged Pre-Cooked Convenience-Style Foods and the Use of Ethanol Emitters to Extend Product Shelf-Life

    PubMed Central

    Hempel, Andreas W.; Papkovsky, Dmitri B.; Kerry, Joseph P.

    2013-01-01

    O2 sensors were used to non-destructively monitor O2 levels in commercially packed pre-cooked, convenience modified atmosphere packaging (MAP) foods. A substantial level of O2 (>15%) was present in packs resulting in a shorter than expected shelf-life, where the primary spoilage mechanism was found to be mould. Various combinations of vacuum (0–0.6 MPa) and gas flush (0.02–0.03 MPa) (30% CO2/70% N2) settings were assessed as treatments that result in the desired shelf-life (28 days). This was achieved using the combined treatment of vacuum 0.35 MPa and gas flush 0.02 MPa which resulted in a reduction of 6%–9% O2 in all three samples (battered sausages (BS), bacon slices (BA), and meat and potato pies (PP)). Reduced O2 levels reflect the microbial quality of products, which has been successfully reduced. Duplicate samples of all product packs were produced using ethanol emitters (EE) to see if shelf-life could be further extended. Results showed a further improvement in shelf-life to 35 days. Sensory analysis showed that ethanol flavour and aroma was not perceived by panellists in two of the three products assessed. This study demonstrates how smart packaging technologies, both intelligent and active, can be used to assist in the modification of conventional packaging systems in order to enhance product quality and safety and through the extension of product shelf-life. PMID:28239134

  20. Nitrite spray treatment to promote red color stability of vacuum packaged beef.

    PubMed

    Song, Xiao; Cornforth, Daren; Whittier, Dick; Luo, Xin

    2015-01-01

    Sodium nitrite solutions were sprayed on select grade boneless rib (M. longissimus thoracis) and bottom round (mainly M. biceps femoris) steaks individually, to form bright red nitric oxide myoglobin (NO-Mb) in vacuum packages. Our objective was to determine the optimum level of nitrite in spray for stable raw steak redness, low or no residual nitrite, and low surface pinking (ham-like cured color) after cooking. Results showed that steaks sprayed with 100-350 ppm nitrite solutions had 3.0-3.6g weight gain and a calculated level of 1.3-5.3mg nitrite added/kg steak, but very low (<1 ppm) residual nitrite. Nitrite sprays of 250-350 ppm were optimum for raw steak color during 21 days of storage at 1°C (a*>10; chroma C*>16). Raw steak redness was less stable in round than rib. Visual scores for pinkness after cooking were low, indicating that cooked color at even the highest nitrite treatment (350 ppm) was acceptable. Copyright © 2014 Elsevier Ltd. All rights reserved.

  1. Within-wafer CD variation induced by wafer shape

    NASA Astrophysics Data System (ADS)

    Huang, Chi-hao; Yang, Mars; Yang, Elvis; Yang, T. H.; Chen, K. C.

    2016-03-01

    In order to meet the increasing storage capacity demand and reduce bit cost of NAND flash memories, 3D stacked vertical flash cell array has been proposed. In constructing 3D NAND flash memories, the bit number per unit area is increased as increasing the number of stacked layers. However, the increased number of stacked layers has made the film stress control extremely important for maintaining good process quality. The residual film stress alters the wafer shape accordingly several process impacts have been readily observed across wafer, such as film deposition non-uniformity, etch rate non-uniformity, wafer chucking error on scanner, materials coating/baking defects, overlay degradation and critical dimension (CD) non-uniformity. The residual tensile and compressive stresses on wafers will result in concave and convex wafer shapes, respectively. This study investigates within-wafer CD uniformity (CDU) associated with wafer shape change induced by the 3D NAND flash memory processes. Within-wafer CDU was correlated with several critical parameters including different wafer bow heights of concave and convex wafer shapes, photo resists with different post exposure baking (PEB) temperature sensitivities, and DoseMapper compensation. The results indicated the trend of within-wafer CDU maintains flat for convex wafer shapes with bow height up to +230um and concave wafer shapes with bow height ranging from 0 ~ -70um, while the within-wafer CDU trends up from -70um to -246um wafer bow heights. To minimize the within-wafer CD distribution induced by wafer warpage, carefully tailoring the film stack and thermal budget in the process flow for maintaining the wafer shape at CDU friendly range is indispensable and using photo-resist materials with lower PEB temperature sensitivity is also suggested. In addition, DoseMapper compensation is also an alternative to greatly suppress the within-wafer CD non-uniformity but the photo-resist profile variation induced by across-wafer

  2. Fabricating capacitive micromachined ultrasonic transducers with a novel silicon-nitride-based wafer bonding process.

    PubMed

    Logan, Andrew; Yeow, John T W

    2009-05-01

    We report the fabrication and experimental testing of 1-D 23-element capacitive micromachined ultrasonic transducer (CMUT) arrays that have been fabricated using a novel wafer-bonding process whereby the membrane and the insulation layer are both silicon nitride. The membrane and cell cavities are deposited and patterned on separate wafers and fusion-bonded in a vacuum environment to create CMUT cells. A user-grown silicon-nitride membrane layer avoids the need for expensive silicon-on-insulator (SOI) wafers, reduces parasitic capacitance, and reduces dielectric charging. It allows more freedom in selecting the membrane thickness while also providing the benefits of wafer-bonding fabrication such as excellent fill factor, ease of vacuum sealing, and a simplified fabrication process when compared with the more standard sacrificial release process. The devices fabricated have a cell diameter of 22 microm, a membrane thickness of 400 nm, a gap depth of 150 nm, and an insulation thickness of 250 nm. The resonant frequency of the CMUT in air is 17 MHz and has an attenuation compensated center frequency of approximately 9 MHz in immersion with a -6 dB fractional bandwidth of 123%. This paper presents the fabrication process and some characterization results.

  3. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cunning, Benjamin V.; Ahmed, Mohsin; Mishra, Neeraj; Ranjbar Kermany, Atieh; Wood, Barry; Iacopi, Francesca

    2014-08-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices.

  4. Wafer screening device and methods for wafer screening

    DOEpatents

    Sopori, Bhushan; Rupnowski, Przemyslaw

    2014-07-15

    Wafer breakage is a serious problem in the photovoltaic industry because a large fraction of wafers (between 5 and 10%) break during solar cell/module fabrication. The major cause of this excessive wafer breakage is that these wafers have residual microcracks--microcracks that were not completely etched. Additional propensity for breakage is caused by texture etching and incomplete edge grinding. To eliminate the cost of processing the wafers that break, it is best to remove them prior to cell fabrication. Some attempts have been made to develop optical techniques to detect microcracks. Unfortunately, it is very difficult to detect microcracks that are embedded within the roughness/texture of the wafers. Furthermore, even if such detection is successful, it is not straightforward to relate them to wafer breakage. We believe that the best way to isolate the wafers with fatal microcracks is to apply a stress to wafers--a stress that mimics the highest stress during cell/module processing. If a wafer survives this stress, it has a high probability of surviving without breakage during cell/module fabrication. Based on this, we have developed a high throughput, noncontact method for applying a predetermined stress to a wafer. The wafers are carried on a belt through a chamber that illuminates the wafer with an intense light of a predetermined intensity distribution that can be varied by changing the power to the light source. As the wafers move under the light source, each wafer undergoes a dynamic temperature profile that produces a preset elastic stress. If this stress exceeds the wafer strength, the wafer will break. The broken wafers are separated early, eliminating cost of processing into cell/module. We will describe details of the system and show comparison of breakage statistics with the breakage on a production line.

  5. Microwave Induced Direct Bonding of Single Crystal Silicon Wafers

    NASA Technical Reports Server (NTRS)

    Budraa, N. K.; Jackson, H. W.; Barmatz, M.

    1999-01-01

    We have heated polished doped single-crystal silicon wafers in a single mode microwave cavity to temperatures where surface to surface bonding occurred. The absorption of microwaves and heating of the wafers is attributed to the inclusion of n-type or p-type impurities into these substrates. A cylindrical cavity TM (sub 010) standing wave mode was used to irradiate samples of various geometry's at positions of high magnetic field. This process was conducted in vacuum to exclude plasma effects. This initial study suggests that the inclusion of impurities in single crystal silicon significantly improved its microwave absorption (loss factor) to a point where heating silicon wafers directly can be accomplished in minimal time. Bonding of these substrates, however, occurs only at points of intimate surface to surface contact. The inclusion of a thin metallic layer on the surfaces enhances the bonding process.

  6. Superconducting Vacuum-Gap Crossovers for High Performance Microwave Applications

    NASA Technical Reports Server (NTRS)

    Denis, Kevin L.; Brown, Ari D.; Chang, Meng-Ping; Hu, Ron; U-Yen, Kongpop; Wollack, Edward J.

    2016-01-01

    The design and fabrication of low-loss wide-bandwidth superconducting vacuum-gap crossovers for high performance millimeter wave applications are described. In order to reduce ohmic and parasitic losses at millimeter wavelengths a vacuum gap is preferred relative to dielectric spacer. Here, vacuum-gap crossovers were realized by using a sacrificial polymer layer followed by niobium sputter deposition optimized for coating coverage over an underlying niobium signal layer. Both coplanar waveguide and microstrip crossover topologies have been explored in detail. The resulting fabrication process is compatible with a bulk micro-machining process for realizing waveguide coupled detectors, which includes sacrificial wax bonding, and wafer backside deep reactive ion etching for creation of leg isolated silicon membrane structures. Release of the vacuum gap structures along with the wax bonded wafer after DRIE is implemented in the same process step used to complete the detector fabrication. ?

  7. Effect of a nano-silver coating on the quality of fresh turkey meat during storage after modified atmosphere or vacuum packaging.

    PubMed

    Deus, D; Kehrenberg, C; Schaudien, D; Klein, G; Krischek, C

    2017-02-01

    Nano-silver is used in consumer products due to its antibacterial properties. The aim of this study was to evaluate the effect of a nano-silver-coated film on the quality of turkey meat during vacuum-sealed and modified atmosphere packaging up to 12 days of storage. In the first part of the experiment, turkey breasts were packaged using either vacuum packaging or modified atmosphere packages (MAPs) and contained films with or without a nano-silver coating (control film). Parameters such as pH, electrical conductivity, color (lightness L*, redness a*), myoglobin redox forms, thiobarbituric acid-reactive substances (TBARS), biogenic amines (BAs), total viable bacterial counts, Pseudomonas species counts, and Enterobacteriaceae species counts were evaluated on storage days 4, 8, and 12. In the second part of the study, the antimicrobial effect of a nano-silver-coated film on turkey breast was evaluated after inoculation with Escherichia coli (E. coli). Turkey meat packaged with the nano-silver film exhibited lower a* values on days 1 (3.15 ± 0.62), 4 (3.90 ± 0.68), and 8 (4.27 ± 0.76) compared to the packaged meat with the control film (3.41 ± 0.73, 4.35 ± 0.94, 4.85 ± 0.89, respectively), indicating special optical properties of nanoparticles. Concerning the BAs, silver packaged meat showed higher values of tyramine on day 12 (1274 ± 392 ng/g meat) and cadaverine on day 4 (1224 ± 435 ng/g meat) compared to the normal packaged products (647 ± 576 and 508 ± 314 ng/g meat, respectively). MAP meat revealed higher L* and TBARS values and lower microbial counts than the vacuum packaged products on all days. The MAP meat also showed lower a* results on days 4 and 8 and higher metmyoglobin (metMb) values on days 8 and 12 compared to th E: vacuum products. In the inoculation study, the microbial counts of the turkey meat were comparable between the two film types. The study showed that the nano-silver coating did not exhibit any advantageous

  8. A Fully Integrated Quartz MEMS VHF TCXO.

    PubMed

    Kubena, Randall L; Stratton, Frederic P; Nguyen, Hung D; Kirby, Deborah J; Chang, David T; Joyce, Richard J; Yong, Yook-Kong; Garstecki, Jeffrey F; Cross, Matthew D; Seman, S E

    2018-06-01

    We report on a 32-MHz quartz temperature compensated crystal oscillator (TCXO) fully integrated with commercial CMOS electronics and vacuum packaged at wafer level using a low-temperature MEMS-after quartz process. The novel quartz resonator design provides for stress isolation from the CMOS substrate, thereby yielding classical AT-cut f/T profiles and low hysteresis which can be compensated to < ±0.2 parts per million over temperature using on-chip third-order compensation circuitry. The TCXO operates at low power of 2.5 mW and can be thinned to as part of the wafer-level eutectic encapsulation. Full integration with large state-of-the-art CMOS wafers is possible using carrier wafer techniques.

  9. Laser cutting sandwich structure glass-silicon-glass wafer with laser induced thermal-crack propagation

    NASA Astrophysics Data System (ADS)

    Cai, Yecheng; Wang, Maolu; Zhang, Hongzhi; Yang, Lijun; Fu, Xihong; Wang, Yang

    2017-08-01

    Silicon-glass devices are widely used in IC industry, MEMS and solar energy system because of their reliability and simplicity of the manufacturing process. With the trend toward the wafer level chip scale package (WLCSP) technology, the suitable dicing method of silicon-glass bonded structure wafer has become necessary. In this paper, a combined experimental and computational approach is undertaken to investigate the feasibility of cutting the sandwich structure glass-silicon-glass (SGS) wafer with laser induced thermal-crack propagation (LITP) method. A 1064 nm semiconductor laser cutting system with double laser beams which could simultaneously irradiate on the top and bottom of the sandwich structure wafer has been designed. A mathematical model for describing the physical process of the interaction between laser and SGS wafer, which consists of two surface heating sources and two volumetric heating sources, has been established. The temperature stress distribution are simulated by using finite element method (FEM) analysis software ABAQUS. The crack propagation process is analyzed by using the J-integral method. In the FEM model, a stationary planar crack is embedded in the wafer and the J-integral values around the crack front edge are determined using the FEM. A verification experiment under typical parameters is conducted and the crack propagation profile on the fracture surface is examined by the optical microscope and explained from the stress distribution and J-integral value.

  10. Warpage Measurement of Thin Wafers by Reflectometry

    NASA Astrophysics Data System (ADS)

    Ng, Chi Seng; Asundi, Anand Krishna

    To cope with advances in the electronic and portable devices, electronic packaging industries have employed thinner and larger wafers to produce thinner packages/ electronic devices. As the thickness of the wafer decrease (below 250um), there is an increased tendency for it to warp. Large stresses are induced during manufacturing processes, particularly during backside metal deposition. The wafers bend due to these stresses. Warpage results from the residual stress will affect subsequent manufacturing processes. For example, warpage due to this residual stresses lead to crack dies during singulation process which will severely reorient the residual stress distributions, thus, weakening the mechanical and electrical properties of the singulated die. It is impossible to completely prevent the residual stress induced on thin wafers during the manufacturing processes. Monitoring of curvature/flatness is thus necessary to ensure reliability of device and its uses. A simple whole-field curvature measurement system using a novel computer aided phase shift reflection grating method has been developed and this project aims to take it to the next step for residual stress and full field surface shape measurement. The system was developed from our earlier works on Computer Aided Moiré Methods and Novel Techniques in Reflection Moiré, Experimental Mechanics (1994) in which novel structured light approach was shown for surface slope and curvature measurement. This method uses similar technology but coupled with a novel phase shift system to accurately measure slope and curvature. In this study, slope of the surface were obtain using the versatility of computer aided reflection grating method to manipulate and generate gratings in two orthogonal directions. The curvature and stress can be evaluated by performing a single order differentiation on slope data.

  11. Sugar maple sap volume increases as vacuum level is increased

    Treesearch

    Russell S. Walters; H. Clay Smith

    1975-01-01

    Maple sap yields collected by using plastic tubing with a vacuum pump increased as the vacuum level was increased. Sap volumes collected at the 10- and 15-inch mercury vacuum levels were statistically significantly higher than volumes collected at the 5-inch level. Although the 15-inch vacuum yielded more sap than the 10-inch vacuum, the difference was not...

  12. Microbial profiles of commercial, vacuum-packaged, fresh pork of normal or short storage life.

    PubMed

    Holley, Richard A; Peirson, Michael D; Lam, Jocelyn; Tan, Kit Bee

    2004-12-01

    The microbial ecology of fresh vacuum-packed pork cuts during storage at -1.5 degrees C for up to 45 days was examined to characterize rates of microbial growth and pH changes in commercially prepared products of normal storage quality. Pork loins in commercial distribution with odour defects were also studied to determine a possible cause of the defects and avoid future problems. In addition, microbial profiles of pork cuts from two plants were compared, after storage for 25 days at -1.5 degrees C, to identify possible reasons for differences in the storage life of product from the plants. The effects of a change in sanitation procedures on the microbial populations of products stored for 25 days were also studied. With normal product, microbial growth in different packages progressed at different rates, reflecting differences in initial levels of bacterial contamination. All samples in the study reached 8 weeks without apparent organoleptic change and samples carried 5.8+/-1.2 log bacteria cm(-2) (mean+/-S.D.). The flora of loins with the odour defect were predominately lactic acid bacteria (LAB) and carnobacteria, but they contained large fractions of Enterobacteriaceae <35 days after packaging. Aeromonas spp. and Shewanella spp. were likely responsible for the sulfide-putrid smell of these spoiled products, but species of Enterobacteriaceae and lactic acid bacteria could have contributed to spoilage. Comparison of microbial groups present in 16 other cuts, half from each of two commercial plants, which were stored for 25 days at -1.5 degrees C, showed that larger fractions of Enterobacteriaceae were present in samples from the plant having difficulty achieving the desired storage life. Additional bacterial samples from 12 cuts supplied by the latter plant obtained after adoption of an acid sanitizer step in the plant cleaning regimen, and also stored for 25 days at -1.5 degrees C, yielded few Enterobacteriaceae, Aeromonas or Shewanella. Use of an acid sanitizer

  13. New class of microminiature Joule — Thomson refrigerator and vacuum package

    NASA Astrophysics Data System (ADS)

    Paugh, Robert L.

    1990-12-01

    Progress is reported on the development of a two-stage, fast cooldown Joule — Thomson refrigerator using nitrogen gas and a nitrogen — hydrocarbon gas mixture as the refrigerants. The refrigerator incorporates a microminiature Venturi pump to reduce the pressure of the exhaust of the main boiler to bring the operating temperature of the cold stage to < 70 K in as little as 10 s. The vacuum package for the refrigerator contains no organic materials and is designed to provide a ten year shelf life. Special glass strengthening techniques are being used to achieve cooler survival of acceleration tests of up to 100 000g.

  14. Effect of modified atmosphere and vacuum packaging on some quality characteristics and the shelf-life of "morcilla", a typical cooked blood sausage.

    PubMed

    Cachaldora, Aida; García, Gloria; Lorenzo, José M; García-Fontán, M Camino

    2013-02-01

    The effect of modified atmosphere and vacuum packaging on the shelf-life of "morcilla", a traditional cooked blood sausage, was investigated. A total of 99 "morcillas" were packaged under vacuum and in modified atmosphere using three different gas mixtures: 15:35:50/O(2):N(2):CO(2) (atmosphere 1), 60:40/N(2):CO(2) (atmosphere 2) and 40:60/N(2):CO(2) (atmosphere 3), and stored during 2, 4, 6 and 8 weeks at 4 °C. Shelf life evaluation was based on pH, water activity (a(w)), colour (CIE L*, a*, b*, C* and h*), TBARS formation and microbial counts. The results indicated that, in general, storage time affected (P<0.05) all parameters whereas no significant differences were observed (P>0.05) among packaging conditions. Based on the microbial counts, the shelf-life of "morcilla" would be greater than 8 weeks for all packaging conditions. Samples packaged with high CO(2) concentrations (40:60/N(2):CO(2)) showed the lowest values of TBARS at the end of storage. Copyright © 2012 Elsevier Ltd. All rights reserved.

  15. Fabricating a Microcomputer on a Single Silicon Wafer

    NASA Technical Reports Server (NTRS)

    Evanchuk, V. L.

    1983-01-01

    Concept for "microcomputer on a slice" reduces microcomputer costs by eliminating scribing, wiring, and packaging of individual circuit chips. Low-cost microcomputer on silicon slice contains redundant components. All components-central processing unit, input/output circuitry, read-only memory, and random-access memory (CPU, I/O, ROM, and RAM) on placed on single silicon wafer.

  16. Colour, lipid and protein stability of Rhea americana meat during air- and vacuum-packaged storage: influence of muscle on oxidative processes.

    PubMed

    Filgueras, R S; Gatellier, P; Aubry, L; Thomas, A; Bauchart, D; Durand, D; Zambiazi, R C; Santé-Lhoutellier, V

    2010-11-01

    Physicochemical characteristics and oxidative stability during storage were determined in Gastrocnemius pars interna (GN) and Iliofiburalis (IF) muscles of Rhea americana. Glycolytic potential (GP) and pH decline of muscles were measured within the first 24 h post mortem. Colour, lipid and protein stability were determined during storage of meat, i.e. 5 days under air-packaging at 4°C, or 28 days under vacuum-packaging at 4°C. In parallel, anti-oxidant status of muscles was estimated by measuring α-tocopherol content and anti-oxidant enzyme activities (superoxide dismutase and catalase), while pro-oxidant status was evaluated by determining haeminic iron and long chain fatty acids (especially polyunsaturated fatty acids). The ultimate pH was similar in both muscles, but the GP value was significantly higher in IF than in GN muscle. Haeminic iron and alpha-tocopherol content differed between muscles, with 30% more haeminic iron (p<0.05) and 134% more alpha-tocopherol (p<0.001) in IF than GN muscle. The IF muscle presented higher lipid content and lower PUFA/SFA ratio (polyunsaturated fatty acids/saturated fatty acids) than GN muscle. With storage under air-packaging, lipid and protein oxidation of rhea muscles increased up to 275% and 30%, respectively. This increase was more rapidly and marked in IF muscle. The IF also showed high level of metmyoglobin accumulation after 3 days of storage (47%) and was rejected by 1 consumer out of 2 in sensorial analysis. Under vacuum-packaging, both muscles showed a high stability of colour and no oxidation of lipids and proteins. Copyright © 2010 The American Meat Science Association. Published by Elsevier Ltd. All rights reserved.

  17. Investigation of the heating behavior of carbide-bonded graphene coated silicon wafer used for hot embossing

    NASA Astrophysics Data System (ADS)

    Yang, Gao; Li, Lihua; Lee, Wing Bun; Ng, Man Cheung; Chan, Chang Yuen

    2018-03-01

    A recently developed carbide-bonded graphene (CBG) coated silicon wafer was found to be an effective micro-patterned mold material for implementing rapid heating in hot embossing processes owing to its superior electrical and thermal conductivity, in addition to excellent mechanical properties. To facilitate the achievement of precision temperature control in the hot embossing, the heating behavior of a CBG coated silicon wafer sample was experimentally investigated. First, two groups of controlled experiments were conducted for quantitatively evaluating the influence of the main factors such as the vacuum pressure and gaseous environment (vacuum versus nitrogen) on its heating performance. The electrical and thermal responses of this sample under a voltage of 60 V were then intensively analyzed, and revealed that it had somewhat semi-conducting properties. Further, we compared its thermal profiles under different settings of the input voltage and current limiting threshold. Moreover, the strong temperature dependence of electrical resistance for this material was observed and determined. Ultimately, the surface temperature of CBG coated silicon wafer could be as high as 1300 ℃, but surprisingly the graphene coating did not detach from the substrate under such an elevated temperature due to its strong thermal coupling with the silicon wafer.

  18. Thermotolerance of meat spoilage lactic acid bacteria and their inactivation in vacuum-packaged vienna sausages.

    PubMed

    Franz, C M; von Holy, A

    1996-02-01

    Heat resistance of three meat spoilage lactic acid bacteria was determined in vitro. D-values at 57, 60 and 63 degrees C were 52.9, 39.3 and 32.5 s for Lactobacillus sake, 34.9, 31.3 and 20.2 s for Leuconostoc mesenteroides and 22.5, 15.6 and 14.4 s for Lactobacillus curvatus, respectively. The three lactic acid bacteria were heat sensitive, as one log reductions in numbers were achieved at 57 degrees C in less than 60 s. Z-values could not be accurately determined as D-values did not change by a factor of 10 over the temperature range studied. In-package pasteurization processes were calculated using the highest in vitro D-value and applied to vacuum-packaged vienna sausages. Microbiological shelf life (time for lactic acid bacteria count to reach 5 x 10(6) CFU/g) increased from 7 days for non-pasteurized samples to 67, 99 and 119 days for samples of the three pasteurization treatments at 8 degrees C storage. Enterobacteriaceae were detected at levels of log 4.0 CFU/g in non-pasteurized samples, but were reduced to < log 1.0 CFU/g in pasteurized samples. The incidence of listeriae in non-pasteurized samples was low as only one Listeria innocua strain was isolated. No Listeria spp. were isolated from pasteurized samples. Numbers of Clostridium isolates increased from one in non-pasteurized samples to 25 in pasteurized samples. Increasing incidences of clostridia, and the presence of C. perfringens in pasteurized samples indicated that in-package pasteurization could compromise product safety.

  19. Analysis and modeling of wafer-level process variability in 28 nm FD-SOI using split C-V measurements

    NASA Astrophysics Data System (ADS)

    Pradeep, Krishna; Poiroux, Thierry; Scheer, Patrick; Juge, André; Gouget, Gilles; Ghibaudo, Gérard

    2018-07-01

    This work details the analysis of wafer level global process variability in 28 nm FD-SOI using split C-V measurements. The proposed approach initially evaluates the native on wafer process variability using efficient extraction methods on split C-V measurements. The on-wafer threshold voltage (VT) variability is first studied and modeled using a simple analytical model. Then, a statistical model based on the Leti-UTSOI compact model is proposed to describe the total C-V variability in different bias conditions. This statistical model is finally used to study the contribution of each process parameter to the total C-V variability.

  20. Silicon Alignment Pins: An Easy Way to Realize a Wafer-to-Wafer Alignment

    NASA Technical Reports Server (NTRS)

    Jung-Kubiak, Cecile; Reck, Theodore J.; Lin, Robert H.; Peralta, Alejandro; Gill, John J.; Lee, Choonsup; Siles, Jose; Toda, Risaku; Chattopadhyay, Goutam; Cooper, Ken B.; hide

    2013-01-01

    Submillimeter heterodyne instruments play a critical role in addressing fundamental questions regarding the evolution of galaxies as well as being a crucial tool in planetary science. To make these instruments compatible with small platforms, especially for the study of the outer planets, or to enable the development of multi-pixel arrays, it is essential to reduce the mass, power, and volume of the existing single-pixel heterodyne receivers. Silicon micromachining technology is naturally suited for making these submillimeter and terahertz components, where precision and accuracy are essential. Waveguide and channel cavities are etched in a silicon bulk material using deep reactive ion etching (DRIE) techniques. Power amplifiers, multiplier and mixer chips are then integrated and the silicon pieces are stacked together to form a supercompact receiver front end. By using silicon micromachined packages for these components, instrument mass can be reduced and higher levels of integration can be achieved. A method is needed to assemble accurately these silicon pieces together, and a technique was developed here using etched pockets and silicon pins to align two wafers together.

  1. Laser Vacuum Furnace for Zone Refining

    NASA Technical Reports Server (NTRS)

    Griner, D. B.; Zurburg, F. W.; Penn, W. M.

    1986-01-01

    Laser beam scanned to produce moving melt zone. Experimental laser vacuum furnace scans crystalline wafer with high-power CO2-laser beam to generate precise melt zone with precise control of temperature gradients around zone. Intended for zone refining of silicon or other semiconductors in low gravity, apparatus used in normal gravity.

  2. Microbial growth, communities and sensory characteristics of vacuum and modified atmosphere packaged lamb shoulders.

    PubMed

    Kiermeier, Andreas; Tamplin, Mark; May, Damian; Holds, Geoff; Williams, Michelle; Dann, Alison

    2013-12-01

    Packaging fresh lamb in a vacuum (VAC) versus a 100% CO2 modified atmosphere (MAP) may influence product shelf-life and the bacterial communities. While VAC is a common packing method and 100% CO2 MAP is used in some countries, there is little information about how these different techniques affect the growth of spoilage bacteria and sensory attributes of lamb. The aim of this study was to assess changes in microbiological and organoleptic properties, and determine differences in microbial communities by terminal restriction fragment length polymorphism (TRFLP) and 454 pyrosequencing, in bone-in (BI) and bone-out (BO) MAP- and VAC-packed lamb shoulders stored at -0.3 °C over 12 wk. VAC and MAP lamb shoulders were acceptable in sensory test scores over 12 wk of storage at -0.3 °C, despite total viable count (TVC) and lactic acid bacteria (LAB) levels increasing to 8 log10 CFU/cm(2) for VAC lamb and 4-6 log10 CFU/cm(2) for MAP lamb. Similar to the sensory results, there were no significant differences in microbial communities between BI and BO product. However, types of bacteria were different between VAC and MAP packaging. Specifically, while VAC shoulder became dominated by Carnobacterium spp. in the middle of the storage period, the MAP shoulder microbial population remained similar from the start until later storage times. Copyright © 2013 Elsevier Ltd. All rights reserved.

  3. Type of packaging affects the colour stability of vitamin E enriched beef.

    PubMed

    Nassu, Renata T; Uttaro, Bethany; Aalhus, Jennifer L; Zawadski, Sophie; Juárez, Manuel; Dugan, Michael E R

    2012-12-01

    Colour stability is a very important parameter for meat retail display, as appearance of the product is the deciding factor for consumers at time of purchase. This study investigated the possibility of extending appearance shelf-life through the combined use of packaging method (overwrapping - OVER, modified atmosphere - MAP, vacuum skin packaging - VSP and a combination of modified atmosphere and vacuum skin packaging - MAPVSP) and antioxidants (vitamin E enriched beef). Retail attributes (appearance, lean colour, % surface discolouration), as well as colour space analysis of images for red, green and blue parameters were measured over 18days. MAPVSP provided the most desirable retail appearance during the first 4days of retail display, while VSP-HB had the best colour stability. Overall, packaging type was more influential than α-tocopherol levels on meat colour stability, although α-tocopherol levels (>4μgg(-1) meat) had a protective effect when using high oxygen packaging methods. Crown Copyright © 2012. Published by Elsevier Ltd. All rights reserved.

  4. Extreme-UV lithography vacuum chamber zone seal

    DOEpatents

    Haney, Steven J.; Herron, Donald Joe; Klebanoff, Leonard E.; Replogle, William C.

    2001-01-01

    Control of particle contamination on the reticle and carbon contamination of optical surfaces in photolithography systems can be achieved by the establishment of multiple pressure zones in the photolithography systems. The different zones will enclose the reticle, projection optics, wafer, and other components of system. The system includes a vacuum apparatus that includes: a housing defining a vacuum chamber; one or more metrology trays situated within the vacuum chamber each of which is supported by at least one support member, wherein the tray separates the vacuum chamber into a various compartments that are maintained at different pressures; and conductance seal devices for adjoining the perimeter of each tray to an inner surface of the housing wherein the tray is decoupled from vibrations emanating from the inner surface of the housing.

  5. Extreme-UV lithography vacuum chamber zone seal

    DOEpatents

    Haney, Steven J.; Herron, Donald Joe; Klebanoff, Leonard E.; Replogle, William C.

    2003-04-08

    Control of particle contamination on the reticle and carbon contamination of optical surfaces in photolithography systems can be achieved by the establishment of multiple pressure zones in the photolithography systems. The different zones will enclose the reticle, projection optics, wafer, and other components of system. The system includes a vacuum apparatus that includes: a housing defining a vacuum chamber; one or more metrology trays situated within the vacuum chamber each of which is supported by at least one support member, wherein the tray separates the vacuum chamber into a various compartments that are maintained at different pressures; and conductance seal devices for adjoining the perimeter of each tray to an inner surface of the housing wherein the tray is decoupled from vibrations emanating from the inner surface of the housing.

  6. Extreme-UV lithography vacuum chamber zone seal

    DOEpatents

    Haney, Steven J.; Herron, Donald Joe; Klebanoff, Leonard E.; Replogle, William C.

    2003-04-15

    Control of particle contamination on the reticle and carbon contamination of optical surfaces in photolithography systems can be achieved by the establishment of multiple pressure zones in the photolithography systems. The different zones will enclose the reticle, projection optics, wafer, and other components of system. The system includes a vacuum apparatus that includes: a housing defining a vacuum chamber; one or more metrology trays situated within the vacuum chamber each of which is supported by at least one support member, wherein the tray separates the vacuum chamber into a various compartments that are maintained at different pressures; and conductance seal devices for adjoining the perimeter of each tray to an inner surface of the housing wherein the tray is decoupled from vibrations emanating from the inner surface of the housing.

  7. Vertical integration of array-type miniature interferometers at wafer level by using multistack anodic bonding

    NASA Astrophysics Data System (ADS)

    Wang, Wei-Shan; Wiemer, Maik; Froemel, Joerg; Enderlein, Tom; Gessner, Thomas; Lullin, Justine; Bargiel, Sylwester; Passilly, Nicolas; Albero, Jorge; Gorecki, Christophe

    2016-04-01

    In this work, vertical integration of miniaturized array-type Mirau interferometers at wafer level by using multi-stack anodic bonding is presented. Mirau interferometer is suitable for MEMS metrology and for medical imaging according to its vertical-, lateral- resolutions and working distances. Miniaturized Mirau interferometer can be a promising candidate as a key component of an optical coherence tomography (OCT) system. The miniaturized array-type interferometer consists of a microlens doublet, a Si-based MEMS Z scanner, a spacer for focus-adjustment and a beam splitter. Therefore, bonding technologies which are suitable for heterogeneous substrates are of high interest and necessary for the integration of MEMS/MOEMS devices. Multi-stack anodic bonding, which meets the optical and mechanical requirements of the MOEMS device, is adopted to integrate the array-type interferometers. First, the spacer and the beam splitter are bonded, followed by bonding of the MEMS Z scanner. In the meanwhile, two microlenses, which are composed of Si and glass wafers, are anodically bonded to form a microlens doublet. Then, the microlens doublet is aligned and bonded with the scanner/spacer/beam splitter stack. The bonded array-type interferometer is a 7- wafer stack and the thickness is approximately 5mm. To separate such a thick wafer stack with various substrates, 2-step laser cutting is used to dice the bonded stack into Mirau chips. To simplify fabrication process of each component, electrical connections are created at the last step by mounting a Mirau chip onto a flip chip PCB instead of through wafer vias. Stability of Au/Ti films on the MEMS Z scanner after anodic bonding, laser cutting and flip chip bonding are discussed as well.

  8. Auxiliary propulsion system flight package

    NASA Technical Reports Server (NTRS)

    Collett, C. R.

    1987-01-01

    Hughes Aircraft Company developed qualified and integrated flight, a flight test Ion Auxiliary Propulsion System (IAPS), on an Air Force technology satellite. The IAPS Flight Package consists of two identical Thruster Subsystems and a Diagnostic Subsystem. Each thruster subsystem (TSS) is comprised of an 8-cm ion Thruster-Gimbal-Beam Shield Unit (TGBSU); Power Electronics Unit; Digital Controller and Interface Unit (DCIU); and Propellant Tank, Valve and Feed Unit (PTVFU) plus the requisite cables. The Diagnostic Subsystem (DSS) includes four types of sensors for measuring the effect of the ion thrusters on the spacecraft and the surrounding plasma. Flight qualifications of IAPS, prior to installation on the spacecraft, consisted of performance, vibration and thermal-vacuum testing at the unit level, and thermal-vacuum testing at the subsystem level. Mutual compatibility between IAPS and the host spacecraft was demonstrated during a series of performance and environmental tests after the IAPS Flight Package was installed on the spacecraft. After a spacecraft acoustic test, performance of the ion thrusters was reverified by removing the TGBSUs for a thorough performance test at Hughes Research Laboratories (HRL). The TGBSUs were then reinstalled on the spacecraft. The IAPS Flight Package is ready for flight testing when Shuttle flights are resumed.

  9. Effectiveness of some recent antimicrobial packaging concepts.

    PubMed

    Vermeiren, L; Devlieghere, F; Debevere, J

    2002-01-01

    A new type of active packaging is the combination of food-packaging materials with antimicrobial substances to control microbial surface contamination of foods. For both migrating and non-migrating antimicrobial materials, intensive contact between the food product and packaging material is required and therefore potential food applications include especially vacuum or skin-packaged products, e.g. vacuum-packaged meat, fish, poultry or cheese. Several antimicrobial compounds have been combined with different types of carriers (plastic and rubber articles, paper-based materials, textile fibrils and food-packaging materials). Until now, however, few antimicrobial concepts have found applications as a food-packaging material. Antimicrobial packaging materials cannot legally be used in the EU at the moment. The potential use would require amendments of several different legal texts involving areas such as food additives, food packaging, hygiene, etc. The main objective of this paper is to provide a state of the art about the different types of antimicrobial concepts, their experimental development and commercialization, and to present a case study summarizing the results of investigations on the feasibility of a low-density polyethylene (LDPE)-film containing triclosan to inhibit microbial growth on food surfaces and consequently prolong shelf-life or improve microbial food safety. In contrast with the strong antimicrobial effect in in-vitro simulated vacuum-packaged conditions against the psychrotrophic food pathogen L. monocytogenes, the 1000 mg kg(-1) containing triclosan film did not effectively reduce spoilage bacteria and growth of L. monocytogenes on refrigerated vacuum-packaged chicken breasts stored at 7 degrees C.

  10. Apparatus for use in examining the lattice of a semiconductor wafer by X-ray diffraction

    NASA Technical Reports Server (NTRS)

    Parker, D. L.; Porter, W. A. (Inventor)

    1978-01-01

    An improved apparatus for examining the crystal lattice of a semiconductor wafer utilizing X-ray diffraction techniques was presented. The apparatus is employed in a method which includes the step of recording the image of a wafer supported in a bent configuration conforming to a compound curve, produced through the use of a vacuum chuck provided for an X-ray camera. The entire surface thereof is illuminated simultaneously by a beam of incident X-rays which are projected from a distant point-source and satisfy conditions of the Bragg Law for all points on the surface of the water.

  11. Effect of chemical sanitizer combined with modified atmosphere packaging on inhibiting Escherichia coli O157:H7 in commercial spinach.

    PubMed

    Lee, Sun-Young; Baek, Seung-Youb

    2008-06-01

    Escherichia coli O157:H7 contaminated spinach has recently caused several outbreaks of human illness in the USA and Canada. However, to date, there has been no study demonstrating an effective way to eliminate E. coli O157:H7 in spinach. Therefore, this study was conducted to investigate the effect of chemical sanitizers alone or in combination with packaging methods such as vacuum and modified atmosphere packaging (MAP) on inactivating E. coli O157:H7 in spinach during storage time. Spinach inoculated with E. coli O157:H7 was packaged in four different methods (air, vacuum, N(2) gas, and CO(2) gas packaging) following treatment with water, 100 ppm chlorine dioxide, or 100 ppm sodium hypochlorite for 5 min at room temperature and stored at 7+/-2 degrees C. Treatment with water did not significantly reduce levels of E. coli O157:H7 in spinach. However, treatment with chlorine dioxide and sodium hypochlorite significantly decreased levels of E. coli O157:H7 by 2.6 and 1.1 log(10)CFU/g, respectively. Levels of E. coli O157:H7 in samples packaged in air following treatments grew during storage time, whereas levels were maintained in samples packaged in other packaging methods (vacuum, N(2) gas, and CO(2) gas packaging). Therefore there were significant differences (about 3-4 log) of E. coli O157:H7 populations between samples packed in air and other packaging methods following treatment with chemical sanitizers after 7 days storage. These results suggest that the combination of treatment with chlorine dioxide and packaging methods such as vacuum and MAP may be useful for improving the microbial safety of spinach against E. coli O157:H7 during storage.

  12. Effect of low-temperature preservation on the quality of vacuum-packaged dry-cured ham: Refrigerated boneless ham and frozen ham cuts.

    PubMed

    Cilla, Irene; Martínez, Luis; Beltrán, José Antonio; Roncalés, Pedro

    2006-05-01

    The effect of storage on dry-cured ham quality was studied. Sixteen vacuum-packaged boneless dry-cured hams and sixteen vacuum-packaged dry-cured ham cuts were stored in darkness under refrigeration (4±2°C; 8 months) or freezing (-18±1°C; 24 months), respectively. Instrumental colour and texture, physico-chemical and biochemical parameters, sensory profile and consumer acceptability and purchase satisfaction were measured throughout storage. The overall quality of refrigerated boneless dry-cured hams and frozen dry-cured ham cuts showed only limited changes throughout long-term storage. Significant changes involved loss of odour and flavour, increased adhesiveness and modification of hardness, the Semimembranosus muscle became tender while Biceps femoris became harder, leading to a higher textural homogeneity. In agreement with those changes, the overall acceptability assessed by a trained panel decreased throughout storage, though this was significant regarding only frozen hams. However, consumer evaluation of acceptability, as well as satisfaction with hypothetical purchasing, did not vary significantly throughout storage.

  13. Stable wafer-carrier system

    DOEpatents

    Rozenzon, Yan; Trujillo, Robert T; Beese, Steven C

    2013-10-22

    One embodiment of the present invention provides a wafer-carrier system used in a deposition chamber for carrying wafers. The wafer-carrier system includes a base susceptor and a top susceptor nested inside the base susceptor with its wafer-mounting side facing the base susceptor's wafer-mounting side, thereby forming a substantially enclosed narrow channel. The base susceptor provides an upward support to the top susceptor.

  14. Switchable adhesion for wafer-handling based on dielectric elastomer stack transducers

    NASA Astrophysics Data System (ADS)

    Grotepaß, T.; Butz, J.; Förster-Zügel, F.; Schlaak, H. F.

    2016-04-01

    Vacuum grippers are often used for the handling of wafers and small devices. In order to evacuate the gripper, a gas flow is created that can harm the micro structures on the wafer. A promising alternative to vacuum grippers could be adhesive grippers with switchable adhesion. There have been some publications of gecko-inspired adhesive devices. Most of these former works consist of a structured surface which adheres to the object manipulated and an actuator for switching the adhesion. Until now different actuator principles have been investigated, like smart memory alloys and pneumatics. In this work for the first time dielectric elastomer stack transducers (DEST) are combined with a structured surface. DESTs are a promising new transducer technology with many applications in different industry sectors like medical devices, human-machine-interaction and soft robotics. Stacked dielectric elastomer transducers show thickness contraction originating from the electromechanical pressure of two compliant electrodes compressing an elastomeric dielectric when a voltage is applied. Since DESTs and the adhesive surfaces previously described are made of elastomers, it is self-evident to combine both systems in one device. The DESTs are fabricated by a spin coating process. If the flat surface of the spinning carrier is substituted for example by a perforated one, the structured elastomer surface and the DEST can be fabricated in one process. By electrical actuation the DEST contracts and laterally expands which causes the gecko-like cilia to adhere on the object to manipulate. This work describes the assembly and the experimental results of such a device using switchable adhesion. It is intended to be used for the handling of glass wafers.

  15. Patterned wafer geometry grouping for improved overlay control

    NASA Astrophysics Data System (ADS)

    Lee, Honggoo; Han, Sangjun; Woo, Jaeson; Park, Junbeom; Song, Changrock; Anis, Fatima; Vukkadala, Pradeep; Jeon, Sanghuck; Choi, DongSub; Huang, Kevin; Heo, Hoyoung; Smith, Mark D.; Robinson, John C.

    2017-03-01

    Process-induced overlay errors from outside the litho cell have become a significant contributor to the overlay error budget including non-uniform wafer stress. Previous studies have shown the correlation between process-induced stress and overlay and the opportunity for improvement in process control, including the use of patterned wafer geometry (PWG) metrology to reduce stress-induced overlay signatures. Key challenges of volume semiconductor manufacturing are how to improve not only the magnitude of these signatures, but also the wafer to wafer variability. This work involves a novel technique of using PWG metrology to provide improved litho-control by wafer-level grouping based on incoming process induced overlay, relevant for both 3D NAND and DRAM. Examples shown in this study are from 19 nm DRAM manufacturing.

  16. Effect of cinnamon essential oil on bacterial diversity and shelf-life in vacuum-packaged common carp (Cyprinus carpio) during refrigerated storage.

    PubMed

    Zhang, Yuemei; Li, Dongping; Lv, Jian; Li, Qingzheng; Kong, Chunli; Luo, Yongkang

    2017-05-16

    The present study investigated the effect of cinnamon essential oil on the quality of vacuum-packaged common carp (Cyprinus carpio) fillets stored at 4±1°C in terms of sensory scores, physicochemical characteristics (total volatile basic nitrogen (TVB-N), biogenic amines, and color), and presence of spoilage microbiota. A total of 290,753 bacterial sequences and 162 different genera belonging to 14 phyla were observed by a high-throughput sequencing technique targeting the V3-V4 region of 16S rDNA, which showed a more comprehensive estimate of microbial diversity in carp samples compared with microbial enumeration. Before storage, Macrococcus and Aeromonas were the prevalent populations in the control samples, but cinnamon essential oil decreased the relative abundance of Macrococcus in the treated samples. Variability in the predominant microbiota in different samples during chilled storage was observed. Aeromonas followed by Lactococcus were the major contaminants in the spoiled control samples. Microbial enumeration also observed relatively higher counts of Aeromonas than other spoilage microorganisms. Compared with the control samples, cinnamon essential oil inhibited the growth of Aeromonas and Lactococcus were the predominant components in the treated samples on day 10; plate counts also revealed a relatively high level of lactic acid bacteria during refrigerated storage. However, there were no significant differences (P>0.05) in the composition of dominant microbiota between these two treatments at the end of the shelf-life. Furthermore, cinnamon essential oil treatment was more effective in inhibiting the increase of TVB-N and the accumulation of biogenic amines (especially for putrescine and cadaverine levels). Based primarily on sensory analysis, the use of cinnamon essential oil extended the shelf-life of vacuum-packaged common carp fillets by about 2days. Copyright © 2016 Elsevier B.V. All rights reserved.

  17. The Function of Emulsions on the Biogenic Amine Formation and their Indices of Sea Bass Fillets (Dicentrarchus Labrax) Stored in Vacuum Packaging.

    PubMed

    Ozogul, Yesim; Durmus, Mustafa; Kuley Boga, Esmeray; Uçar, Yılmaz; Ozogul, Fatih

    2018-02-01

    The impacts of emulsions based on commercial oils on the biogenic amine formation and their indices of vacuumed packed sea bass fillets were investigated. The results showed that among biogenic amines, cadaverine, putrescine, spermidine, spermine, serotonin, dopamine, and agmatine were predominant amines in sea bass fillets stored under vacuum packaging. Significant differences (P < 0.05) in biogenic amines concentrations of vacuumed packed sea bass treated with emulsions were observed. All groups contained histamine lower than 5.0 mg/100 g, regarded as the allowable limit by the U.S. Food and Drug Administration. Polyamine levels were not affected by application of emulsion. Quality index (QI) showed an increase and after 14 d of storage it decreased in all groups. The control generally seemed to higher QI value than those of treatment groups except at 14 and 18 days while soybean and corn gave lower QI among treatment groups. Only biogenic amine index correlated with sensory acceptability of vacuumed packed sea bass, indicating that this index can be used for determination of the degree of spoilage of vacuumed packed sea bass. Emulsions extended the shelf-life (approximately 2 to 4 d) of vacuumed packed sea bass fillets by inhibiting microbial growth compared to the control. Emulsions have become popular since they are regarded as ideal carrier for the delivery of lipophilic substances due to the ease of preparation, small particle size, their enhanced bioavailability, and long term kinetic stability. They have been proven to be self-preserving antimicrobials due to bound water in their structure and thus no available water to microorganisms. Antimicrobial emulsions have potential applications in many fields because they are inexpensive, stable, and nontoxic agents. © 2018 Institute of Food Technologists®.

  18. Transfer orbit stage mechanisms thermal vacuum test

    NASA Technical Reports Server (NTRS)

    Oleary, Scott T.

    1990-01-01

    A systems level mechanisms test was conducted on the Orbital Sciences Corp.'s Transfer Orbit Stage (TOS). The TOS is a unique partially reusable transfer vehicle which will boost a satellite into its operational orbit from the Space Shuttle's cargo bay. The mechanical cradle and tilt assemblies will return to earth with the Space Shuttle while the Solid Rocket Motor (SRM) and avionics package are expended. A mechanisms test was performed on the forward cradle and aft tilting assemblies of the TOS under thermal vacuum conditions. Actuating these assemblies under a 1 g environment and thermal vacuum conditions proved to be a complex task. Pneumatic test fixturing was used to lift the forward cradle, and tilt the SRM, and avionics package. Clinometers, linear voltage displacement transducers, and load cells were used in the thermal vacuum chamber to measure the performance and characteristics of the TOS mechanism assembly. Incorporation of the instrumentation and pneumatic system into the test setup was not routine since pneumatic actuation of flight hardware had not been previously performed in the facility. The methods used are presented along with the problems experienced during the design, setup and test phases.

  19. Postharvest changes in the phenolic profile of watercress induced by post-packaging irradiation and modified atmosphere packaging.

    PubMed

    Pinela, José; Barros, Lillian; Barreira, João C M; Carvalho, Ana Maria; Oliveira, M Beatriz P P; Santos-Buelga, Celestino; Ferreira, Isabel C F R

    2018-07-15

    The effects of γ-ray irradiation and modified atmosphere packaging (MAP) on watercress (Nasturtium officinale R. Br.) phenolic compounds were evaluated after 7-day storage at 4 °C. Irradiation doses of 1, 2 and 5 kGy were tested, as well as vacuum-packaging and MAP enriched with 100% N 2 and Ar. A non-irradiated, air-packaged control was included in all experiments. p-Coumaric acid was the most abundant compound in fresh watercress, followed by quercetin-3-O-sophoroside and isorhamnetin-O-hydroxyferuloylhexoside-O-hexoside. Four kaempferol glycoside derivatives were identified for the first time in this species. In general, flavonoids predominated over phenolic acids. Samples stored under vacuum and irradiated at 2 kGy revealed lower phenolic levels. Ar-enriched MAP and control conditions preserved the initial phenolic content. The 5 kGy dose also maintained concentrations of flavonoids and total phenolic compounds, but increased the phenolic acids content. Additionally, flavonoids were found strongly correlated to DPPH scavenging activity and β-carotene bleaching inhibition capacity. Copyright © 2018 Elsevier Ltd. All rights reserved.

  20. Shelf-life extension of vacuum-packaged meat from pheasant (Phasianus colchicus) by lactic acid treatment.

    PubMed

    Pfeifer, Agathe; Smulders, Frans J M; Paulsen, Peter

    2014-07-01

    We investigated the influence of lactic acid treatment of pheasant meat before vacuum-packaged storage of 3, 7, and 10 d at +6°C on microbiota and pH. Breast muscle samples were collected from carcasses of slaughtered as well as from hunted (shot) wild pheasants. Immersion of meat samples in 3% (wt/wt) lactic acid for 60 s effectuated a significant drop in pH of approximately 0.5 to 0.7 units, which remained during the entire storage period. In parallel, total aerobic counts of such treated and stored samples were on an average 1.5 to 1.7 log units lower than in non-acid-treated samples. Similar results were found for Enterobacteriaceae. A significant decrease in pH was measured at d 7 and 10 in the acid-treated samples in comparison with the untreated ones. In summary, the immersion of pheasant breast meat cuts in dilute lactic acid significantly reduced microbiota during vacuum-packed storage, even at slight temperature abuse conditions. © 2014 Poultry Science Association Inc.

  1. Surface attachment of active antimicrobial coatings onto conventional plastic-based laminates and performance assessment of these materials on the storage life of vacuum packaged beef sub-primals.

    PubMed

    Clarke, David; Tyuftin, Andrey A; Cruz-Romero, Malco C; Bolton, Declan; Fanning, Seamus; Pankaj, Shashi K; Bueno-Ferrer, Carmen; Cullen, Patrick J; Kerry, Joe P

    2017-04-01

    Two antimicrobial coatings, namely Sodium octanoate and Auranta FV (a commercial antimicrobial composed of bioflavonoids, citric, malic, lactic, and caprylic acids) were used. These two antimicrobials were surface coated onto the inner polyethylene layer of cold plasma treated polyamide films using beef gelatin as a carrier and coating polymer. This packaging material was then used to vacuum pack beef sub-primal cuts and stored at 4 °C. A control was prepared using the non-coated commercial laminate and the same vacuum packaged sub-primal beef cuts. During storage, microbial and quality assessments were carried out. Sodium octanoate treated packages significantly (p < 0.05) reduced microbial counts for all bacteria tested with an increase of 7 and 14 days, respectively compared to control samples. No significant effect on pH was observed with any treatment. The results suggested that these food grade antimicrobials have the potential to be used in antimicrobial active packaging applications for beef products. Copyright © 2016 Elsevier Ltd. All rights reserved.

  2. European consumer response to packaging technologies for improved beef safety.

    PubMed

    Van Wezemael, Lynn; Ueland, Øydis; Verbeke, Wim

    2011-09-01

    Beef packaging can influence consumer perceptions of beef. Although consumer perceptions and acceptance are considered to be among the most limiting factors in the application of new technologies, there is a lack of knowledge about the acceptability to consumers of beef packaging systems aimed at improved safety. This paper explores European consumers' acceptance levels of different beef packaging technologies. An online consumer survey was conducted in five European countries (n=2520). Acceptance levels among the sample ranged between 23% for packaging releasing preservative additives up to 73% for vacuum packaging. Factor analysis revealed that familiar packaging technologies were clearly preferred over non-familiar technologies. Four consumer segments were identified: the negative (31% of the sample), cautious (30%), conservative (17%) and enthusiast (22%) consumers, which were profiled based on their attitudes and beef consumption behaviour. Differences between consumer acceptance levels should be taken into account while optimising beef packaging and communicating its benefits. Copyright © 2011 Elsevier Ltd. All rights reserved.

  3. Effects of dietary magnesium and duration of refrigerated storage on the quality of vacuum-packaged, boneless pork loins.

    PubMed

    Apple, J K; Davis, J R; Rakes, L K; Maxwell, C V; Stivarius, M R; Pohlman, F W

    2001-01-01

    Quality data were initially collected on 78 pork loins from crossbred pigs fed diets containing 0, 1.25 or 2.5% magnesium mica (MM). Loins were then vacuum-packaged, and randomly assigned to either 4 or 8 weeks of storage at 2°C. Dietary MM had no (P > 0.05) effect on moisture loss/retention or subjective and objective color measurements. Purge volume increased (P<0.05) and drip loss decreased (P<0.05) as storage time increased. Moreover, longissimus thoracis et lumborum (LM) chops became lighter (P<0.05), redder (P<0.05), and more yellow (P<0.05) during 8 weeks of storage. Although TBARS values increased linearly (P<0.001) during extended storage, LM chops from pigs fed 2.5% MM tended to have lower (P<0.07) TBARS values after 4 weeks of storage than chops from pigs fed 0 and 1.25% MM. After 8 weeks of storage, however, there was a tendency for TBARS values of chops from pigs fed 1.25% MM to be lower (P<0.07) than chops from pigs fed 2.5% MM. Even though feeding swine diets containing MM did not affect color and water-holding capacity of pork loins during storage, the data indicated inclusion of MM in swine diets may retard onset of oxidative rancidity in vacuum-packaged pork loins.

  4. Structured wafer for device processing

    DOEpatents

    Okandan, Murat; Nielson, Gregory N

    2014-05-20

    A structured wafer that includes through passages is used for device processing. Each of the through passages extends from or along one surface of the structured wafer and forms a pattern on a top surface area of the structured wafer. The top surface of the structured wafer is bonded to a device layer via a release layer. Devices are processed on the device layer, and are released from the structured wafer using etchant. The through passages within the structured wafer allow the etchant to access the release layer to thereby remove the release layer.

  5. Structured wafer for device processing

    DOEpatents

    Okandan, Murat; Nielson, Gregory N

    2014-11-25

    A structured wafer that includes through passages is used for device processing. Each of the through passages extends from or along one surface of the structured wafer and forms a pattern on a top surface area of the structured wafer. The top surface of the structured wafer is bonded to a device layer via a release layer. Devices are processed on the device layer, and are released from the structured wafer using etchant. The through passages within the structured wafer allow the etchant to access the release layer to thereby remove the release layer.

  6. First On-Wafer Power Characterization of MMIC Amplifiers at Sub-Millimeter Wave Frequencies

    NASA Technical Reports Server (NTRS)

    Fung, A. K.; Gaier, T.; Samoska, L.; Deal, W. R.; Radisic, V.; Mei, X. B.; Yoshida, W.; Liu, P. S.; Uyeda, J.; Barsky, M.; hide

    2008-01-01

    Recent developments in semiconductor technology have enabled advanced submillimeter wave (300 GHz) transistors and circuits. These new high speed components have required new test methods to be developed for characterizing performance, and to provide data for device modeling to improve designs. Current efforts in progressing high frequency testing have resulted in on-wafer-parameter measurements up to approximately 340 GHz and swept frequency vector network analyzer waveguide measurements to 508 GHz. On-wafer noise figure measurements in the 270-340 GHz band have been demonstrated. In this letter we report on on-wafer power measurements at 330 GHz of a three stage amplifier that resulted in a maximum measured output power of 1.78mW and maximum gain of 7.1 dB. The method utilized demonstrates the extension of traditional power measurement techniques to submillimeter wave frequencies, and is suitable for automated testing without packaging for production screening of submillimeter wave circuits.

  7. WaferOptics® mass volume production and reliability

    NASA Astrophysics Data System (ADS)

    Wolterink, E.; Demeyer, K.

    2010-05-01

    The Anteryon WaferOptics® Technology platform contains imaging optics designs, materials, metrologies and combined with wafer level based Semicon & MEMS production methods. WaferOptics® first required complete new system engineering. This system closes the loop between application requirement specifications, Anteryon product specification, Monte Carlo Analysis, process windows, process controls and supply reject criteria. Regarding the Anteryon product Integrated Lens Stack (ILS), new design rules, test methods and control systems were assessed, implemented, validated and customer released for mass production. This includes novel reflowable materials, mastering process, replication, bonding, dicing, assembly, metrology, reliability programs and quality assurance systems. Many of Design of Experiments were performed to assess correlations between optical performance parameters and machine settings of all process steps. Lens metrologies such as FFL, BFL, and MTF were adapted for wafer level production and wafer mapping was introduced for yield management. Test methods for screening and validating suitable optical materials were designed. Critical failure modes such as delamination and popcorning were assessed and modeled with FEM. Anteryon successfully managed to integrate the different technologies starting from single prototypes to high yield mass volume production These parallel efforts resulted in a steep yield increase from 30% to over 90% in a 8 months period.

  8. Wafer hot spot identification through advanced photomask characterization techniques: part 2

    NASA Astrophysics Data System (ADS)

    Choi, Yohan; Green, Michael; Cho, Young; Ham, Young; Lin, Howard; Lan, Andy; Yang, Richer; Lung, Mike

    2017-03-01

    Historically, 1D metrics such as Mean to Target (MTT) and CD Uniformity (CDU) have been adequate for mask end users to evaluate and predict the mask impact on the wafer process. However, the wafer lithographer's process margin is shrinking at advanced nodes to a point that classical mask CD metrics are no longer adequate to gauge the mask contribution to wafer process error. For example, wafer CDU error at advanced nodes is impacted by mask factors such as 3-dimensional (3D) effects and mask pattern fidelity on sub-resolution assist features (SRAFs) used in Optical Proximity Correction (OPC) models of ever-increasing complexity. To overcome the limitation of 1D metrics, there are numerous on-going industry efforts to better define wafer-predictive metrics through both standard mask metrology and aerial CD methods. Even with these improvements, the industry continues to struggle to define useful correlative metrics that link the mask to final device performance. In part 1 of this work, we utilized advanced mask pattern characterization techniques to extract potential hot spots on the mask and link them, theoretically, to issues with final wafer performance. In this paper, part 2, we complete the work by verifying these techniques at wafer level. The test vehicle (TV) that was used for hot spot detection on the mask in part 1 will be used to expose wafers. The results will be used to verify the mask-level predictions. Finally, wafer performance with predicted and verified mask/wafer condition will be shown as the result of advanced mask characterization. The goal is to maximize mask end user yield through mask-wafer technology harmonization. This harmonization will provide the necessary feedback to determine optimum design, mask specifications, and mask-making conditions for optimal wafer process margin.

  9. Vacuum mechatronics

    NASA Technical Reports Server (NTRS)

    Hackwood, Susan; Belinski, Steven E.; Beni, Gerardo

    1989-01-01

    The discipline of vacuum mechatronics is defined as the design and development of vacuum-compatible computer-controlled mechanisms for manipulating, sensing and testing in a vacuum environment. The importance of vacuum mechatronics is growing with an increased application of vacuum in space studies and in manufacturing for material processing, medicine, microelectronics, emission studies, lyophylisation, freeze drying and packaging. The quickly developing field of vacuum mechatronics will also be the driving force for the realization of an advanced era of totally enclosed clean manufacturing cells. High technology manufacturing has increasingly demanding requirements for precision manipulation, in situ process monitoring and contamination-free environments. To remove the contamination problems associated with human workers, the tendency in many manufacturing processes is to move towards total automation. This will become a requirement in the near future for e.g., microelectronics manufacturing. Automation in ultra-clean manufacturing environments is evolving into the concept of self-contained and fully enclosed manufacturing. A Self Contained Automated Robotic Factory (SCARF) is being developed as a flexible research facility for totally enclosed manufacturing. The construction and successful operation of a SCARF will provide a novel, flexible, self-contained, clean, vacuum manufacturing environment. SCARF also requires very high reliability and intelligent control. The trends in vacuum mechatronics and some of the key research issues are reviewed.

  10. Effects of lactate and modified atmospheric packaging on premature browning in cooked ground beef patties.

    PubMed

    Mancini, R A; Ramanathan, R; Suman, S P; Konda, M K R; Joseph, P; Dady, G A; Naveena, B M; López-López, I

    2010-06-01

    Our objectives were to determine the effects of lactate and modified atmosphere packaging on raw surface color, lipid oxidation, and internal cooked color of ground beef patties. Eight chubs (85% lean) were divided in half and each half was either assigned to the control (no lactate) or mixed with 2.5% lactate (w/w). Following treatment, patties were prepared and packaged in either vacuum, PVC (atmospheric oxygen level), high-oxygen (80% O(2)+20% CO(2)), or 0.4% CO (30% CO(2)+69.6% N(2)) and stored for 0, 2, or 4days at 2 degrees C. After storage, raw surface color and lipid oxidation were measured and patties were cooked to either 66 degrees C or 71 degrees C. Lactate improved (p<0.05) color stability of PVC, high-oxygen, and vacuum packaged raw patties, but had no effect (p>0.05) on the a * values and visual color scores of patties in 0.4% CO. Lactate decreased (p<0.05) lipid oxidation in all packaging atmospheres. Nevertheless, high-oxygen and PVC-packaged patties had more (p<0.05) lipid oxidation than patties in CO and vacuum. Lactate had no effect (p>0.05) on premature browning, whereas patties packaged in high-oxygen demonstrated premature browning. Conversely, cooked patties in 0.4% CO and vacuum were more red (p<0.05) than both high-oxygen and PVC-packaged patties. Although lactate improved raw color stability, it did not minimize premature browning in cooked ground beef patties. Copyright 2010 Elsevier Ltd. All rights reserved.

  11. Color, lipid oxidation, sensory quality, and aroma compounds of beef steaks displayed under different levels of oxygen in a modified atmosphere package.

    PubMed

    Resconi, Virginia Celia; Escudero, Ana; Beltrán, José Antonio; Olleta, José Luis; Sañudo, Carlos; Campo, María del Mar

    2012-01-01

    High oxygen modified atmosphere packaging (MAP) is currently used by the industry to maintain an attractive color in fresh meat. However, it can also promote lipid oxidation and sensory changes. The aim of this study was to compare the quality of beef steaks displayed under different levels of oxygen. For that purpose, meat was stored with 50%, 60%, and 80% of oxygen for 4 and 8 d at retail conditions. A control treatment with steaks vacuum packaged, without exposure to retail display, was included, and color, lipid oxidation, organoleptic characteristics, and aroma compounds were analyzed. Steaks displayed under high oxygen MAP with 50% of oxygen showed the lowest color stability, according to hue values. Higher oxygen levels did not necessarily correspond with higher rancidity levels in the raw meat. Thiobarbituric Acid Reacting Substances (TBARS) values were higher than 2.2 mg malonaldehyde (MDA)/kg muscle in all the samples displayed for 8 d at retail conditions. These samples exhibited the highest intensities of rancid and the least intense beef odors and flavors. The ketones: 2,3-butanedione, 2-octanone, 2,3-pentanedione, 2-heptanone, 4-methyl-2-pentanone, and the aldehydes: pentanal, 2-methyl-butanal, and 2-furfurylthiol, 1-octen-3-ol and 2-methylpropyl-acetate, were proposed as candidates for the aroma differences between the cooked beef steaks stored under vacuum and high oxygen packs. High oxygen modified atmosphere packaging (MAP) is used to increase shelf-life and color at the retail level. However, oxygen deteriorates faster some quality attributes, being a contributor to lipid oxidation that could be perceived at consumption. This study attempts to find the best gas composition in a MAP in order to maintain the color, minimizing the oxidation. Aromatically relevant chemicals have been analyzed by gas olfactometry-gas chromatography as a key to measure beef oxidation after display. © 2011 Institute of Food Technologists®

  12. Innovative on-chip packaging applied to uncooled IRFPA

    NASA Astrophysics Data System (ADS)

    Dumont, Geoffroy; Arnaud, Agnès; Imperinetti, Pierre; Mottin, Eric; Simoens, François; Vialle, Claire; Rabaud, Wilfried; Grand, Gilles; Baclet, Nathalie

    2008-03-01

    The Laboratoire Infrarouge (LIR) of the Laboratoire d'Electronique et de Technologie de l'Information (LETI) has been involved in the development of microbolometers for over fifteen years. Two generations of technology have been transferred to ULIS and LETI is still working to improve performances of low cost detectors. Simultaneously, packaging still represents a significant part of detectors price. Reducing production costs would contribute to keep on extending applications of uncooled IRFPA to high volume markets like automotive. Therefore LETI develops an onchip packaging technology dedicated to microbolometers. The efficiency of a micropackaging technology for microbolometers relies on two major technical specifications. First, it must include an optical window with a high transmittance for the IR band, so as to maximize the detector absorption. Secondly, in order to preserve the thermal insulation of the detector, the micropackaging must be hermetically closed to maintain a vacuum level lower than 10 -3mbar. This paper presents an original microcap structure that enables the use of IR window materials as sealing layers to maintain the expected vacuum level. The modelling and integration of an IR window suitable for this structure is also presented. This zero level packaging technology is performed in a standard collective way, in continuation of bolometers' technology. The CEA-LETI, MINATEC presents status of these developments concerning this innovating technology including optical simulations results and SEM views of technical realizations.

  13. Chip-package nano-structured copper and nickel interconnections with metallic and polymeric bonding interfaces

    NASA Astrophysics Data System (ADS)

    Aggarwal, Ankur

    With the semiconductor industry racing toward a historic transition, nano chips with less than 45 nm features demand I/Os in excess of 20,000 that support computing speed in terabits per second, with multi-core processors aggregately providing highest bandwidth at lowest power. On the other hand, emerging mixed signal systems are driving the need for 3D packaging with embedded active components and ultra-short interconnections. Decreasing I/O pitch together with low cost, high electrical performance and high reliability are the key technological challenges identified by the 2005 International Technology Roadmap for Semiconductors (ITRS). Being able to provide several fold increase in the chip-to-package vertical interconnect density is essential for garnering the true benefits of nanotechnology that will utilize nano-scale devices. Electrical interconnections are multi-functional materials that must also be able to withstand complex, sustained and cyclic thermo-mechanical loads. In addition, the materials must be environmentally-friendly, corrosion resistant, thermally stable over a long time, and resistant to electro-migration. A major challenge is also to develop economic processes that can be integrated into back end of the wafer foundry, i.e. with wafer level packaging. Device-to-system board interconnections are typically accomplished today with either wire bonding or solders. Both of these are incremental and run into either electrical or mechanical barriers as they are extended to higher density of interconnections. Downscaling traditional solder bump interconnect will not satisfy the thermo-mechanical reliability requirements at very fine pitches of the order of 30 microns and less. Alternate interconnection approaches such as compliant interconnects typically require lengthy connections and are therefore limited in terms of electrical properties, although expected to meet the mechanical requirements. A novel chip-package interconnection technology is

  14. Neighbour-die effect on the measurement of wafer-level flip-chip LED dies in production lines

    NASA Astrophysics Data System (ADS)

    Chen, Tengfei; Wan, Zirui; Li, Bin

    2017-11-01

    The light from the side surfaces of the test flip-chip light-emitting diode (FCLED) dies is reflected, refracted or absorbed by neighbour dies during the measurement of wafer-level FCLED dies in production lines. A notable measurement deviation is caused by the neighbour-die effect, which is not considered in current industry practice. In this paper, Monte Carlo ray-tracing simulations are used to study the measurement deviations caused by the neighbour-die effect and extension ratios of the film. The simulation results show that the maximal deviation of radiant flux impinging the photodiode can reach 5.5%, if the die is tested without any neighbour dies, or is surrounded by a set of neighbour dies at an extension ratio of 1.1. Moreover, the dependence between the measurement results and neighbour cases for different extension ratios is also investigated. Then, a modified calibration method is proposed and studied. The proposed technique can be used to improve the calibration and measurement accuracy of the test equipment used for measurement of wafer-level FCLED dies in production lines.

  15. Modeling of direct wafer bonding: Effect of wafer bow and etch patterns

    NASA Astrophysics Data System (ADS)

    Turner, K. T.; Spearing, S. M.

    2002-12-01

    Direct wafer bonding is an important technology for the manufacture of silicon-on-insulator substrates and microelectromechanical systems. As devices become more complex and require the bonding of multiple patterned wafers, there is a need to understand the mechanics of the bonding process. A general bonding criterion based on the competition between the strain energy accumulated in the wafers and the surface energy that is dissipated as the bond front advances is developed. The bonding criterion is used to examine the case of bonding bowed wafers. An analytical expression for the strain energy accumulation rate, which is the quantity that controls bonding, and the final curvature of a bonded stack is developed. It is demonstrated that the thickness of the wafers plays a large role and bonding success is independent of wafer diameter. The analytical results are verified through a finite element model and a general method for implementing the bonding criterion numerically is presented. The bonding criterion developed permits the effect of etched features to be assessed. Shallow etched patterns are shown to make bonding more difficult, while it is demonstrated that deep etched features can facilitate bonding. Model results and their process design implications are discussed in detail.

  16. Thin glass based packaging and photonic single-mode waveguide integration by ion-exchange technology on board and module level

    NASA Astrophysics Data System (ADS)

    Brusberg, Lars; Lang, Günter; Schröder, Henning

    2011-01-01

    The proposed novel packaging approach merges micro-system packaging and glass integrated optics. It provides 3D optical single-mode intra system links to bridge the gap between novel photonic integrated circuits and the glass fibers for inter system interconnects. We introduce our hybrid 3D photonic packaging approach based on thin glass substrates with planar integrated optical single-mode waveguides for fiber-to-chip and chip-to-chip links. Optical mirrors and lenses provide optical mode matching for photonic IC assemblies and optical fiber interconnects. Thin glass is commercially available in panel and wafer formats and characterizes excellent optical and high-frequency properties as reviewed in the paper. That makes it perfect for micro-system packaging. The adopted planar waveguide process based on ion-exchange technology is capable for high-volume manufacturing. This ion-exchange process and the optical propagation are described in detail for thin glass substrates. An extensive characterization of all basic circuit elements like straight and curved waveguides, couplers and crosses proves the low attenuation of the optical circuit elements.

  17. Safety analysis report for packaging (onsite) multicanister overpack cask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Edwards, W.S.

    1997-07-14

    This safety analysis report for packaging (SARP) documents the safety of shipments of irradiated fuel elements in the MUlticanister Overpack (MCO) and MCO Cask for a highway route controlled quantity, Type B fissile package. This SARP evaluates the package during transfers of (1) water-filled MCOs from the K Basins to the Cold Vacuum Drying Facility (CVDF) and (2) sealed and cold vacuum dried MCOs from the CVDF in the 100 K Area to the Canister Storage Building in the 200 East Area.

  18. Performance Evaluations of Ceramic Wafer Seals

    NASA Technical Reports Server (NTRS)

    Dunlap, Patrick H., Jr.; DeMange, Jeffrey J.; Steinetz, Bruce M.

    2006-01-01

    Future hypersonic vehicles will require high temperature, dynamic seals in advanced ramjet/scramjet engines and on the vehicle airframe to seal the perimeters of movable panels, flaps, and doors. Seal temperatures in these locations can exceed 2000 F, especially when the seals are in contact with hot ceramic matrix composite sealing surfaces. NASA Glenn Research Center is developing advanced ceramic wafer seals to meet the needs of these applications. High temperature scrub tests performed between silicon nitride wafers and carbon-silicon carbide rub surfaces revealed high friction forces and evidence of material transfer from the rub surfaces to the wafer seals. Stickage between adjacent wafers was also observed after testing. Several design changes to the wafer seals were evaluated as possible solutions to these concerns. Wafers with recessed sides were evaluated as a potential means of reducing friction between adjacent wafers. Alternative wafer materials are also being considered as a means of reducing friction between the seals and their sealing surfaces and because the baseline silicon nitride wafer material (AS800) is no longer commercially available.

  19. Alternative Packaging for Back-Illuminated Imagers

    NASA Technical Reports Server (NTRS)

    Pain, Bedabrata

    2009-01-01

    An alternative scheme has been conceived for packaging of silicon-based back-illuminated, back-side-thinned complementary metal oxide/semiconductor (CMOS) and charge-coupled-device image-detector integrated circuits, including an associated fabrication process. This scheme and process are complementary to those described in "Making a Back-Illuminated Imager With Back-Side Connections" (NPO-42839), NASA Tech Briefs, Vol. 32, No. 7 (July 2008), page 38. To avoid misunderstanding, it should be noted that in the terminology of imaging integrated circuits, "front side" or "back side" does not necessarily refer to the side that, during operation, faces toward or away from a source of light or other object to be imaged. Instead, "front side" signifies that side of a semiconductor substrate upon which the pixel pattern and the associated semiconductor devices and metal conductor lines are initially formed during fabrication, and "back side" signifies the opposite side. If the imager is of the type called "back-illuminated," then the back side is the one that faces an object to be imaged. Initially, a back-illuminated, back-side-thinned image-detector is fabricated with its back side bonded to a silicon handle wafer. At a subsequent stage of fabrication, the front side is bonded to a glass wafer (for mechanical support) and the silicon handle wafer is etched away to expose the back side. The frontside integrated circuitry includes metal input/output contact pads, which are rendered inaccessible by the bonding of the front side to the glass wafer. Hence, one of the main problems is to make the input/output contact pads accessible from the back side, which is ultimately to be the side accessible to the external world. The present combination of an alternative packaging scheme and associated fabrication process constitute a solution of the problem.

  20. A novel patterning control strategy based on real-time fingerprint recognition and adaptive wafer level scanner optimization

    NASA Astrophysics Data System (ADS)

    Cekli, Hakki Ergun; Nije, Jelle; Ypma, Alexander; Bastani, Vahid; Sonntag, Dag; Niesing, Henk; Zhang, Linmiao; Ullah, Zakir; Subramony, Venky; Somasundaram, Ravin; Susanto, William; Matsunobu, Masazumi; Johnson, Jeff; Tabery, Cyrus; Lin, Chenxi; Zou, Yi

    2018-03-01

    In addition to lithography process and equipment induced variations, processes like etching, annealing, film deposition and planarization exhibit variations, each having their own intrinsic characteristics and leaving an effect, a `fingerprint', on the wafers. With ever tighter requirements for CD and overlay, controlling these process induced variations is both increasingly important and increasingly challenging in advanced integrated circuit (IC) manufacturing. For example, the on-product overlay (OPO) requirement for future nodes is approaching <3nm, requiring the allowable budget for process induced variance to become extremely small. Process variance control is seen as an bottleneck to further shrink which drives the need for more sophisticated process control strategies. In this context we developed a novel `computational process control strategy' which provides the capability of proactive control of each individual wafer with aim to maximize the yield, without introducing a significant impact on metrology requirements, cycle time or productivity. The complexity of the wafer process is approached by characterizing the full wafer stack building a fingerprint library containing key patterning performance parameters like Overlay, Focus, etc. Historical wafer metrology is decomposed into dominant fingerprints using Principal Component Analysis. By associating observed fingerprints with their origin e.g. process steps, tools and variables, we can give an inline assessment of the strength and origin of the fingerprints on every wafer. Once the fingerprint library is established, a wafer specific fingerprint correction recipes can be determined based on its processing history. Data science techniques are used in real-time to ensure that the library is adaptive. To realize this concept, ASML TWINSCAN scanners play a vital role with their on-board full wafer detection and exposure correction capabilities. High density metrology data is created by the scanner for each

  1. Microemulsion-Based Mucoadhesive Buccal Wafers: Wafer Formation, In Vitro Release, and Ex Vivo Evaluation.

    PubMed

    Pham, Minh Nguyet; Van Vo, Toi; Tran, Van-Thanh; Tran, Phuong Ha-Lien; Tran, Thao Truong-Dinh

    2017-10-01

    Microemulsion has the potentials to enhance dissolution as well as facilitate absorption and permeation of poorly water-soluble drugs through biological membranes. However, its application to govern a controlled release buccal delivery for local treatment has not been discovered. The aim of this study is to develop microemulsion-based mucoadhesive wafers for buccal delivery based on an incorporation of the microemulsion with mucoadhesive agents and mannitol. Ratio of oil to surfactant to water in the microemulsion significantly impacted quality of the wafers. Furthermore, the combination of carbopol and mannitol played a key role in forming the desired buccal wafers. The addition of an extra 50% of water to the formulation was suitable for wafer formation by freeze-drying, which affected the appearance and distribution of carbopol in the wafers. The amount of carbopol was critical for the enhancement of mucoadhesive properties and the sustained drug release patterns. Release study presented a significant improvement of the drug release profile following sustained release for 6 h. Ex vivo mucoadhesive studies provided decisive evidence to the increased retention time of wafers along with the increased carbopol content. The success of this study indicates an encouraging strategy to formulate a controlled drug delivery system by incorporating microemulsions into mucoadhesive wafers.

  2. Low-cost far infrared bolometer camera for automotive use

    NASA Astrophysics Data System (ADS)

    Vieider, Christian; Wissmar, Stanley; Ericsson, Per; Halldin, Urban; Niklaus, Frank; Stemme, Göran; Källhammer, Jan-Erik; Pettersson, Håkan; Eriksson, Dick; Jakobsen, Henrik; Kvisterøy, Terje; Franks, John; VanNylen, Jan; Vercammen, Hans; VanHulsel, Annick

    2007-04-01

    A new low-cost long-wavelength infrared bolometer camera system is under development. It is designed for use with an automatic vision algorithm system as a sensor to detect vulnerable road users in traffic. Looking 15 m in front of the vehicle it can in case of an unavoidable impact activate a brake assist system or other deployable protection system. To achieve our cost target below €100 for the sensor system we evaluate the required performance and can reduce the sensitivity to 150 mK and pixel resolution to 80 x 30. We address all the main cost drivers as sensor size and production yield along with vacuum packaging, optical components and large volume manufacturing technologies. The detector array is based on a new type of high performance thermistor material. Very thin Si/SiGe single crystal multi-layers are grown epitaxially. Due to the resulting valence barriers a high temperature coefficient of resistance is achieved (3.3%/K). Simultaneously, the high quality crystalline material provides very low 1/f-noise characteristics and uniform material properties. The thermistor material is transferred from the original substrate wafer to the read-out circuit using adhesive wafer bonding and subsequent thinning. Bolometer arrays can then be fabricated using industry standard MEMS process and materials. The inherently good detector performance allows us to reduce the vacuum requirement and we can implement wafer level vacuum packaging technology used in established automotive sensor fabrication. The optical design is reduced to a single lens camera. We develop a low cost molding process using a novel chalcogenide glass (GASIR®3) and integrate anti-reflective and anti-erosion properties using diamond like carbon coating.

  3. Changes in the microbiota of lamb packaged in a vacuum and in modified atmospheres during chilled storage analysed by high-throughput sequencing.

    PubMed

    Wang, Taojun; Zhao, Liang; Sun, Yanan; Ren, Fazheng; Chen, Shanbin; Zhang, Hao; Guo, Huiyuan

    2016-11-01

    Changes in the microbiota of lamb were investigated under vacuum packaging (VP) and under 20% CO2/80% N2 (LC), 60% CO2/40% N2 (MC), and 100% CO2 (HC) modified atmosphere packaging (MAP) during chilled storage. Viable counts were monitored, and the total microbial communities were assessed by high-throughput sequencing. The starting community had the highest microbial diversity, after which Lactococcus and Carnobacterium spp. outcompeted during the 28-day storage. The relative abundances of Brochothrix spp. in the LC atmosphere were much higher than those of the other groups on days 7 and 28. The bacterial inhibiting effect of the MAP environments on microbial growth was positively correlated with the CO2 concentration. The HC atmosphere inhibited microbial growth and delayed changes in the microbial community composition, extending the lamb's shelf life by approximately 7days compared with the VP atmosphere. Lamb packaged in the VP atmosphere had a more desirable colour but a higher weight loss than lamb packaged in the MAP atmospheres. Copyright © 2016 Elsevier Ltd. All rights reserved.

  4. Standard semiconductor packaging for high-reliability low-cost MEMS applications

    NASA Astrophysics Data System (ADS)

    Harney, Kieran P.

    2005-01-01

    Microelectronic packaging technology has evolved over the years in response to the needs of IC technology. The fundamental purpose of the package is to provide protection for the silicon chip and to provide electrical connection to the circuit board. Major change has been witnessed in packaging and today wafer level packaging technology has further revolutionized the industry. MEMS (Micro Electro Mechanical Systems) technology has created new challenges for packaging that do not exist in standard ICs. However, the fundamental objective of MEMS packaging is the same as traditional ICs, the low cost and reliable presentation of the MEMS chip to the next level interconnect. Inertial MEMS is one of the best examples of the successful commercialization of MEMS technology. The adoption of MEMS accelerometers for automotive airbag applications has created a high volume market that demands the highest reliability at low cost. The suppliers to these markets have responded by exploiting standard semiconductor packaging infrastructures. However, there are special packaging needs for MEMS that cannot be ignored. New applications for inertial MEMS devices are emerging in the consumer space that adds the imperative of small size to the need for reliability and low cost. These trends are not unique to MEMS accelerometers. For any MEMS technology to be successful the packaging must provide the basic reliability and interconnection functions, adding the least possible cost to the product. This paper will discuss the evolution of MEMS packaging in the accelerometer industry and identify the main issues that needed to be addressed to enable the successful commercialization of the technology in the automotive and consumer markets.

  5. Standard semiconductor packaging for high-reliability low-cost MEMS applications

    NASA Astrophysics Data System (ADS)

    Harney, Kieran P.

    2004-12-01

    Microelectronic packaging technology has evolved over the years in response to the needs of IC technology. The fundamental purpose of the package is to provide protection for the silicon chip and to provide electrical connection to the circuit board. Major change has been witnessed in packaging and today wafer level packaging technology has further revolutionized the industry. MEMS (Micro Electro Mechanical Systems) technology has created new challenges for packaging that do not exist in standard ICs. However, the fundamental objective of MEMS packaging is the same as traditional ICs, the low cost and reliable presentation of the MEMS chip to the next level interconnect. Inertial MEMS is one of the best examples of the successful commercialization of MEMS technology. The adoption of MEMS accelerometers for automotive airbag applications has created a high volume market that demands the highest reliability at low cost. The suppliers to these markets have responded by exploiting standard semiconductor packaging infrastructures. However, there are special packaging needs for MEMS that cannot be ignored. New applications for inertial MEMS devices are emerging in the consumer space that adds the imperative of small size to the need for reliability and low cost. These trends are not unique to MEMS accelerometers. For any MEMS technology to be successful the packaging must provide the basic reliability and interconnection functions, adding the least possible cost to the product. This paper will discuss the evolution of MEMS packaging in the accelerometer industry and identify the main issues that needed to be addressed to enable the successful commercialization of the technology in the automotive and consumer markets.

  6. Effect of irradiation on stored vacuum packaged Wiltshire bacon

    NASA Astrophysics Data System (ADS)

    Dempster, JF; Halls, NA

    Wiltshire cured 'middle-cut' bacon (NaCl, 4.87%; 40 mg/kg NO 2; 53 mg/kg NO 3) was boned, sliced and vacuum packaged. It was irradiated (25 kGy: 10 kGy) and stored aerobically (5 0 : 15 0). At weekly intervals the bacon was evaluated bacteriologically and organoleptically (appearance, odour, colour of lean and fat) against unirradiated (control) samples). Results indicated that irradiation (10 kGy) did not permanently inhibit bacterial growth. After initial reductions in count of 0.99 g -1-1(15 0C) and log 3.61 g -1 (5 0C), maximum numbers were reached in 28 days at 15 0C (log 10.32 g -1) and in 35 days at 5 0C (log 8.05 g -1). However viability was significantly affected by 25 kGy irradiation: final numbers reached being log 2.22 g -1 (15 0C) at 35 days and log 3.38 g -1 (5 0C) at 42 days. Appearance and colour (fat and lean) were not significantly impaired by irradiation. However the interaction of storage temperature (5 0 : 15 0C), irradiation (10 kGy: 25 kGy): duration of storage (42 days) and initial count (log 7.24 g -1) had pronounced adverse effects on odour judgements. Evaluation of odour changes in bacon due to irradiation require further investigation. This is especially so since it is often possible to detect odour changes in raw meat after doses as low as 0.5 kGy (Coleby 1959).

  7. Bi-level multilayered microelectronic device package with an integral window

    DOEpatents

    Peterson, Kenneth A.; Watson, Robert D.

    2002-01-01

    A bi-level, multilayered package with an integral window for housing a microelectronic device. The device can be a semiconductor chip, a CCD chip, a CMOS chip, a VCSEL chip, a laser diode, a MEMS device, or a IMEMS device. The multilayered package can be formed of a low-temperature cofired ceramic (LTCC) or high-temperature cofired ceramic (HTCC) multilayer processes with the window being simultaneously joined (e.g. cofired) to the package body during LTCC or HTCC processing. The microelectronic device can be flip-chip bonded and oriented so that the light-sensitive side is optically accessible through the window. A second chip can be bonded to the backside of the first chip, with the second chip being wirebonded to the second level of the bi-level package. The result is a compact, low-profile package, having an integral window that can be hermetically-sealed.

  8. An assessment of the hardness of miniature vacuum tubes to high-voltage transients

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orvis, W.J.

    1990-03-01

    Miniature vacuum tubes are vacuum switching and control devices fabricated on a silicon wafer, using the same technology as is used to make integrated circuits. They operate in much the same manner as conventional vacuum tubes, but with two important differences: they are micron sized devices, and they employ field emission instead of thermionic emission as the electron source. As these devices have a vacuum as their active region, they will be extremely hard to nuclear radiation and relatively insensitive to temperature effects, they are also expected to be extremely fast devices. We have estimated here that their hardness tomore » high-voltage transients will be at least as good as existing semiconductor devices and possibly better. 5 figs.« less

  9. A 45° saw-dicing process applied to a glass substrate for wafer-level optical splitter fabrication for optical coherence tomography

    NASA Astrophysics Data System (ADS)

    Maciel, M. J.; Costa, C. G.; Silva, M. F.; Gonçalves, S. B.; Peixoto, A. C.; Ribeiro, A. Fernando; Wolffenbuttel, R. F.; Correia, J. H.

    2016-08-01

    This paper reports on the development of a technology for the wafer-level fabrication of an optical Michelson interferometer, which is an essential component in a micro opto-electromechanical system (MOEMS) for a miniaturized optical coherence tomography (OCT) system. The MOEMS consists on a titanium dioxide/silicon dioxide dielectric beam splitter and chromium/gold micro-mirrors. These optical components are deposited on 45° tilted surfaces to allow the horizontal/vertical separation of the incident beam in the final micro-integrated system. The fabrication process consists of 45° saw dicing of a glass substrate and the subsequent deposition of dielectric multilayers and metal layers. The 45° saw dicing is fully characterized in this paper, which also includes an analysis of the roughness. The optimum process results in surfaces with a roughness of 19.76 nm (rms). The actual saw dicing process for a high-quality final surface results as a compromise between the dicing blade’s grit size (#1200) and the cutting speed (0.3 mm s-1). The proposed wafer-level fabrication allows rapid and low-cost processing, high compactness and the possibility of wafer-level alignment/assembly with other optical micro components for OCT integrated imaging.

  10. 6. Vacuum purification room and upper level offices Bureau ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    6. Vacuum purification room and upper level offices - Bureau of Mines Boulder City Experimental Station, Titanium Research Building, Date Street north of U.S. Highway 93, Boulder City, Clark County, NV

  11. Propagation of resist heating mask error to wafer level

    NASA Astrophysics Data System (ADS)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  12. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  13. Advancements in meat packaging.

    PubMed

    McMillin, Kenneth W

    2017-10-01

    Packaging of meat provides the same or similar benefits for raw chilled and processed meats as other types of food packaging. Although air-permeable packaging is most prevalent for raw chilled red meat, vacuum and modified atmosphere packaging offer longer shelf life. The major advancements in meat packaging have been in the widely used plastic polymers while biobased materials and their integration into composite packaging are receiving much attention for functionality and sustainability. At this time, active and intelligent packaging are not widely used for antioxidant, antimicrobial, and other functions to stabilize and enhance meat properties although many options are being developed and investigated. The advances being made in nanotechnology will be incorporated into food packaging and presumably into meat packaging when appropriate and useful. Intelligent packaging using sensors for transmission of desired information and prompting of subsequent changes in packaging materials, environments or the products to maintain safety and quality are still in developmental stages. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. Support apparatus for semiconductor wafer processing

    DOEpatents

    Griffiths, Stewart K.; Nilson, Robert H.; Torres, Kenneth J.

    2003-06-10

    A support apparatus for minimizing gravitational stress in semiconductor wafers, and particularly silicon wafers, during thermal processing. The support apparatus comprises two concentric circular support structures disposed on a common support fixture. The two concentric circular support structures, located generally at between 10 and 70% and 70 and 100% and preferably at 35 and 82.3% of the semiconductor wafer radius, can be either solid rings or a plurality of spaced support points spaced apart from each other in a substantially uniform manner. Further, the support structures can have segments removed to facilitate wafer loading and unloading. In order to withstand the elevated temperatures encountered during semiconductor wafer processing, the support apparatus, including the concentric circular support structures and support fixture can be fabricated from refractory materials, such as silicon carbide, quartz and graphite. The claimed wafer support apparatus can be readily adapted for use in either batch or single-wafer processors.

  15. Microbial deterioration of vacuum-packaged chilled beef cuts and techniques for microbiota detection and characterization: a review.

    PubMed

    Hernández-Macedo, Maria Lucila; Barancelli, Giovana Verginia; Contreras-Castillo, Carmen Josefina

    2011-01-01

    Gas production from microbial deterioration in vacuum-packs of chilled meat leads to pack distension, which is commonly referred as blown pack. This phenomenon is attributed to some psychrophilic and psychrotrophic Clostridium species, as well as Enterobacteria. The ability of these microorganisms to grow at refrigeration temperatures makes the control by the meat industry a challenge. This type of deterioration has been reported in many countries including some plants in the Midwestern and Southeastern regions of Brazil. In addition to causing economic losses, spoilage negatively impacts the commercial product brand, thereby impairing the meat industry. In the case of strict anaerobes species they are difficult to grow and isolate using culture methods in conventional microbiology laboratories. Furthermore, conventional culture methods are sometimes not capable of distinguishing species or genera. DNA-based molecular methods are alternative strategies for detecting viable and non-cultivable microorganisms and strict anaerobic microorganisms that are difficult to cultivate. Here, we review the microorganisms and mechanisms involved in the deterioration of vacuum-packaged chilled meat and address the use of molecular methods for detecting specific strict anaerobic microorganisms and microbial communities in meat samples.

  16. Bio-protective potential of lactic acid bacteria: Effect of Lactobacillus sakei and Lactobacillus curvatus on changes of the microbial community in vacuum-packaged chilled beef.

    PubMed

    Zhang, Yimin; Zhu, Lixian; Dong, Pengcheng; Liang, Rongrong; Mao, Yanwei; Qiu, Shubing; Luo, Xin

    2018-04-01

    This study was to determine the bacterial diversity and monitor the community dynamic changes during storage of vacuum-packaged sliced raw beef as affected by Lactobacillus sakei and Lactobacillus curvatus . L. sakei and L. curvatus were separately incubated in vacuumed-packaged raw beef as bio-protective cultures to inhibit the naturally contaminating microbial load. Dynamic changes of the microbial diversity of inoculated or non-inoculated (control) samples were monitored at 4°C for 0 to 38 days, using polymerase chain reaction-denaturing gradient gel electrophoresis (PCR-DGGE). The DGGE profiles of DNA directly extracted from non-inoculated control samples highlighted the order of appearance of spoilage bacteria during storage, showing that Enterbacteriaceae and Pseudomonas fragi emerged early, then Brochothrix thermosphacta shared the dominant position, and finally, Pseudomonas putida showed up became predominant. Compared with control, the inoculation of either L. sakei or L. curvatus significantly lowered the complexity of microbial diversity and inhibited the growth of spoilage bacteria (p<0.05). Interestingly, we also found that the dominant position of L. curvatus was replaced by indigenous L. sakei after 13 d for L. curvatus -inoculated samples. Plate counts on selective agars further showed that inoculation with L. sakei or L. curvatus obviously reduced the viable counts of Enterbacteraceae , Pseudomonas spp. and B. thermosphacta during later storage (p< 0.05), with L. sakei exerting greater inhibitory effect. Inoculation with both bio-protective cultures also significantly decreased the total volatile basic nitrogen values of stored samples (p<0.05). Taken together, the results proved the benefits of inoculation with lactic acid bacteria especially L. sakei as a potential way to inhibit growth of spoilage-related bacteria and improve the shelf life of vacuum-packaged raw beef.

  17. Bio-protective potential of lactic acid bacteria: Effect of Lactobacillus sakei and Lactobacillus curvatus on changes of the microbial community in vacuum-packaged chilled beef

    PubMed Central

    Zhang, Yimin; Zhu, Lixian; Dong, Pengcheng; Liang, Rongrong; Mao, Yanwei; Qiu, Shubing

    2018-01-01

    Objective This study was to determine the bacterial diversity and monitor the community dynamic changes during storage of vacuum-packaged sliced raw beef as affected by Lactobacillus sakei and Lactobacillus curvatus. Methods L. sakei and L. curvatus were separately incubated in vacuumed-packaged raw beef as bio-protective cultures to inhibit the naturally contaminating microbial load. Dynamic changes of the microbial diversity of inoculated or non-inoculated (control) samples were monitored at 4°C for 0 to 38 days, using polymerase chain reaction-denaturing gradient gel electrophoresis (PCR-DGGE). Results The DGGE profiles of DNA directly extracted from non-inoculated control samples highlighted the order of appearance of spoilage bacteria during storage, showing that Enterbacteriaceae and Pseudomonas fragi emerged early, then Brochothrix thermosphacta shared the dominant position, and finally, Pseudomonas putida showed up became predominant. Compared with control, the inoculation of either L. sakei or L. curvatus significantly lowered the complexity of microbial diversity and inhibited the growth of spoilage bacteria (p<0.05). Interestingly, we also found that the dominant position of L. curvatus was replaced by indigenous L. sakei after 13 d for L. curvatus-inoculated samples. Plate counts on selective agars further showed that inoculation with L. sakei or L. curvatus obviously reduced the viable counts of Enterbacteraceae, Pseudomonas spp. and B. thermosphacta during later storage (p< 0.05), with L. sakei exerting greater inhibitory effect. Inoculation with both bio-protective cultures also significantly decreased the total volatile basic nitrogen values of stored samples (p<0.05). Conclusion Taken together, the results proved the benefits of inoculation with lactic acid bacteria especially L. sakei as a potential way to inhibit growth of spoilage-related bacteria and improve the shelf life of vacuum-packaged raw beef. PMID:29059725

  18. Bi-level microelectronic device package with an integral window

    DOEpatents

    Peterson, Kenneth A.; Watson, Robert D.

    2004-01-06

    A package with an integral window for housing a microelectronic device. The integral window is bonded directly to the package without having a separate layer of adhesive material disposed in-between the window and the package. The device can be a semiconductor chip, CCD chip, CMOS chip, VCSEL chip, laser diode, MEMS device, or IMEMS device. The multilayered package can be formed of a LTCC or HTCC cofired ceramic material, with the integral window being simultaneously joined to the package during LTCC or HTCC processing. The microelectronic device can be flip-chip bonded so that the light-sensitive side is optically accessible through the window. The package has at least two levels of circuits for making electrical interconnections to a pair of microelectronic devices. The result is a compact, low-profile package having an integral window that is hermetically sealed to the package prior to mounting and interconnecting the microelectronic device(s).

  19. Molded, wafer level optics for long wave infra-red applications

    NASA Astrophysics Data System (ADS)

    Franks, John

    2016-05-01

    For many years, the Thermal Imaging market has been driven by the high volume consumer market. The first signs of this came with the launch of night vision systems for cars, first by Cadillac and Honda and then, more successfully by BMW, Daimler and Audi. For the first time, simple thermal imaging systems were being manufactured at the rate of more than 10,000 units a year. This step change in volumes enabled a step change in system costs, with thermal imaging moving into the consumer's price range. Today we see that the consumer awareness and the consumer market continues to increase with the launch of a number of consumer focused smart phone add-ons. This has brought a further step change in system costs, with the possibility to turn your mobile phone into a thermal imager for under $250. As the detector technology has matured, the pixel pitches have dropped from 50μm in 2002 to 12 μm or even 10μm in today's detectors. This dramatic shrinkage in size has had an equally dramatic effect on the optics required to produce the image on the detector. A moderate field of view that would have required a focal length of 40mm in 2002 now requires a focal length of 8mm. For wide field of view applications and small detector formats, focal lengths in the range 1mm to 5mm are becoming common. For lenses, the quantity manufactured, quality and costs will require a new approach to high volume Infra-Red (IR) manufacturing to meet customer expectations. This, taken with the SwaP-C requirements and the emerging requirement for very small lenses driven by the new detectors, suggests that wafer scale optics are part of the solution. Umicore can now present initial results from an intensive research and development program to mold and coat wafer level optics, using its chalcogenide glass, GASIR®.

  20. Effect of including whole linseed and vitamin E in the diet of young bulls slaughtered at two fat covers on the sensory quality of beef packaged in two different packaging systems.

    PubMed

    Albertí, Pere; Campo, María M; Beriain, María J; Ripoll, Guillermo; Sañudo, Carlos

    2017-02-01

    Forty-six Pirenaica young bulls, slaughtered at two levels of fatness (3 and 4 mm), were used to evaluate the effect of the inclusion of 50 g kg -1 linseed alone or with 200 IU vitamin E kg -1 in the concentrate and of the meat packaging system (vacuum or modified atmosphere packaging (MAP)) on the beef sensory quality. The inclusion of linseed or supplementation with vitamin E in the concentrate induced no significant differences in the main meat sensory scores and overall appraisal except under MAP, where small differences due to concentrate ingredients were found in juiciness and metallic flavor intensity. Extending the display time up to 4 or 8 days in high-oxygen MAP had detrimental effects on sensory attributes. Meat from animals with 4 mm fat cover depth were rated more tender and juicy, less fibrous and with a higher intensity of beef flavor and rancid odor than meat from 3 mm fat cover bulls when both samples were vacuum packaged. The inclusion of 50 g kg -1 linseed in the concentrate fed to bulls had no detrimental effect on the beef sensory quality. The vacuum-packaged meat of bulls slaughtered at 4 mm fat cover was rated higher on sensory analysis than that at 3 mm fat cover. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  1. Wafer characteristics via reflectometry

    DOEpatents

    Sopori, Bhushan L.

    2010-10-19

    Various exemplary methods (800, 900, 1000, 1100) are directed to determining wafer thickness and/or wafer surface characteristics. An exemplary method (900) includes measuring reflectance of a wafer and comparing the measured reflectance to a calculated reflectance or a reflectance stored in a database. Another exemplary method (800) includes positioning a wafer on a reflecting support to extend a reflectance range. An exemplary device (200) has an input (210), analysis modules (222-228) and optionally a database (230). Various exemplary reflectometer chambers (1300, 1400) include radiation sources positioned at a first altitudinal angle (1308, 1408) and at a second altitudinal angle (1312, 1412). An exemplary method includes selecting radiation sources positioned at various altitudinal angles. An exemplary element (1650, 1850) includes a first aperture (1654, 1854) and a second aperture (1658, 1858) that can transmit reflected radiation to a fiber and an imager, respectfully.

  2. Use of antimicrobial biodegradable packaging to control Listeria monocytogenes during storage of cooked ham.

    PubMed

    Marcos, Begonya; Aymerich, Teresa; Monfort, Josep M; Garriga, Margarita

    2007-11-30

    The antimicrobial effect against L. monocytogenes of biodegradable films (alginate, zein and polyvinyl alcohol) containing enterocins was investigated. Survival of the pathogen was studied by means of challenge tests performed at 6 degrees C during 8 and 29 days, for air-packed and vacuum-packed sliced cooked ham, respectively. Air packaging was tested with two concentrations of enterocins (200 and 2000 AU/cm2). Control air-packed cooked ham showed an increase of L. monocytogenes from 10(4) to 10(7) CFU/g after 8 days. By contrast, packaging with antimicrobial films effectively slowed down the pathogen's growth, leading to final counts lower than in control lots. Air-packaging with alginate films containing 2000 AU/cm2 of enterocins effectively controlled L. monocytogenes for 8 days. An increase of only 1 log unit was observed in zein and polyvinyl alcohol lots at the same enterocin concentration. Vacuum packaging with films containing enterocins (2000 AU/cm2) also delayed the growth of the pathogen. No increase from inoculated levels was observed during 15 days in antimicrobial alginate films. After 29 days of storage, the lowest counts were obtained in samples packed with zein and alginate films containing enterocins, as well as with zein control films. The most effective treatment for controlling L. monocytogenes during 6 degrees C storage was vacuum-packaging of sliced cooked ham with alginate films containing 2000 AU/cm2 of enterocins. From the results obtained it can concluded that antimicrobial packaging can improve the safety of sliced cooked ham by delaying and reducing the growth of L. monocytogenes.

  3. Resolving critical dimension drift over time in plasma etching through virtual metrology based wafer-to-wafer control

    NASA Astrophysics Data System (ADS)

    Lee, Ho Ki; Baek, Kye Hyun; Shin, Kyoungsub

    2017-06-01

    As semiconductor devices are scaled down to sub-20 nm, process window of plasma etching gets extremely small so that process drift or shift becomes more significant. This study addresses one of typical process drift issues caused by consumable parts erosion over time and provides feasible solution by using virtual metrology (VM) based wafer-to-wafer control. Since erosion of a shower head has center-to-edge area dependency, critical dimensions (CDs) at the wafer center and edge area get reversed over time. That CD trend is successfully estimated on a wafer-to-wafer basis by a partial least square (PLS) model which combines variables from optical emission spectroscopy (OES), VI-probe and equipment state gauges. R 2 of the PLS model reaches 0.89 and its prediction performance is confirmed in a mass production line. As a result, the model can be exploited as a VM for wafer-to-wafer control. With the VM, advanced process control (APC) strategy is implemented to solve the CD drift. Three σ of CD across wafer is improved from the range (1.3-2.9 nm) to the range (0.79-1.7 nm). Hopefully, results introduced in this paper will contribute to accelerating implementation of VM based APC strategy in semiconductor industry.

  4. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  5. Wafer scale oblique angle plasma etching

    DOEpatents

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  6. Laser wafering for silicon solar.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurfacemore » damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.« less

  7. Wafer-scale solution-derived molecular gate dielectrics for low-voltage graphene electronics

    NASA Astrophysics Data System (ADS)

    Sangwan, Vinod K.; Jariwala, Deep; Everaerts, Ken; McMorrow, Julian J.; He, Jianting; Grayson, Matthew; Lauhon, Lincoln J.; Marks, Tobin J.; Hersam, Mark C.

    2014-02-01

    Graphene field-effect transistors are integrated with solution-processed multilayer hybrid organic-inorganic self-assembled nanodielectrics (SANDs). The resulting devices exhibit low-operating voltage (2 V), negligible hysteresis, current saturation with intrinsic gain >1.0 in vacuum (pressure < 2 × 10-5 Torr), and overall improved performance compared to control devices on conventional SiO2 gate dielectrics. Statistical analysis of the field-effect mobility and residual carrier concentration demonstrate high spatial uniformity of the dielectric interfacial properties and graphene transistor characteristics over full 3 in. wafers. This work thus establishes SANDs as an effective platform for large-area, high-performance graphene electronics.

  8. Carbon dioxide capture using resin-wafer electrodeionization

    DOEpatents

    Lin, YuPo J.; Snyder, Seth W.; Trachtenberg, Michael S.; Cowan, Robert M.; Datta, Saurav

    2015-09-08

    The present invention provides a resin-wafer electrodeionization (RW-EDI) apparatus including cathode and anode electrodes separated by a plurality of porous solid ion exchange resin wafers, which when in use are filled with an aqueous fluid. The apparatus includes one or more wafers comprising a basic ion exchange medium, and preferably includes one or more wafers comprising an acidic ion exchange medium. The wafers are separated from one another by ion exchange membranes. The fluid within the acidic and/or basic ion exchange wafers preferably includes, or is in contact with, a carbonic anhydrase (CA) enzyme to facilitate conversion of bicarbonate ion to carbon dioxide within the acidic medium. A pH suitable for exchange of CO.sub.2 is electrochemically maintained within the basic and acidic ion exchange wafers by applying an electric potential across the cathode and anode.

  9. Single-mode glass waveguide technology for optical interchip communication on board level

    NASA Astrophysics Data System (ADS)

    Brusberg, Lars; Neitz, Marcel; Schröder, Henning

    2012-01-01

    The large bandwidth demand in long-distance telecom networks lead to single-mode fiber interconnects as result of low dispersion, low loss and dense wavelength multiplexing possibilities. In contrast, multi-mode interconnects are suitable for much shorter lengths up to 300 meters and are promising for optical links between racks and on board level. Active optical cables based on multi-mode fiber links are at the market and research in multi-mode waveguide integration on board level is still going on. Compared to multi-mode, a single-mode waveguide has much more integration potential because of core diameters of around 20% of a multi-mode waveguide by a much larger bandwidth. But light coupling in single-mode waveguides is much more challenging because of lower coupling tolerances. Together with the silicon photonics technology, a single-mode waveguide technology on board-level will be the straight forward development goal for chip-to-chip optical interconnects integration. Such a hybrid packaging platform providing 3D optical single-mode links bridges the gap between novel photonic integrated circuits and the glass fiber based long-distance telecom networks. Following we introduce our 3D photonic packaging approach based on thin glass substrates with planar integrated optical single-mode waveguides for fiber-to-chip and chip-to-chip interconnects. This novel packaging approach merges micro-system packaging and glass integrated optics. It consists of a thin glass substrate with planar integrated singlemode waveguide circuits, optical mirrors and lenses providing an integration platform for photonic IC assembly and optical fiber interconnect. Thin glass is commercially available in panel and wafer formats and characterizes excellent optical and high-frequency properties. That makes it perfect for microsystem packaging. The paper presents recent results in single-mode waveguide technology on wafer level and waveguide characterization. Furthermore the integration in a

  10. Methane production using resin-wafer electrodeionization

    DOEpatents

    Snyder, Seth W; Lin, YuPo; Urgun-Demirtas, Meltem

    2014-03-25

    The present invention provides an efficient method for creating natural gas including the anaerobic digestion of biomass to form biogas, and the electrodeionization of biogas to form natural gas and carbon dioxide using a resin-wafer deionization (RW-EDI) system. The method may be further modified to include a wastewater treatment system and can include a chemical conditioning/dewatering system after the anaerobic digestion system. The RW-EDI system, which includes a cathode and an anode, can either comprise at least one pair of wafers, each a basic and acidic wafer, or at least one wafer comprising of a basic portion and an acidic portion. A final embodiment of the RW-EDI system can include only one basic wafer for creating natural gas.

  11. New Finsler package

    NASA Astrophysics Data System (ADS)

    Youssef, Nabil L.; Elgendi, S. G.

    2014-03-01

    The book “Handbook of Finsler geometry” has been included with a CD containing an elegant Maple package, FINSLER, for calculations in Finsler geometry. Using this package, an example concerning a Finsler generalization of Einstein’s vacuum field equations was treated. In this example, the calculation of the components of the hv-curvature of Cartan connection leads to wrong expressions. On the other hand, the FINSLER package works only in dimension four. We introduce a new Finsler package in which we fix the two problems and solve them. Moreover, we extend this package to compute not only the geometric objects associated with Cartan connection but also those associated with Berwald, Chern and Hashiguchi connections in any dimension. These improvements have been illustrated by a concrete example. Furthermore, the problem of simplifying tensor expressions is treated. This paper is intended to make calculations in Finsler geometry more easier and simpler.

  12. Influence of vacuum chamber impurities on the lifetime of organic light-emitting diodes

    PubMed Central

    Fujimoto, Hiroshi; Suekane, Takashi; Imanishi, Katsuya; Yukiwaki, Satoshi; Wei, Hong; Nagayoshi, Kaori; Yahiro, Masayuki; Adachi, Chihaya

    2016-01-01

    We evaluated the influence of impurities in the vacuum chamber used for the fabrication of organic light-emitting diodes on the lifetime of the fabricated devices and found a correlation between lifetime and the device fabrication time. The contact angle of the ITO substrates stored the chamber under vacuum were used to evaluate chamber cleanliness. Liquid chromatography-mass spectrometry was performed on Si wafers stored in the vacuum chamber before device fabrication to examine the impurities in the chamber. Surprisingly, despite the chamber and evaporation sources being at room temperature, a variety of materials were detected, including previously deposited materials and plasticizers from the vacuum chamber components. We show that the impurities, and not differences in water content, in the chamber were the source of lifetime variations even when the duration of exposure to impurities only varied before and after deposition of the emitter layer. These results suggest that the impurities floating in the vacuum chamber significantly impact lifetime values and reproducibility. PMID:27958304

  13. Influence of vacuum chamber impurities on the lifetime of organic light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Fujimoto, Hiroshi; Suekane, Takashi; Imanishi, Katsuya; Yukiwaki, Satoshi; Wei, Hong; Nagayoshi, Kaori; Yahiro, Masayuki; Adachi, Chihaya

    2016-12-01

    We evaluated the influence of impurities in the vacuum chamber used for the fabrication of organic light-emitting diodes on the lifetime of the fabricated devices and found a correlation between lifetime and the device fabrication time. The contact angle of the ITO substrates stored the chamber under vacuum were used to evaluate chamber cleanliness. Liquid chromatography-mass spectrometry was performed on Si wafers stored in the vacuum chamber before device fabrication to examine the impurities in the chamber. Surprisingly, despite the chamber and evaporation sources being at room temperature, a variety of materials were detected, including previously deposited materials and plasticizers from the vacuum chamber components. We show that the impurities, and not differences in water content, in the chamber were the source of lifetime variations even when the duration of exposure to impurities only varied before and after deposition of the emitter layer. These results suggest that the impurities floating in the vacuum chamber significantly impact lifetime values and reproducibility.

  14. Off-line wafer level reliability control: unique measurement method to monitor the lifetime indicator of gate oxide validated within bipolar/CMOS/DMOS technology

    NASA Astrophysics Data System (ADS)

    Gagnard, Xavier; Bonnaud, Olivier

    2000-08-01

    We have recently published a paper on a new rapid method for the determination of the lifetime of the gate oxide involved in a Bipolar/CMOS/DMOS technology (BCD). Because this previous method was based on a current measurement with gate voltage as a parameter needing several stress voltages, it was applied only by lot sampling. Thus, we tried to find an indicator in order to monitor the gate oxide lifetime during the wafer level parametric test and involving only one measurement of the device on each wafer test cell. Using the Weibull law and Crook model, combined with our recent model, we have developed a new test method needing only one electrical measurement of MOS capacitor to monitor the quality of the gate oxide. Based also on a current measurement, the parameter is the lifetime indicator of the gate oxide. From the analysis of several wafers, we gave evidence of the possibility to detect a low performance wafer, which corresponds to the infantile failure on the Weibull plot. In order to insert this new method in the BCD parametric program, a parametric flowchart was established. This type of measurement is an important challenges, because the actual measurements, breakdown charge, Qbd, and breakdown electric field, Ebd, at parametric level and Ebd and interface states density, Dit during the process cannot guarantee the gate oxide lifetime all along fabrication process. This indicator measurement is the only one, which predicts the lifetime decrease.

  15. Vacuum packaging of InGaAs focal plane array with four-stage thermoelectric cooler

    NASA Astrophysics Data System (ADS)

    Mo, De-feng; Liu, Da-fu; Yang, Li-yi; Xu, Qin-fei; Li, Xue

    2013-09-01

    The InGaAs focal plane array (FPA) detectors, covering the near-infrared 1~2.4 μm wavelength range, have been developed for application in space-based spectroscopy of the Earth atmosphere. This paper shows an all-metal vacuum package design for area array InGaAs detector of 1024×64 pixels, and its architecture will be given. Four-stage thermoelectric cooler (TEC) is used to cool down the FPA chip. To acquire high heat dissipation for TEC's Joule-heat, tungsten copper (CuW80) and kovar (4J29) is used as motherboard and cavity material respectively which joined by brazing. The heat loss including conduction, convection and radiation is analyzed. Finite element model is established to analyze the temperature uniformity of the chip substrate which is made of aluminum nitride (AlN). The performance of The TEC with and without heat load in vacuum condition is tested. The results show that the heat load has little influence to current-voltage relationship of TEC. The temperature difference (ΔT) increases as the input current increases. A linear relationship exists between heat load and ΔT of the TEC. Theoretical analysis and calculation show that the heat loss of radiation and conduction is about 187 mW and 82 mW respectively. Considering the Joule-heat of readout circuit and the heat loss of radiation and conduction, the FPA for a 220 K operation at room temperature can be achieved. As the thickness of AlN chip substrate is thicker than 1 millimeter, the temperature difference can be less than 0.3 K.

  16. Critical dimension control using ultrashort laser for improving wafer critical dimension uniformity

    NASA Astrophysics Data System (ADS)

    Avizemer, Dan; Sharoni, Ofir; Oshemkov, Sergey; Cohen, Avi; Dayan, Asaf; Khurana, Ranjan; Kewley, Dave

    2015-07-01

    Requirements for control of critical dimension (CD) become more demanding as the integrated circuit (IC) feature size specifications become tighter and tighter. Critical dimension control, also known as CDC, is a well-known laser-based process in the IC industry that has proven to be robust, repeatable, and efficient in adjusting wafer CD uniformity (CDU) [Proc. SPIE 6152, 615225 (2006)]. The process involves locally and selectively attenuating the deep ultraviolet light which goes through the photomask to the wafer. The input data for the CDC process in the wafer fab is typically taken from wafer CDU data, which is measured by metrology tools such as wafer-critical dimension-scanning electron microscopy (CD-SEM), wafer optical scatterometry, or wafer level CD (WLCD). The CD correction process uses the CDU data in order to create an attenuation correction contour, which is later applied by the in-situ ultrashort laser system of the CDC to locally change the transmission of the photomask. The ultrashort pulsed laser system creates small, partially scattered, Shade-In-Elements (also known as pixels) by focusing the laser beam inside the quartz bulk of the photomask. This results in the formation of a localized, intravolume, quartz modified area, which has a different refractive index than the quartz bulk itself. The CDC process flow for improving wafer CDU in a wafer fab with detailed explanations of the shading elements formation inside the quartz by the ultrashort pulsed laser is reviewed.

  17. The effect of carbon monoxide pretreatment exposure time on the colour stability and quality attributes of vacuum packaged beef steaks.

    PubMed

    Van Rooyen, Lauren Anne; Allen, Paul; Crawley, Sarah M; O'Connor, David I

    2017-07-01

    The effect of 5% CO pretreatments prior to vacuum packaging of beef striploin steaks (Longissimus thoracis et lumborum, LTL) on quality attributes, primarily colour stability was investigated. The aim was to determine the optimum pretreatment that would induce the desirable red colour, while allowing discoloration to occur by the end of a 28-day display period (2°C), so as to not mask spoilage. A range of pretreatment exposure times (1, 3, 5, 7, 9, 15 and 24h) were applied to steaks using a gas mixture of 5% CO, 60% CO 2 and 35% N 2 . The 5h CO pretreatment exposure time achieved the desirable colour and discoloration reached unacceptable levels (a*=12, C*=16) by the use-by date (28days), thus ensuring consumers' of a reliable visual indication of freshness and addressing concerns about safety. The 5% CO pretreatment had no negative effect on microbiological safety, lipid oxidation, cooking loss and WBSF measurements at the end of storage (P>0.05). Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. (abstract) Electronic Packaging for Microspacecraft Applications

    NASA Technical Reports Server (NTRS)

    Wasler, David

    1993-01-01

    The intent of this presentation is to give a brief look into the future of electronic packaging for microspacecraft applications. Advancements in electronic packaging technology areas have developed to the point where a system engineer's visions, concepts, and requirements for a microspacecraft can now be a reality. These new developments are ideal candidates for microspacecraft applications. These technologies are capable of bringing about major changes in how we design future spacecraft while taking advantage of the benefits due to size, weight, power, performance, reliability , and cost. This presentation will also cover some advantages and limitations of surface mount technology (SMT), multichip modules (MCM), and wafer scale integration (WSI), and what is needed to implement these technologies into microspacecraft.

  19. Effect of pretreatment with carbon monoxide and ozone on the quality of vacuum packaged beef meats.

    PubMed

    Lyu, Fei; Shen, Kejing; Ding, Yuting; Ma, Xin

    2016-07-01

    Beef meats without pretreatment (CK) or pretreated with different volume ratios of carbon monoxide and ozone of 100%CO (T1), 2%O3+98%CO (T2), 5%O3+95%CO (T3) and 10%O3+90%CO (T4) using modified atmosphere packages for 1.5h, after that they were vacuum-packaged and stored in 0°C refrigerator for 46days. The surface color a* values and sensory scores of T1, T2, T3 and T4 were significant higher than CK (p<0.05) during storage. In the mid and later storage, the drip loss, total viable counts (TVC), metmyoglobin (met-Mb), thiobarbituric acid reactive substances (TBARS), total volatile basic nitrogen (TVB-N) and pH of T1, T2, T3 and T4 were significantly lower than CK (p<0.05), and these values of T2, T3 and T4 were significantly lower than T1 in the later storage. In conclusion, O3 in the combination didn't affect the color-developing effect of CO, and could help CO maintain the meat quality. Therefore, the pretreatment of CO combined with O3 at certain concentrations can be a promising technique to maintain the quality of beef meats. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. Packaged integrated opto-fluidic solution for harmful fluid analysis

    NASA Astrophysics Data System (ADS)

    Allenet, T.; Bucci, D.; Geoffray, F.; Canto, F.; Couston, L.; Jardinier, E.; Broquin, J.-E.

    2016-02-01

    Advances in nuclear fuel reprocessing have led to a surging need for novel chemical analysis tools. In this paper, we present a packaged lab-on-chip approach with co-integration of optical and micro-fluidic functions on a glass substrate as a solution. A chip was built and packaged to obtain light/fluid interaction in order for the entire device to make spectral measurements using the photo spectroscopy absorption principle. The interaction between the analyte solution and light takes place at the boundary between a waveguide and a fluid micro-channel thanks to the evanescent part of the waveguide's guided mode that propagates into the fluid. The waveguide was obtained via ion exchange on a glass wafer. The input and the output of the waveguides were pigtailed with standard single mode optical fibers. The micro-scale fluid channel was elaborated with a lithography procedure and hydrofluoric acid wet etching resulting in a 150+/-8 μm deep channel. The channel was designed with fluidic accesses, in order for the chip to be compatible with commercial fluidic interfaces/chip mounts. This allows for analyte fluid in external capillaries to be pumped into the device through micro-pipes, hence resulting in a fully packaged chip. In order to produce this co-integrated structure, two substrates were bonded. A study of direct glass wafer-to-wafer molecular bonding was carried-out to improve detector sturdiness and durability and put forward a bonding protocol with a bonding surface energy of γ>2.0 J.m-2. Detector viability was shown by obtaining optical mode measurements and detecting traces of 1.2 M neodymium (Nd) solute in 12+/-1 μL of 0.01 M and pH 2 nitric acid (HNO3) solvent by obtaining an absorption peak specific to neodymium at 795 nm.

  1. Influence of heat processing on the volatile organic compounds and microbial diversity of salted and vacuum-packaged silver carp (Hypophthalmichthys molitrix) fillets during storage.

    PubMed

    Li, Dongping; Zhang, Jingbin; Song, Sijia; Feng, Ligeng; Luo, Yongkang

    2018-06-01

    Ready-to-eat products have become popular with most of the busy people in modern cities. Heat processing combined with vacuum-packaging is one of the most common methods to make ready-to-eat products with an extended shelf-life. In this study, the influence of heat processing [80 °C (LT) and 98 °C (HT) in water bath] on the quality of salted and vacuum-packaged silver carp (Hypophthalmichthys molitrix) fillets, stored at 20 ± 1 °C, was investigated by sensory analysis, biochemical analysis, and microbial diversity. SPME-GC/MS indicated the presence of 27 volatile organic compounds (VOCs) in fillets, and major VOCs were aldehydes and alcohols. Acids tended to increase during storage and caused a fetid odor at the end of storage. Culture-dependent method indicated that Bacillus dominated the spoiled LT and HT samples. In addition, Bacillus was identified as the main spoiler of deteriorated heated fillets by high-throughput sequencing. Sphingomonas and Brevibacillus dominated the indigenous bacteria of fresh raw fillets. After heat processing, LT samples exhibited higher organoleptic quality than HT samples on day 0. HT samples showed extended shelf-life at 20 °C storage compared to LT samples. Copyright © 2017. Published by Elsevier Ltd.

  2. Interferometric thickness calibration of 300 mm silicon wafers

    NASA Astrophysics Data System (ADS)

    Wang, Quandou; Griesmann, Ulf; Polvani, Robert

    2005-12-01

    The "Improved Infrared Interferometer" (IR 3) at the National Institute of Standards and Technology (NIST) is a phase-measuring interferometer, operating at a wavelength of 1550 nm, which is being developed for measuring the thickness and thickness variation of low-doped silicon wafers with diameters up to 300 mm. The purpose of the interferometer is to produce calibrated silicon wafers, with a certified measurement uncertainty, which can be used as reference wafers by wafer manufacturers and metrology tool manufacturers. We give an overview of the design of the interferometer and discuss its application to wafer thickness measurements. The conversion of optical thickness, as measured by the interferometer, to the wafer thickness requires knowledge of the refractive index of the material of the wafer. We describe a method for measuring the refractive index which is then used to establish absolute thickness and thickness variation maps for the wafer.

  3. Wafer-scale integrated micro-supercapacitors on an ultrathin and highly flexible biomedical platform.

    PubMed

    Maeng, Jimin; Meng, Chuizhou; Irazoqui, Pedro P

    2015-02-01

    We present wafer-scale integrated micro-supercapacitors on an ultrathin and highly flexible parylene platform, as progress toward sustainably powering biomedical microsystems suitable for implantable and wearable applications. All-solid-state, low-profile (<30 μm), and high-density (up to ~500 μF/mm(2)) micro-supercapacitors are formed on an ultrathin (~20 μm) freestanding parylene film by a wafer-scale parylene packaging process in combination with a polyaniline (PANI) nanowire growth technique assisted by surface plasma treatment. These micro-supercapacitors are highly flexible and shown to be resilient toward flexural stress. Further, direct integration of micro-supercapacitors into a radio frequency (RF) rectifying circuit is achieved on a single parylene platform, yielding a complete RF energy harvesting microsystem. The system discharging rate is shown to improve by ~17 times in the presence of the integrated micro-supercapacitors. This result suggests that the integrated micro-supercapacitor technology described herein is a promising strategy for sustainably powering biomedical microsystems dedicated to implantable and wearable applications.

  4. Advanced uncooled sensor product development

    NASA Astrophysics Data System (ADS)

    Kennedy, A.; Masini, P.; Lamb, M.; Hamers, J.; Kocian, T.; Gordon, E.; Parrish, W.; Williams, R.; LeBeau, T.

    2015-06-01

    The partnership between RVS, Seek Thermal and Freescale Semiconductor continues on the path to bring the latest technology and innovation to both military and commercial customers. The partnership has matured the 17μm pixel for volume production on the Thermal Weapon Sight (TWS) program in efforts to bring advanced production capability to produce a low cost, high performance product. The partnership has developed the 12μm pixel and has demonstrated performance across a family of detector sizes ranging from formats as small as 206 x 156 to full high definition formats. Detector pixel sensitivities have been achieved using the RVS double level advanced pixel structure. Transition of the packaging of microbolometers from a traditional die level package to a wafer level package (WLP) in a high volume commercial environment is complete. Innovations in wafer fabrication techniques have been incorporated into this product line to assist in the high yield required for volume production. The WLP seal yield is currently > 95%. Simulated package vacuum lives >> 20 years have been demonstrated through accelerated life testing where the package has been shown to have no degradation after 2,500 hours at 150°C. Additionally the rugged assembly has shown no degradation after mechanical shock and vibration and thermal shock testing. The transition to production effort was successfully completed in 2014 and the WLP design has been integrated into multiple new production products including the TWS and the innovative Seek Thermal commercial product that interfaces directly to an iPhone or android device.

  5. Full-wafer fabrication by nanostencil lithography of micro/nanomechanical mass sensors monolithically integrated with CMOS.

    PubMed

    Arcamone, J; van den Boogaart, M A F; Serra-Graells, F; Fraxedas, J; Brugger, J; Pérez-Murano, F

    2008-07-30

    Wafer-scale nanostencil lithography (nSL) is used to define several types of silicon mechanical resonators, whose dimensions range from 20 µm down to 200 nm, monolithically integrated with CMOS circuits. We demonstrate the simultaneous patterning by nSL of ∼2000 nanodevices per wafer by post-processing standard CMOS substrates using one single metal evaporation, pattern transfer to silicon and subsequent etch of the sacrificial layer. Resonance frequencies in the MHz range were measured in air and vacuum. As proof-of-concept towards an application as high performance sensors, CMOS integrated nano/micromechanical resonators are successfully implemented as ultra-sensitive areal mass sensors. These devices demonstrate the ability to monitor the deposition of gold layers whose average thickness is smaller than a monolayer. Their areal mass sensitivity is in the range of 10(-11) g cm(-2) Hz(-1), and their thickness resolution corresponds to approximately a thousandth of a monolayer.

  6. Thinning of PLZT ceramic wafers for sensor integration

    NASA Astrophysics Data System (ADS)

    Jin, Na; Liu, Weiguo

    2010-08-01

    Characteristics of transparent PLZT ceramics can be tailored by controlling the component of them, and therefore showed excellent dielectric, piezoelectric, pyroelectric and ferroelectric properties. To integrate the ceramics with microelectronic circuit to realize integrated applications, the ceramic wafers have to be thinned down to micrometer scale in thickness. A7/65/35 PLZT ceramic wafer was selected in this study for the thinning process. Size of the wafer was 10×10mm with an initial thickness of 300μm. A novel membrane transfer process (MTP) was developed for the thinning and integration of the ceramic wafers. In the MTP process, the ceramic wafer was bonded to silicon wafer using a polymer bonding method. Mechanical grinding method was applied to reduce the thickness of the ceramic. To minimize the surface damage in the ceramic wafer caused by the mechanical grinding, magnetorheological finishing (MRF) method was utilized to polish the wafer. White light interference (WLI) apparatus was used to monitor the surface qualities of the grinded and ploished ceramic wafers. For the PLZT membrane obtained from the MTP process, the final thickness of the thinned and polished wafer was 10μm, the surface roughness was below 1nm in rms, and the flatness was better than λ/5.

  7. Temperature Dependent Electrical Properties of PZT Wafer

    NASA Astrophysics Data System (ADS)

    Basu, T.; Sen, S.; Seal, A.; Sen, A.

    2016-04-01

    The electrical and electromechanical properties of lead zirconate titanate (PZT) wafers were investigated and compared with PZT bulk. PZT wafers were prepared by tape casting technique. The transition temperature of both the PZT forms remained the same. The transition from an asymmetric to a symmetric shape was observed for PZT wafers at higher temperature. The piezoelectric coefficient (d 33) values obtained were 560 pc/N and 234 pc/N, and the electromechanical coupling coefficient (k p) values were 0.68 and 0.49 for bulk and wafer, respectively. The reduction in polarization after fatigue was only ~3% in case of PZT bulk and ~7% for PZT wafer.

  8. Porous solid ion exchange wafer for immobilizing biomolecules

    DOEpatents

    Arora, Michelle B.; Hestekin, Jamie A.; Lin, YuPo J.; St. Martin, Edward J.; Snyder, Seth W.

    2007-12-11

    A porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer. Also disclosed is a porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer containing a biomolecule with a tag. A separate bioreactor is also disclosed incorporating the wafer described above.

  9. Effects of high optical injection levels in polycrystalline Si wafers on carrier transport

    NASA Astrophysics Data System (ADS)

    Steele, Doneisha; Semichaevsky, Andrey

    High levels of carrier injection in polycrystalline Si may arise, for example, in solar cells under concentrated sunlight. Mechanisms for non-radiative carrier recombination include trap-mediated SRH and higher-order processes, e.g., Auger recombination. In this paper we present our experimental results for intensity-dependent carrier lifetimes and conduction currents in polycrystalline Si wafers illuminated with pulses of up to 50 Sun intensity. We also use a computational model for carrier transport that includes both SRH and Auger recombination mechanisms, in order to explain our experiments. The model allows quantifying recombination rate dependence on carrier concentration. Our goal is to relate the recombination rates to Si microstructure and defect densities that are revealed by IR PL images. We acknowledge the NSF support through Grant 1505377.

  10. Effect of Various Packaging Methods on Small-Scale Hanwoo (Korean Native Cattle) during Refrigerated Storage

    PubMed Central

    Yu, Hwan Hee; Song, Myung Wook; Kim, Tae-Kyung; Choi, Yun-Sang; Cho, Gyu Yong; Lee, Na-Kyoung; Paik, Hyun-Dong

    2018-01-01

    Abstract The objective of this study was to investigate comparison of physicochemical, microbiological, and sensory characteristics of Hanwoo eye of round by various packaging methods [wrapped packaging (WP), modified atmosphere packaging (MAP), vacuum packaging (VP) with three different vacuum films, and vacuum skin packaging (VSP)] at a small scale. Packaged Hanwoo beef samples were stored in refrigerated conditions (4±1°C) for 28 days. Packaged beef was sampled on days 0, 7, 14, 21, and 28. Physicochemical [pH, surface color, thiobarbituric acid reactive substances (TBARS), and volatile basic nitrogen (VBN) values], microbiological, and sensory analysis of packaged beef samples were performed. VP and VSP samples showed low TBARS and VBN values, and pH and surface color did not change substantially during the 28-day period. For VSP, total viable bacteria, psychrotrophic bacteria, lactic acid bacteria, and coliform counts were lower than those for other packaging systems. Salmonella spp. and Escherichia coli O157:H7 were not detected in any packaged beef samples. A sensory analysis showed that the scores for appearance, flavor, color, and overall acceptability did not change significantly until day 7. In total, VSP was effective with respect to significantly higher a* values, physicochemical stability, and microbial safety in Hanwoo packaging (p<0.05). PMID:29805283

  11. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    NASA Astrophysics Data System (ADS)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  12. SBML Level 3 package: Groups, Version 1 Release 1

    PubMed Central

    Hucka, Michael; Smith, Lucian P.

    2017-01-01

    Summary Biological models often contain components that have relationships with each other, or that modelers want to treat as belonging to groups with common characteristics or shared metadata. The SBML Level 3 Version 1 Core specification does not provide an explicit mechanism for expressing such relationships, but it does provide a mechanism for SBML packages to extend the Core specification and add additional syntactical constructs. The SBML Groups package for SBML Level 3 adds the necessary features to SBML to allow grouping of model components to be expressed. Such groups do not affect the mathematical interpretation of a model, but they do provide a way to add information that can be useful for modelers and software tools. The SBML Groups package enables a modeler to include definitions of groups and nested groups, each of which may be annotated to convey why that group was created, and what it represents. PMID:28187406

  13. P/N InP solar cells on Ge wafers

    NASA Technical Reports Server (NTRS)

    Wojtczuk, Steven; Vernon, Stanley; Burke, Edward A.

    1994-01-01

    Indium phosphide (InP) P-on-N one-sun solar cells were epitaxially grown using a metalorganic chemical vapor deposition process on germanium (Ge) wafers. The motivation for this work is to replace expensive InP wafers, which are fragile and must be thick and therefore heavy, with less expensive Ge wafers, which are stronger, allowing use of thinner, lighter weight wafers. An intermediate InxGs1-xP grading layer starting as In(0.49)Ga(0.51) at the GaAs-coated Ge wafer surface and ending as InP at the top of the grading layer (backside of the InP cell) was used to attempt to bend some of the threading dislocations generated by lattice-mismatch between the Ge wafer and InP cell so they would be harmlessly confined in this grading layer. The best InP/Ge cell was independently measured by NASA-Lewis with a one-sun 25 C AMO efficiently measured by NASA-Lewis with a one-circuit photocurrent 22.6 mA/sq cm. We believe this is the first published report of an InP cell grown on a Ge wafer. Why get excited over a 9 percent InP/Ge cell? If we look at the cell weight and efficiency, a 9 percent InP cell on an 8 mil Ge wafer has about the same cell power density, 118 W/kg (BOL), as the best InP cell ever made, a 19 percent InP cell on an 18 mil InP wafer, because of the lighter Ge wafer weight. As cell panel materials become lighter, the cell weight becomes more important, and the advantage of lightweight cells to the panel power density becomes more important. In addition, although InP/Ge cells have a low beginning-of-life (BOL) efficiency due to dislocation defects, the InP/Ge cells are very radiation hard (end-of-life power similar to beginning-of-life). We have irradiated an InP/Ge cell with alpha particles to an equivalent fluence of 1.6 x 10(exp 16) 1 MeV electrons/sq cm and the efficiency is still 83 percent of its BOL value. At this fluence level, the power output of these InP/Ge cells matches the GaAs/Ge cell data tabulated in the JPL handbook. Data are presented

  14. Direct wafer bonding of highly conductive GaSb/GaInAs and GaSb/GaInP heterojunctions prepared by argon-beam surface activation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Predan, Felix, E-mail: felix.predan@ise.fraunhofer.de; Reinwand, Dirk; Cariou, Romain

    The authors present a low-temperature wafer bonding process for the formation of electrically conductive n-GaSb/n-Ga{sub 0.79}In{sub 0.21}As and n-GaSb/n-Ga{sub 0.32}In{sub 0.68}P heterojunctions. The surfaces are deoxidized by sputter-etching with an argon-beam and bonded in ultrahigh vacuum. The sputtering behavior was investigated for each material, revealing a distinct selective sputtering characteristic for Ga{sub 0.32}In{sub 0.68}P. According to these findings, the settings for the bonding process were chosen. The mechanical and electrical properties of the wafer bonds were studied. Fully bonded 2 in. wafer pairs were found for both material combinations exhibiting high bond energies, which are comparable to the binding energiesmore » in the semiconductors. Furthermore, bond resistances below 5 mΩ cm{sup 2} could be reached, which are in the range of the lowest resistances that have been reported for wafer bonded heterojunctions. This speaks, together with the high bond energies, for a high amount of covalent bonds at the interfaces. These promising bond characteristics make the integration of antimonides with arsenides or phosphides by wafer bonding attractive for various optoelectronic applications such as multijunction solar cells.« less

  15. High voltage photo switch package module

    DOEpatents

    Sullivan, James S; Sanders, David M; Hawkins, Steven A; Sampayan, Stephen E

    2014-02-18

    A photo-conductive switch package module having a photo-conductive substrate or wafer with opposing electrode-interface surfaces, and at least one light-input surface. First metallic layers are formed on the electrode-interface surfaces, and one or more optical waveguides having input and output ends are bonded to the substrate so that the output end of each waveguide is bonded to a corresponding one of the light-input surfaces of the photo-conductive substrate. This forms a waveguide-substrate interface for coupling light into the photo-conductive wafer. A dielectric material such as epoxy is then used to encapsulate the photo-conductive substrate and optical waveguide so that only the metallic layers and the input end of the optical waveguide are exposed. Second metallic layers are then formed on the first metallic layers so that the waveguide-substrate interface is positioned under the second metallic layers.

  16. Post exposure bake unit equipped with wafer-shape compensation technology

    NASA Astrophysics Data System (ADS)

    Goto, Shigehiro; Morita, Akihiko; Oyama, Kenichi; Hori, Shimpei; Matsuchika, Keiji; Taniguchi, Hideyuki

    2007-03-01

    In 193nm lithography, it is well known that Critical Dimension Uniformity (CDU) within wafer is especially influenced by temperature variation during Post Exposure Bake (PEB) process. This temperature variation has been considered to be caused by the hot plate unit, and improvement of temperature uniformity within hot plate itself has been focused to achieve higher CDU. However, we have found that the impact of the wafer shape on temperature uniformity within wafer can not be ignored when the conventional PEB processing system is applied to an advanced resist technology. There are two factors concerned with the wafer shape. First, gravity force of the wafer itself generates wafer shape bending because wafer is simply supported by a few proximity gaps on the conventional hot plate. Next, through the semiconductor manufacturing process, wafer is gradually warped due to the difference of the surface stress between silicon and deposited film layers (Ex. Si-Oxide, Si-Nitride). Therefore, the variation of the clearance between wafer backside and hot plate surface leads to non-uniform thermal conductivity within wafer during PEB processing, and eventually impacts on the CDU within wafer. To overcome this problem concerned with wafer shape during PEB processing, we have developed the new hot plate equipped with the wafer shape compensation technology. As a result of evaluation, we have confirmed that this new PEB system has an advantage not only for warped wafer but also for flat (bare) wafer.

  17. Die singulation method and package formed thereby

    DOEpatents

    Anderson, Robert C [Tucson, AZ; Shul, Randy J [Albuquerque, NM; Clews, Peggy J [Tijeras, NM; Baker, Michael S [Albuquerque, NM; De Boer, Maarten P [Albuquerque, NM

    2012-08-07

    A method is disclosed for singulating die from a substrate having a sacrificial layer and one or more device layers, with a retainer being formed in the device layer(s) and anchored to the substrate. Deep Reactive Ion Etching (DRIE) etching of a trench through the substrate from the bottom side defines a shape for each die. A handle wafer is then attached to the bottom side of the substrate, and the sacrificial layer is etched to singulate the die and to form a frame from the retainer and the substrate. The frame and handle wafer, which retain the singulated die in place, can be attached together with a clamp or a clip and to form a package for the singulated die. One or more stops can be formed from the device layer(s) to limit a sliding motion of the singulated die.

  18. Forming electrical interconnections through semiconductor wafers

    NASA Technical Reports Server (NTRS)

    Anthony, T. R.

    1981-01-01

    An information processing system based on CMOS/SOS technology is being developed by NASA to process digital image data collected by satellites. An array of holes is laser drilled in a semiconductor wafer, and a conductor is formed in the holes to fabricate electrical interconnections through the wafers. Six techniques are used to form conductors in the silicon-on-sapphire (SOS) wafers, including capillary wetting, wedge extrusion, wire intersection, electroless plating, electroforming, double-sided sputtering and through-hole electroplating. The respective strengths and weaknesses of these techniques are discussed and compared, with double-sided sputtering and the through-hole plating method achieving best results. In addition, hollow conductors provided by the technique are available for solder refill, providing a natural way of forming an electrically connected stack of SOS wafers.

  19. Effect of packaging and storage time on survival of Listeria monocytogenes on kippered beef steak and turkey tenders.

    PubMed

    Uppal, Kamaldeep K; Getty, Kelly J K; Boyle, Elizabeth A E; Harper, Nigel M; Lobaton-Sulabo, April Shayne S; Barry, Bruce

    2012-01-01

    The objective of our study was to determine effect of packaging method and storage time on reducing Listeria monocytogenes in shelf-stable meat snacks. Commercially available kippered beef steak strips and turkey tenders were dipped into a 5-strain L. monocytogenes cocktail, and dried at 23 °C until a water activity of 0.80 was achieved. Inoculated samples were packaged with 4 treatments: (1) vacuum, (2) nitrogen flushed with oxygen scavenger, (3) heat sealed with oxygen scavenger, and (4) heat sealed without oxygen scavenger. Samples were stored at 23 °C and evaluated for L. monocytogenes levels at 0, 24, 48, and 72 h. Initial levels (time 0) of L. monocytogenes were approximately 5.7 log CFU/cm² for steak and tenders. After 24 h of storage time, a 1 log CFU/cm² reduction of L. monocytogenes was observed for turkey tenders for all packaging treatments. After 48 h, turkey tenders showed >1 log CFU/cm² reduction of L. monocytogenes for all packaging treatments except for vacuum, where only 0.9 log CFU/cm² reduction was observed. After 72 h, reductions for all packaging treatments for turkey tenders ranged from 1.5 to 2.4 log CFU/cm². For kippered beef steak, there was no interaction between the packaging treatments and all storage times (P > 0.05) whereas, time was different (P <0.05). For kippered beef steak, there was 1 log reduction of L. monocytogenes at 24 and 48 h of storage times at 23 °C for all packaging treatments and a 2.1 log CFU/ cm² L. monocytogenes reduction at 72 h of storage time. Processors of kippered beef steak and turkey tenders could use a combination of vacuum or nitrogen-flushing or heat sealed with an oxygen scavenger packaging methods and a holding time of 24 h prior to shipping to reduce potential L. monocytogenes numbers by ≥1 log. However, processors should be encouraged to hold packaged product a minimum of 72 h to enhance the margin of safety for L. monocytogenes control. © 2011 Institute of Food Technologists®

  20. Robust wafer identification recognition based on asterisk-shape filter and high-low score comparison method.

    PubMed

    Hsu, Wei-Chih; Yu, Tsan-Ying; Chen, Kuan-Liang

    2009-12-10

    Wafer identifications (wafer ID) can be used to identify wafers from each other so that wafer processing can be traced easily. Wafer ID recognition is one of the problems of optical character recognition. The process to recognize wafer IDs is similar to that used in recognizing car license-plate characters. However, due to some unique characteristics, such as the irregular space between two characters and the unsuccessive strokes of wafer ID, it will not get a good result to recognize wafer ID by directly utilizing the approaches used in car license-plate character recognition. Wafer ID scratches are engraved by a laser scribe almost along the following four fixed directions: horizontal, vertical, plus 45 degrees , and minus 45 degrees orientations. The closer to the center line of a wafer ID scratch, the higher the gray level will be. These and other characteristics increase the difficulty to recognize the wafer ID. In this paper a wafer ID recognition scheme based on an asterisk-shape filter and a high-low score comparison method is proposed to cope with the serious influence of uneven luminance and make recognition more efficiently. Our proposed approach consists of some processing stages. Especially in the final recognition stage, a template-matching method combined with stroke analysis is used as a recognizing scheme. This is because wafer IDs are composed of Semiconductor Equipment and Materials International (SEMI) standard Arabic numbers and English alphabets, and thus the template ID images are easy to obtain. Furthermore, compared with the approach that requires prior training, such as a support vector machine, which often needs a large amount of training image samples, no prior training is required for our approach. The testing results show that our proposed scheme can efficiently and correctly segment out and recognize the wafer ID with high performance.

  1. Heating device for semiconductor wafers

    DOEpatents

    Vosen, Steven R.

    1999-01-01

    An apparatus for heat treating semiconductor wafers is disclosed. The apparatus includes a heating device which contains an assembly of light energy sources for emitting light energy onto a wafer. In particular, the light energy sources are positioned such that many different radial heating zones are created on a wafer being heated. For instance, in one embodiment, the light energy sources form a spiral configuration. In an alternative embodiment, the light energy sources appear to be randomly dispersed with respect to each other so that no discernable pattern is present. In a third alternative embodiment of the present invention, the light energy sources form concentric rings. Tuning light sources are then placed in between the concentric rings of light.

  2. Noncontact sheet resistance measurement technique for wafer inspection

    NASA Astrophysics Data System (ADS)

    Kempa, Krzysztof; Rommel, J. Martin; Litovsky, Roman; Becla, Peter; Lojek, Bohumil; Bryson, Frank; Blake, Julian

    1995-12-01

    A new technique, MICROTHERM, has been developed for noncontact sheet resistance measurements of semiconductor wafers. It is based on the application of microwave energy to the wafer, and simultaneous detection of the infrared radiation resulting from ohmic heating. The pattern of the emitted radiation corresponds to the sheet resistance distribution across the wafer. This method is nondestructive, noncontact, and allows for measurements of very small areas (several square microns) of the wafer.

  3. Effect of carrageenan level and packaging during ripening on processing and quality characteristics of low-fat fermented sausages produced with olive oil.

    PubMed

    Koutsopoulos, D A; Koutsimanis, G E; Bloukas, J G

    2008-05-01

    Eight low-fat fermented sausages were produced with partial replacement of pork backfat with olive oil. The total fat content of the sausages was 10% of which 8% was animal fat and 2% was olive oil. The sausages were produced with two types of carrageenan (ι- and κ-) in four levels (0%, 1%, 2% and 3%). ι-Carrageenan had a better effect (p<0.05) than κ-carrageenan on such characteristics as pH, weight loss and lipid oxidation of the sausages, as well as, on sensory attributes. Low-fat fermented sausages with κ-carrageenan had the same (p>0.05) firmness as high-fat commercial sausages (control). The carrageenan level of 3% negatively affected the firmness of the sausages. In a 2nd experiment, a high-fat control (30% total fat) and three low-fat fermented sausages (10% total fat) with olive oil were produced with three levels of ι-carrageenan (0%, 1% and 2%). Low-fat sausages were vacuum packed for the last two weeks of ripening. ι-Carrageenan added at levels up to 2% had a positive effect (p<0.05) on the physicochemical and microbiological characteristics of the low-fat fermented sausages. The application of vacuum packaging over last two weeks of ripening improved the physicochemical and microbiological characteristics of the sausages and resulted in sensory attributes equal to or better than the high-fat controls.

  4. MEMS for vibration energy harvesting

    NASA Astrophysics Data System (ADS)

    Li, Lin; Zhang, Yangjian; San, Haisheng; Guo, Yinbiao; Chen, Xuyuan

    2008-03-01

    In this paper, a capacitive vibration-to-electrical energy harvester was designed. An integrated process flow for fabricating the designed capacitive harvester is presented. For overcoming the disadvantage of depending on external power source in capacitive energy harvester, two parallel electrodes with different work functions are used as the two electrodes of the capacitor to generate a build-in voltage for initially charging the capacitor. The device is a sandwich structure of silicon layer in two glass layers with area of about 1 cm2. The silicon structure is fabricated by using silicon-on-insulator (SOI) wafer. The glass wafers are anodic bonded on to both sides of the SOI wafer to create a vacuum sealed package.

  5. Heating device for semiconductor wafers

    DOEpatents

    Vosen, S.R.

    1999-07-27

    An apparatus for heat treating semiconductor wafers is disclosed. The apparatus includes a heating device which contains an assembly of light energy sources for emitting light energy onto a wafer. In particular, the light energy sources are positioned such that many different radial heating zones are created on a wafer being heated. For instance, in one embodiment, the light energy sources form a spiral configuration. In an alternative embodiment, the light energy sources appear to be randomly dispersed with respect to each other so that no discernible pattern is present. In a third alternative embodiment of the present invention, the light energy sources form concentric rings. Tuning light sources are then placed in between the concentric rings of light. 4 figs.

  6. Space ultra-vacuum facility and method of operation

    NASA Technical Reports Server (NTRS)

    Naumann, Robert J. (Inventor)

    1988-01-01

    A wake shield space processing facility (10) for maintaining ultra-high levels of vacuum is described. The wake shield (12) is a truncated hemispherical section having a convex side (14) and a concave side (24). Material samples (68) to be processed are located on the convex side of the shield, which faces in the wake direction in operation in orbit. Necessary processing fixtures (20) and (22) are also located on the convex side. Support equipment including power supplies (40, 42), CMG package (46) and electronic control package (44) are located on the convex side (24) of the shield facing the ram direction. Prior to operation in orbit the wake shield is oriented in reverse with the convex side facing the ram direction to provide cleaning by exposure to ambient atomic oxygen. The shield is then baked-out by being pointed directed at the sun to obtain heating for a suitable period.

  7. Vacuum decay container/closure integrity testing technology. Part 2. Comparison to dye ingress tests.

    PubMed

    Wolf, Heinz; Stauffer, Tony; Chen, Shu-Chen Y; Lee, Yoojin; Forster, Ronald; Ludzinski, Miron; Kamat, Madhav; Mulhall, Brian; Guazzo, Dana Morton

    2009-01-01

    Part 1 of this series demonstrated that a container closure integrity test performed according to ASTM F2338-09 Standard Test Method for Nondestructive Detection of Leaks in Packages by Vacuum Decay Method using a VeriPac 325/LV vacuum decay leak tester by Packaging Technologies & Inspection, LLC (PTI) is capable of detecting leaks > or = 5.0 microm (nominal diameter) in rigid, nonporous package systems, such as prefilled glass syringes. The current study compared USP, Ph.Eur. and ISO dye ingress integrity test methods to PTI's vacuum decay technology for the detection of these same 5-, 10-, and 15-microm laser-drilled hole defects in 1-mL glass prefilled syringes. The study was performed at three test sites using several inspectors and a variety of inspection conditions. No standard dye ingress method was found to reliably identify all holed syringes. Modifications to these standard dye tests' challenge conditions increased the potential for dye ingress, and adjustments to the visual inspection environment improved dye ingress detection. However, the risk of false positive test results with dye ingress tests remained. In contrast, the nondestructive vacuum decay leak test method reliably identified syringes with holes > or = 5.0 microm.

  8. Effect of packaging and storage temperature on the survival of Listeria monocytogenes inoculated postprocessing on sliced salami.

    PubMed

    Gounadaki, Antonia S; Skandamis, Panagiotis N; Drosinos, Eleftherios H; Nychas, George-John E

    2007-10-01

    The survival of postprocess Listeria monocytogenes contamination on sliced salami, stored under the temperatures associated with retail and domestic storage, was investigated. Sliced salami was inoculated with low and high concentrations of L. monocytogenes before being packaged under vacuum or air. Survival of L. monocytogenes was determined after storage of sausages for 45 or 90 days for low or high sample inocula, respectively, at 5, 15, and 25 degrees C. All survival curves of L. monocytogenes were characterized by an initial rapid inactivation within the first days of storage, followed by a second, slower inactivation phase or "tailing." Greater reduction of L. monocytogenes was observed at the high storage temperature (25 degrees C), followed by ambient (15 degrees C) and chill (5 degrees C) storage conditions. Moreover, vacuum packaging resulted in a slower destruction of L. monocytogenes than air packaging, and this effect increased as storage temperature decreased. Although L. monocytogenes numbers decreased to undetectable levels by the end of the storage period, the time (in days) needed for this reduction and for the total elimination of the pathogen decreased with high temperature, aerobic storage, and high inoculum. Results of this study clearly indicated that the kinetics of L. monocytogenes were highly dependent on the interaction of factors such as storage temperature, packaging conditions, and initial level of contamination (inoculum). These results may contribute to the exposure assessment of quantitative microbial risk assessment and to the establishment of storage-packaging recommendations of fermented sausages.

  9. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    NASA Technical Reports Server (NTRS)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  10. Numerical modeling of carrier gas flow in atomic layer deposition vacuum reactor: A comparative study of lattice Boltzmann models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Dongqing; Chien Jen, Tien; Li, Tao

    2014-01-15

    This paper characterizes the carrier gas flow in the atomic layer deposition (ALD) vacuum reactor by introducing Lattice Boltzmann Method (LBM) to the ALD simulation through a comparative study of two LBM models. Numerical models of gas flow are constructed and implemented in two-dimensional geometry based on lattice Bhatnagar–Gross–Krook (LBGK)-D2Q9 model and two-relaxation-time (TRT) model. Both incompressible and compressible scenarios are simulated and the two models are compared in the aspects of flow features, stability, and efficiency. Our simulation outcome reveals that, for our specific ALD vacuum reactor, TRT model generates better steady laminar flow features all over the domainmore » with better stability and reliability than LBGK-D2Q9 model especially when considering the compressible effects of the gas flow. The LBM-TRT is verified indirectly by comparing the numerical result with conventional continuum-based computational fluid dynamics solvers, and it shows very good agreement with these conventional methods. The velocity field of carrier gas flow through ALD vacuum reactor was characterized by LBM-TRT model finally. The flow in ALD is in a laminar steady state with velocity concentrated at the corners and around the wafer. The effects of flow fields on precursor distributions, surface absorptions, and surface reactions are discussed in detail. Steady and evenly distributed velocity field contribute to higher precursor concentration near the wafer and relatively lower particle velocities help to achieve better surface adsorption and deposition. The ALD reactor geometry needs to be considered carefully if a steady and laminar flow field around the wafer and better surface deposition are desired.« less

  11. Dissolved carbon dioxide and oxygen concentrations in purge of vacuum-packaged pork chops and the relationship to shelf life and models for estimating microbial populations.

    PubMed

    Adams, K R; Niebuhr, S E; Dickson, J S

    2015-12-01

    The objectives of this study were to determine the dissolved CO2 and O2 concentrations in the purge of vacuum-packaged pork chops over a 60 day storage period, and to elucidate the relationship of dissolved CO2 and O2 to the microbial populations and shelf life. As the populations of spoilage bacteria increased, the dissolved CO2 increased and the dissolved O2 decreased in the purge. Lactic acid bacteria dominated the spoilage microflora, followed by Enterobacteriaceae and Brochothrix thermosphacta. The surface pH decreased to 5.4 due to carbonic acid and lactic acid production before rising to 5.7 due to ammonia production. A mathematical model was developed which estimated microbial populations based on dissolved CO2 concentrations. Scanning electron microscope images were also taken of the packaging film to observe the biofilm development. The SEM images revealed a two-layer biofilm on the packaging film that was the result of the tri-phase growth environment. Copyright © 2015 Elsevier Ltd. All rights reserved.

  12. High throughput wafer defect monitor for integrated metrology applications in photolithography

    NASA Astrophysics Data System (ADS)

    Rao, Nagaraja; Kinney, Patrick; Gupta, Anand

    2008-03-01

    The traditional approach to semiconductor wafer inspection is based on the use of stand-alone metrology tools, which while highly sensitive, are large, expensive and slow, requiring inspection to be performed off-line and on a lot sampling basis. Due to the long cycle times and sparse sampling, the current wafer inspection approach is not suited to rapid detection of process excursions that affect yield. The semiconductor industry is gradually moving towards deploying integrated metrology tools for real-time "monitoring" of product wafers during the manufacturing process. Integrated metrology aims to provide end-users with rapid feedback of problems during the manufacturing process, and the benefit of increased yield, and reduced rework and scrap. The approach of monitoring 100% of the wafers being processed requires some trade-off in sensitivity compared to traditional standalone metrology tools, but not by much. This paper describes a compact, low-cost wafer defect monitor suitable for integrated metrology applications and capable of detecting submicron defects on semiconductor wafers at an inspection rate of about 10 seconds per wafer (or 360 wafers per hour). The wafer monitor uses a whole wafer imaging approach to detect defects on both un-patterned and patterned wafers. Laboratory tests with a prototype system have demonstrated sensitivity down to 0.3 µm on un-patterned wafers and down to 1 µm on patterned wafers, at inspection rates of 10 seconds per wafer. An ideal application for this technology is preventing photolithography defects such as "hot spots" by implementing a wafer backside monitoring step prior to exposing wafers in the lithography step.

  13. Apparatus and method for skin packaging articles

    NASA Technical Reports Server (NTRS)

    Madsen, B.; Pozsony, E. R.; Collin, E. E. (Inventor)

    1973-01-01

    A system for skin packaging articles including a loading zone for positioning articles to be packaged upon a substrate, a thermoplastic film heating and vacuum operated skin packaging zone for covering the articles with film laminated to the substrate and a slitting zone for separating and trimming the individual skin packaged articles. The articles are passed to the successive zones. The loading zone may be adapted for conveyorized instead of hand loading. In some cases, where only transverse cutting of the film web is necessary, it may be desirable to eliminate the slitting zone and remove the skin packaged article or articles directly from the packaging zone. A conveniently located operating panel contains controls for effecting automatic, semiautomatic or manual operation of the entire system of any portions in any manner desired.

  14. Wafer hot spot identification through advanced photomask characterization techniques

    NASA Astrophysics Data System (ADS)

    Choi, Yohan; Green, Michael; McMurran, Jeff; Ham, Young; Lin, Howard; Lan, Andy; Yang, Richer; Lung, Mike

    2016-10-01

    As device manufacturers progress through advanced technology nodes, limitations in standard 1-dimensional (1D) mask Critical Dimension (CD) metrics are becoming apparent. Historically, 1D metrics such as Mean to Target (MTT) and CD Uniformity (CDU) have been adequate for end users to evaluate and predict the mask impact on the wafer process. However, the wafer lithographer's process margin is shrinking at advanced nodes to a point that the classical mask CD metrics are no longer adequate to gauge the mask contribution to wafer process error. For example, wafer CDU error at advanced nodes is impacted by mask factors such as 3-dimensional (3D) effects and mask pattern fidelity on subresolution assist features (SRAFs) used in Optical Proximity Correction (OPC) models of ever-increasing complexity. These items are not quantifiable with the 1D metrology techniques of today. Likewise, the mask maker needs advanced characterization methods in order to optimize the mask process to meet the wafer lithographer's needs. These advanced characterization metrics are what is needed to harmonize mask and wafer processes for enhanced wafer hot spot analysis. In this paper, we study advanced mask pattern characterization techniques and their correlation with modeled wafer performance.

  15. Optima XE Single Wafer High Energy Ion Implanter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Satoh, Shu; Ferrara, Joseph; Bell, Edward

    2008-11-03

    The Optima XE is the first production worthy single wafer high energy implanter. The new system combines a state-of-art single wafer endstation capable of throughputs in excess of 400 wafers/hour with a production-proven RF linear accelerator technology. Axcelis has been evolving and refining RF Linac technology since the introduction of the NV1000 in 1986. The Optima XE provides production worthy beam currents up to energies of 1.2 MeV for P{sup +}, 2.9 MeV for P{sup ++}, and 1.5 MeV for B{sup +}. Energies as low as 10 keV and tilt angles as high as 45 degrees are also available., allowingmore » the implanter to be used for a wide variety of traditional medium current implants to ensure high equipment utilization. The single wafer endstation provides precise implant angle control across wafer and wafer to wafer. In addition, Optima XE's unique dose control system allows compensation of photoresist outgassing effects without relying on traditional pressure-based methods. We describe the specific features, angle control and dosimetry of the Optima XE and their applications in addressing the ever-tightening demands for more precise process controls and higher productivity.« less

  16. Effect of sous vide processing on physicochemical, ultrastructural, microbial and sensory changes in vacuum packaged chicken sausages.

    PubMed

    Naveena, B M; Khansole, Panjab S; Shashi Kumar, M; Krishnaiah, N; Kulkarni, Vinayak V; Deepak, S J

    2017-01-01

    The processing of sous vide chicken sausages was optimized under vacuum packaging condition and cooking at 100 ℃ for 30 min (SV30), 60 min (SV60) and 120 min (SV120) and compared with aerobically cooked control at 100 ℃ for 30 min. Sous vide processing of chicken sausages (SV30) produced higher (p < 0.05) cooking yield, Hunterlab a* values and sensory attributes without affecting proximate composition and shear force values relative to control. The sodium dodecyl sulphate-polyacrylamide gel electrophoresis and scanning electron microscopy results revealed no significant changes in protein quality and emulsion ultra-structure due to SV30 processing relative to control sausages. Sous vide processing of chicken sausages enriched with rosemary diterpene phenols retained the freshness and quality up to 120 days during storage at 4 ± 1 ℃ relative to control sausages that were spoiled on 20th day. Lipid oxidation and microbial growth remained below the spoilage levels for all the SV-processed sausages throughout the storage and addition of rosemary diterpene mixture at 0.02% v/w reduced the microbial growth and improved (p < 0.05) the sensory attributes. Our results demonstrate that sous vide processing minimizes lipid oxidation and microbial growth of chicken sausages with improved product quality and shelf-life at 4 ± 1 ℃. © The Author(s) 2016.

  17. Micro-miniature gas chromatograph column disposed in silicon wafers

    DOEpatents

    Yu, Conrad M.

    2000-01-01

    A micro-miniature gas chromatograph column is fabricated by forming matching halves of a circular cross-section spiral microcapillary in two silicon wafers and then bonding the two wafers together using visual or physical alignment methods. Heating wires are deposited on the outside surfaces of each wafer in a spiral or serpentine pattern large enough in area to cover the whole microcapillary area inside the joined wafers. The visual alignment method includes etching through an alignment window in one wafer and a precision-matching alignment target in the other wafer. The two wafers are then bonded together using the window and target. The physical alignment methods include etching through vertical alignment holes in both wafers and then using pins or posts through corresponding vertical alignment holes to force precision alignment during bonding. The pins or posts may be withdrawn after curing of the bond. Once the wafers are bonded together, a solid phase of very pure silicone is injected in a solution of very pure chloroform into one end of the microcapillary. The chloroform lowers the viscosity of the silicone enough that a high pressure hypodermic needle with a thumbscrew plunger can force the solution into the whole length of the spiral microcapillary. The chloroform is then evaporated out slowly to leave the silicone behind in a deposit.

  18. Combined effect of vacuum-packaging and oregano essential oil on the shelf-life of Mediterranean octopus (Octopus vulgaris) from the Aegean Sea stored at 4 degrees C.

    PubMed

    Atrea, I; Papavergou, A; Amvrosiadis, I; Savvaidis, I N

    2009-04-01

    The present study evaluated the use of vacuum packaging (alone) or with addition of oregano essential oil (EO), as an antimicrobial treatment for shelf-life extension of fresh Mediterranean octopus stored under refrigeration for a period of 23 days. Four different treatments were tested: A, control sample; under aerobic storage in the absence of oregano essential oil; VP, under vacuum packaging in the absence of oregano essential oil; and VO1, VO2, treated samples with oregano essential oil 0.2 and 0.4% (v/w), respectively, under VP. Of all the microorganisms enumerated, Pseudomonas spp., H2S-producing bacteria and lactic acid bacteria (LAB) were the groups that prevailed in octopus samples, irrespective of antimicrobial treatment. With regard to the chemical freshness indices determined, thiobarbituric acid (TBA) values were low in all octopus samples, as could have been expected from the low fat content of the product. Both trimethylamine nitrogen (TMA-N) and total volatile basic nitrogen (TVB-N) values of oregano treated under VP octopus samples were significantly lower compared to control samples during the entire refrigerated storage period. Based primarily on sensory evaluation (odor), the use of VP, VO1 and VO2 extended the shelf-life of fresh Mediterranean octopus by ca. 3, 11 and 20 days, respectively.

  19. Design Study of Wafer Seals for Future Hypersonic Vehicles

    NASA Technical Reports Server (NTRS)

    Dunlap, Patrick H.; Finkbeiner, Joshua R.; Steinetz, Bruce M.; DeMange, Jeffrey J.

    2005-01-01

    Future hypersonic vehicles require high temperature, dynamic seals in advanced hypersonic engines and on the vehicle airframe to seal the perimeters of movable panels, flaps, and doors. Current seals do not meet the demanding requirements of these applications, so NASA Glenn Research Center is developing improved designs to overcome these shortfalls. An advanced ceramic wafer seal design has shown promise in meeting these needs. Results from a design of experiments study performed on this seal revealed that several installation variables played a role in determining the amount of leakage past the seals. Lower leakage rates were achieved by using a tighter groove width around the seals, a higher seal preload, a tighter wafer height tolerance, and a looser groove length. During flow testing, a seal activating pressure acting behind the wafers combined with simulated vibrations to seat the seals more effectively against the sealing surface and produce lower leakage rates. A seal geometry study revealed comparable leakage for full-scale wafers with 0.125 and 0.25 in. thicknesses. For applications in which lower part counts are desired, fewer 0.25-in.-thick wafers may be able to be used in place of 0.125-in.-thick wafers while achieving similar performance. Tests performed on wafers with a rounded edge (0.5 in. radius) in contact with the sealing surface resulted in flow rates twice as high as those for wafers with a flat edge. Half-size wafers had leakage rates approximately three times higher than those for full-size wafers.

  20. Method and apparatus for in-cell vacuuming of radiologically contaminated materials

    DOEpatents

    Spadaro, Peter R.; Smith, Jay E.; Speer, Elmer L.; Cecconi, Arnold L.

    1987-01-01

    A vacuum air flow operated cyclone separator arrangement for collecting, handling and packaging loose contaminated material in accordance with acceptable radiological and criticality control requirements. The vacuum air flow system includes a specially designed fail-safe prefilter installed upstream of the vacuum air flow power supply. The fail-safe prefilter provides in-cell vacuum system flow visualization and automatically reduces or shuts off the vacuum air flow in the event of an upstream prefilter failure. The system is effective for collecting and handling highly contaminated radiological waste in the form of dust, dirt, fuel element fines, metal chips and similar loose material in accordance with radiological and criticality control requirements for disposal by means of shipment and burial.

  1. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  2. Dissolution of Oxygen Precipitate Nuclei in n-Type CZ-Si Wafers to Improve Their Material Quality: Experimental Results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sopori, Bhushan; Basnyat, Prakash; Devayajanam, Srinivas

    2017-01-01

    We present experimental results which show that oxygen-related precipitate nuclei (OPN) present in p-doped, n-type, Czochralski wafers can be dissolved using a flash-annealing process, yielding very high quality wafers for high-efficiency solar cells. Flash annealing consists of heating a wafer in an optical furnace to temperature between 1150 and 1250 degrees C for a short time. This process produces a large increase in the minority carrier lifetime (MCLT) and homogenizes each wafer. We have tested wafers from different axial locations of two ingots. All wafers reach nearly the same high value of MCLT. The OPN dissolution is confirmed by oxygenmore » analysis using Fourier transform infrared spectra and injection-level dependence of MCLT.« less

  3. Recovery Act: Novel Kerf-Free PV Wafering that provides a low-cost approach to generate wafers from 150um to 50um in thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fong, Theodore E.

    2013-05-06

    The technical paper summarizes the project work conducted in the development of Kerf-Free silicon wafering equipment for silicon solar wafering. This new PolyMax technology uses a two step process of implantation and cleaving to exfoliate 50um to 120um wafers with thicknesses ranging from 50um to 120um from a 125mm or 156mm pseudo-squared silicon ingot. No kerf is generated using this method of wafering. This method of wafering contrasts with the current method of making silicon solar wafers using the industry standard wire saw equipment. The report summarizes the activity conducted by Silicon Genesis Corporation in working to develop this technologymore » further and to define the roadmap specifications for the first commercial proto-type equipment for high volume solar wafer manufacturing using the PolyMax technology.« less

  4. High-κ Al2O3 material in low temperature wafer-level bonding for 3D integration application

    NASA Astrophysics Data System (ADS)

    Fan, J.; Tu, L. C.; Tan, C. S.

    2014-03-01

    This work systematically investigated a high-κ Al2O3 material for low temperature wafer-level bonding for potential applications in 3D microsystems. A clean Si wafer with an Al2O3 layer thickness of 50 nm was applied as our experimental approach. Bonding was initiated in a clean room ambient after surface activation, followed by annealing under inert ambient conditions at 300 °C for 3 h. The investigation consisted of three parts: a mechanical support study using the four-point bending method, hermeticity measurements using the helium bomb test, and thermal conductivity analysis for potential heterogeneous bonding. Compared with samples bonded using a conventional oxide bonding material (SiO2), a higher interfacial adhesion energy (˜11.93 J/m2) and a lower helium leak rate (˜6.84 × 10-10 atm.cm3/sec) were detected for samples bonded using Al2O3. More importantly, due to the excellent thermal conductivity performance of Al2O3, this technology can be used in heterogeneous direct bonding, which has potential applications for enhancing the performance of Si photonic integrated devices.

  5. Applications of the silicon wafer direct-bonding technique to electron devices

    NASA Astrophysics Data System (ADS)

    Furukawa, K.; Nakagawa, A.

    1990-01-01

    A silicon wafer direct-bonding (SDB) technique has been developed. A pair of bare silicon wafers, as well as an oxidized wafer pair, are bonded throughout the wafer surfaces without any bonding material. Conventional semiconductor device processes can be used for the bonded wafers, since the bonded interface is stable thermally, chemically, mechanically and electrically. Therefore, the SDB technique is very attractive, and has been applied to several kinds of electron devices. Bare silicon to bare silicon bonding is an alternative for epitaxial growth. A thick, high quality and high resistivity layer on a low resistivity substrate was obtained without autodoping. 1800 V insulated gate bipolar transistors were developed using these SDB wafers. No electrical resistance was observed at the bonded bare silicon interfaces. If oxidized wafers are bonded, the two wafers are electrically isolated, providing silicon on insulator (SOI) wafers. Dielectrically isolated photodiode arrays were fabricated on the SOI wafers and 500 V power IC's are now being developed.

  6. 11.72-sq cm Active-Area Wafer Interconnected PiN Diode Pulsed at 64 kA Dissipates 382 J and Exhibits an Action of 1.7 MA(sup 2)-s

    DTIC Science & Technology

    2012-01-30

    calculated action exceeded 1.7 MA2 -s. Preliminary efforts on high voltage diode interconnection have produced quarter wafer interconnected PiN...was packaged in a “hockey-puck” configuration and pulsed to 64 kA, dissipating 382 J with a calculated action exceeding 1.7 MA2 -s. II. FULL...epitaxial layers are utilized. 11.72-cm2 Active-area Wafer Interconnected PiN Diode pulsed at 64 kA dissipates 382 J and exhibits an action of 1.7 MA2 -s

  7. Laser furnace and method for zone refining of semiconductor wafers

    NASA Technical Reports Server (NTRS)

    Griner, Donald B. (Inventor); zur Burg, Frederick W. (Inventor); Penn, Wayne M. (Inventor)

    1988-01-01

    A method of zone refining a crystal wafer (116 FIG. 1) comprising the steps of focusing a laser beam to a small spot (120) of selectable size on the surface of the crystal wafer (116) to melt a spot on the crystal wafer, scanning the small laser beam spot back and forth across the surface of the crystal wafer (116) at a constant velocity, and moving the scanning laser beam across a predetermined zone of the surface of the crystal wafer (116) in a direction normal to the laser beam scanning direction and at a selectible velocity to melt and refine the entire crystal wafer (116).

  8. Electrical properties of Si-Si interfaces obtained by room temperature covalent wafer bonding

    NASA Astrophysics Data System (ADS)

    Jung, A.; Zhang, Y.; Arroyo Rojas Dasilva, Y.; Isa, F.; von Känel, H.

    2018-02-01

    We study covalent bonds between p-doped Si wafers (resistivity ˜10 Ω cm) fabricated on a recently developed 200 mm high-vacuum system. Oxide- and void free interfaces were obtained by argon (Ar) or neon (Ne) sputtering prior to wafer bonding at room temperature. The influence of the sputter induced amorphous Si layer at the bonding interface on the electrical behavior is accessed with temperature-dependent current-voltage measurements. In as-bonded structures, charge transport is impeded by a potential barrier of 0.7 V at the interface with thermionic emission being the dominant charge transport mechanism. Current-voltage characteristics are found to be asymmetric which can tentatively be attributed to electric dipole formation at the interface as a result of the time delay between the surface preparation of the two bonding partners. Electron beam induced current measurements confirm the corresponding asymmetric double Schottky barrier like band-alignment. Moreover, we demonstrate that defect annihilation at a low temperature of 400 °C increases the electrical conductivity by up to three orders of magnitude despite the lack of recrystallization of the amorphous layer. This effect is found to be more pronounced for Ne sputtered surfaces which is attributed to the lighter atomic mass compared to Ar, inducing weaker lattice distortions during the sputtering.

  9. Optical cavity furnace for semiconductor wafer processing

    DOEpatents

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  10. Low-temperature wafer direct bonding of silicon and quartz glass by a two-step wet chemical surface cleaning

    NASA Astrophysics Data System (ADS)

    Wang, Chenxi; Xu, Jikai; Zeng, Xiaorun; Tian, Yanhong; Wang, Chunqing; Suga, Tadatomo

    2018-02-01

    We demonstrate a facile bonding process for combining silicon and quartz glass wafers by a two-step wet chemical surface cleaning. After a post-annealing at 200 °C, strong bonding interfaces with no defects or microcracks were obtained. On the basis of the detailed surface and bonding interface characterizations, the bonding mechanism was explored and discussed. The amino groups terminated on the cleaned surfaces might contribute to the bonding strength enhancement during the annealing. This cost-effective bonding process has great potentials for silicon- and glass-based heterogeneous integrations without requiring a vacuum system.

  11. Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Fang, Fang; Vaid, Alok; Vinslava, Alina; Casselberry, Richard; Mishra, Shailendra; Dixit, Dhairya; Timoney, Padraig; Chu, Dinh; Porter, Candice; Song, Da; Ren, Zhou

    2018-03-01

    It is getting more important to monitor all aspects of influencing parameters in critical etch steps and utilize them as tuning knobs for within-wafer uniformity improvement and wafer edge yield enhancement. Meanwhile, we took a dive in pursuing "measuring what matters" and challenged ourselves for more aspects of signals acquired in actual process conditions. Among these factors which are considered subtle previously, we identified Temperature, especially electrostatic chuck (ESC) Temperature measurement in real etch process conditions have direct correlation to in-line measurements. In this work, we used SensArray technique (EtchTemp-SE wafer) to measure ESC temperature profile on a 300mm wafer with plasma turning on to reproduce actual temperature pattern on wafers in real production process conditions. In field applications, we observed substantial correlation between ESC temperature and in-line optical metrology measurements and since temperature is a process factor that can be tuning through set-temperature modulations, we have identified process knobs with known impact on physical profile variations. Furthermore, ESC temperature profile on a 300mm wafer is configured as multiple zones upon radius and SensArray measurements mechanism could catch such zonal distribution as well, which enables detailed temperature modulations targeting edge ring only where most of chips can be harvested and critical zone for yield enhancement. Last but not least, compared with control reference (ESC Temperature in static plasma-off status), we also get additional factors to investigate in chamber-to-chamber matching study and make process tool fleet match on the basis really matters in production. KLA-Tencor EtchTemp-SE wafer enables Plasma On wafer temperature monitoring of silicon etch process. This wafer is wireless and has 65 sensors with measurement range from 20 to 140°C. the wafer is designed to run in real production recipe plasma on condition with maximum RF power up

  12. Novel wafer stepper with violet LED light source

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2014-03-01

    Novel wafer stepper by using contact or proximity printing will be developed, using violet LED light source to replace Hg Arc. lamp or laser. Mirror, filter and condenser lens for Hg Arc. Lamp or laser and reduction lens for projection printing can be discarded. Reliability and manufacturing cost of wafer stepper can be improved. Exposure result by using IP3600 resist and wafer stepper with violet LED light source (wave-length 360nm to 410 nm) will be obtained. This novel wafer stepper can be used for 3DIC, MEMS and bio-chip lithography application by using thin and thick resist with sub-micron to 100 micron thickness.

  13. Apparatus and method for measuring the thickness of a semiconductor wafer

    DOEpatents

    Ciszek, Theodoer F.

    1995-01-01

    Apparatus for measuring thicknesses of semiconductor wafers, comprising: housing means for supporting a wafer in a light-tight environment; a light source mounted to the housing at one side of the wafer to emit light of a predetermined wavelength to normally impinge the wafer; a light detector supported at a predetermined distance from a side of the wafer opposite the side on which a light source impinges and adapted to receive light transmitted through the wafer; and means for measuring the transmitted light.

  14. [Assessment of the probability of encountering staphylococcal enterotoxins in lactic acid cheese packaged in laminates].

    PubMed

    Steinka, Izabela

    2004-01-01

    Immunoassay methods were used to identify the presence of staphylococcal enterotoxins in lactic acid cheese vacuum and non-vacuum packed. There was assessed the probability of encountering staphylococcal enterotoxin in cheese dependent on different systems of packaging, count of staphylococcal cells, intensiveness of coagulase synthesis and tightness of packaging. The presence of enterotoxin was identified in 5% of researched samples of products stored for 14 days. The influence of packaging system and tightness on presence of enterotoxin was observed. The probability of presence of staphylococcal and enterotoxin in relation to researched factors was presented by the mathematical models.

  15. Wafer-level fabrication of arrays of glass lens doublets

    NASA Astrophysics Data System (ADS)

    Passilly, Nicolas; Perrin, Stéphane; Albero, Jorge; Krauter, Johann; Gaiffe, Olivier; Gauthier-Manuel, Ludovic; Froehly, Luc; Lullin, Justine; Bargiel, Sylwester; Osten, Wolfgang; Gorecki, Christophe

    2016-04-01

    Systems for imaging require to employ high quality optical components in order to dispose of optical aberrations and thus reach sufficient resolution. However, well-known methods to get rid of optical aberrations, such as aspherical profiles or diffractive corrections are not easy to apply to micro-optics. In particular, some of these methods rely on polymers which cannot be associated when such lenses are to be used in integrated devices requiring high temperature process for their further assembly and separation. Among the different approaches, the most common is the lens splitting that consists in dividing the focusing power between two or more optical components. In here, we propose to take advantage of a wafer-level technique, devoted to the generation of glass lenses, which involves thermal reflow in silicon cavities to generate lens doublets. After the convex lens sides are generated, grinding and polishing of both stack sides allow, on the first hand, to form the planar lens backside and, on the other hand, to open the silicon cavity. Nevertheless, silicon frames are then kept and thinned down to form well-controlled and auto-aligned spacers between the lenses. Subsequent accurate vertical assembly of the glass lens arrays is performed by anodic bonding. The latter ensures a high level of alignment both laterally and axially since no additional material is required. Thanks to polishing, the generated lens doublets are then as thin as several hundreds of microns and compatible with micro-opto-electro-systems (MOEMS) technologies since they are only made of glass and silicon. The generated optical module is then robust and provide improved optical performances. Indeed, theoretically, two stacked lenses with similar features and spherical profiles can be almost diffraction limited whereas a single lens characterized by the same numerical aperture than the doublet presents five times higher wavefront error. To demonstrate such assumption, we fabricated glass

  16. Particulate contamination removal from wafers using plasmas and mechanical agitation

    DOEpatents

    Selwyn, G.S.

    1998-12-15

    Particulate contamination removal from wafers is disclosed using plasmas and mechanical agitation. The present invention includes the use of plasmas with mechanical agitation for removing particulate matter from the surface of a wafer. The apparatus hereof comprises a mechanical activator, at least one conducting contact pin for transferring the vibration from the activator to the wafer, clamp fingers that maintain the wafer`s position, and means for generating a plasma in the vicinity of the surface of the wafer, all parts of the cleaning apparatus except the mechanical activator and part of the contact pin being contained inside the processing chamber. By exposing a wafer to a plasma and providing motion thereto in a direction perpendicular to its surface, the bonding between the particulate matter and the surface may be overcome. Once free of the wafer surface, the particulates become charged by electrons from the plasma and are drawn into the plasma by attractive forces which keep them from redepositing. The introduction of a flowing gas through the plasma sweeps the particulates away from the wafer and out of the plasma. The entire surface is cleaned during one cleaning step. The use of an rf plasma to accomplish the particulate removal was found to remove more than 90% of the particulates. 4 figs.

  17. Apparatus and method for measuring the thickness of a semiconductor wafer

    DOEpatents

    Ciszek, T.F.

    1995-03-07

    Apparatus for measuring thicknesses of semiconductor wafers is discussed, comprising: housing means for supporting a wafer in a light-tight environment; a light source mounted to the housing at one side of the wafer to emit light of a predetermined wavelength to normally impinge the wafer; a light detector supported at a predetermined distance from a side of the wafer opposite the side on which a light source impinges and adapted to receive light transmitted through the wafer; and means for measuring the transmitted light. 4 figs.

  18. Innovative on-chip packaging applied to uncooled IRFPA

    NASA Astrophysics Data System (ADS)

    Dumont, Geoffroy; Arnaud, Agnès; Impérinetti, Pierre; Vialle, Claire; Rabaud, Wilfried; Goudon, Valérie; Yon, Jean-Jacques

    2008-04-01

    The Laboratoire Infrarouge (LIR) of the Laboratoire d'Electronique et de Technologie de l'Information (LETI) has been involved in the development of microbolometers for over fifteen years. Two generations of technology have been transferred to ULIS and LETI is still working to improve performances of low cost detectors. Simultaneously, packaging still represents a significant part of detectors price. Reducing production costs would contribute to keep on extending applications of uncooled IRFPA to high volume markets like automotive. Therefore LETI is developing an on-chip packaging technology dedicated to microbolometers. This paper presents an original microcap structure that enables the use of IR window materials as sealing layers to maintain the expected vacuum level. The modelling and integration of an IR window suitable for this structure is also presented. This monolithic packaging technology is performed in a standard collective way, in continuation of bolometers' technology. The CEA-LETI, MINATEC presents status of these developments concerning this innovating technology including optical simulations results and SEM views of technical realizations.

  19. Wafer edge overlay control solution for N7 and beyond

    NASA Astrophysics Data System (ADS)

    van Haren, Richard; Calado, Victor; van Dijk, Leon; Hermans, Jan; Kumar, Kaushik; Yamashita, Fumiko

    2018-03-01

    Historically, the on-product overlay performance close to the wafer edge is lagging with respect to the inner part of the wafer. The reason for this is that wafer processing is less controlled close to the wafer edge as opposed to the rest of the wafer. It is generally accepted that Chemical Vapor Deposition (CVD) of stressed layers that cause wafer warp, wafer table contamination, Chemical Mechanical Polishing (CMP), and Reactive Ion Etch (RIE) may deteriorate the overlay performance and/or registration close to the wafer edge. For the N7 technology node and beyond, it is anticipated that the tight on-product overlay specification is required across the full wafer which includes the edge region. In this work, we highlight one contributor that may negatively impact the on-product overlay performance, namely the etch step. The focus will be mainly on the wafer edge region but the remaining part of the wafer is considered as well. Three use-cases are examined: multiple Litho-Etch steps (LEn), contact hole layer etch, and the copper dual damascene etch. We characterize the etch contribution by considering the overlay measurement after resist development inspect (ADI) and after etch inspect (AEI). We show that the Yieldstar diffraction based overlay (μDBO) measurements can be utilized to characterize the etch contribution to the overlay budget. The effects of target asymmetry as well as overlay shifts are considered and compared with SEM measurements. Based on the results above, we propose a control solution aiming to reduce or even eliminate the delta between ADI and AEI. By doing so, target/mark to device offsets due to etch might be avoided.

  20. SBML Level 3 package: Hierarchical Model Composition, Version 1 Release 3

    PubMed Central

    Smith, Lucian P.; Hucka, Michael; Hoops, Stefan; Finney, Andrew; Ginkel, Martin; Myers, Chris J.; Moraru, Ion; Liebermeister, Wolfram

    2017-01-01

    Summary Constructing a model in a hierarchical fashion is a natural approach to managing model complexity, and offers additional opportunities such as the potential to re-use model components. The SBML Level 3 Version 1 Core specification does not directly provide a mechanism for defining hierarchical models, but it does provide a mechanism for SBML packages to extend the Core specification and add additional syntactical constructs. The SBML Hierarchical Model Composition package for SBML Level 3 adds the necessary features to SBML to support hierarchical modeling. The package enables a modeler to include submodels within an enclosing SBML model, delete unneeded or redundant elements of that submodel, replace elements of that submodel with element of the containing model, and replace elements of the containing model with elements of the submodel. In addition, the package defines an optional “port” construct, allowing a model to be defined with suggested interfaces between hierarchical components; modelers can chose to use these interfaces, but they are not required to do so and can still interact directly with model elements if they so chose. Finally, the SBML Hierarchical Model Composition package is defined in such a way that a hierarchical model can be “flattened” to an equivalent, non-hierarchical version that uses only plain SBML constructs, thus enabling software tools that do not yet support hierarchy to nevertheless work with SBML hierarchical models. PMID:26528566

  1. Automated reticle inspection data analysis for wafer fabs

    NASA Astrophysics Data System (ADS)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2008-10-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity DefecTM data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  2. Automated reticle inspection data analysis for wafer fabs

    NASA Astrophysics Data System (ADS)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-04-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity Defect(R) data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  3. Automated reticle inspection data analysis for wafer fabs

    NASA Astrophysics Data System (ADS)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-03-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity DefectTM data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  4. Electrochemical method for defect delineation in silicon-on-insulator wafers

    DOEpatents

    Guilinger, Terry R.; Jones, Howland D. T.; Kelly, Michael J.; Medernach, John W.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    An electrochemical method for defect delineation in thin-film SOI or SOS wafers in which a surface of a silicon wafer is electrically connected so as to control the voltage of the surface within a specified range, the silicon wafer is then contacted with an electrolyte, and, after removing the electrolyte, defects and metal contamination in the silicon wafer are identified.

  5. Digital Platform for Wafer-Level MEMS Testing and Characterization Using Electrical Response

    PubMed Central

    Brito, Nuno; Ferreira, Carlos; Alves, Filipe; Cabral, Jorge; Gaspar, João; Monteiro, João; Rocha, Luís

    2016-01-01

    The uniqueness of microelectromechanical system (MEMS) devices, with their multiphysics characteristics, presents some limitations to the borrowed test methods from traditional integrated circuits (IC) manufacturing. Although some improvements have been performed, this specific area still lags behind when compared to the design and manufacturing competencies developed over the last decades by the IC industry. A complete digital solution for fast testing and characterization of inertial sensors with built-in actuation mechanisms is presented in this paper, with a fast, full-wafer test as a leading ambition. The full electrical approach and flexibility of modern hardware design technologies allow a fast adaptation for other physical domains with minimum effort. The digital system encloses a processor and the tailored signal acquisition, processing, control, and actuation hardware control modules, capable of the structure position and response analysis when subjected to controlled actuation signals in real time. The hardware performance, together with the simplicity of the sequential programming on a processor, results in a flexible and powerful tool to evaluate the newest and fastest control algorithms. The system enables measurement of resonant frequency (Fr), quality factor (Q), and pull-in voltage (Vpi) within 1.5 s with repeatability better than 5 ppt (parts per thousand). A full-wafer with 420 devices under test (DUTs) has been evaluated detecting the faulty devices and providing important design specification feedback to the designers. PMID:27657087

  6. Digital Platform for Wafer-Level MEMS Testing and Characterization Using Electrical Response.

    PubMed

    Brito, Nuno; Ferreira, Carlos; Alves, Filipe; Cabral, Jorge; Gaspar, João; Monteiro, João; Rocha, Luís

    2016-09-21

    The uniqueness of microelectromechanical system (MEMS) devices, with their multiphysics characteristics, presents some limitations to the borrowed test methods from traditional integrated circuits (IC) manufacturing. Although some improvements have been performed, this specific area still lags behind when compared to the design and manufacturing competencies developed over the last decades by the IC industry. A complete digital solution for fast testing and characterization of inertial sensors with built-in actuation mechanisms is presented in this paper, with a fast, full-wafer test as a leading ambition. The full electrical approach and flexibility of modern hardware design technologies allow a fast adaptation for other physical domains with minimum effort. The digital system encloses a processor and the tailored signal acquisition, processing, control, and actuation hardware control modules, capable of the structure position and response analysis when subjected to controlled actuation signals in real time. The hardware performance, together with the simplicity of the sequential programming on a processor, results in a flexible and powerful tool to evaluate the newest and fastest control algorithms. The system enables measurement of resonant frequency (Fr), quality factor (Q), and pull-in voltage (Vpi) within 1.5 s with repeatability better than 5 ppt (parts per thousand). A full-wafer with 420 devices under test (DUTs) has been evaluated detecting the faulty devices and providing important design specification feedback to the designers.

  7. Modelling deformation and fracture in confectionery wafers

    NASA Astrophysics Data System (ADS)

    Mohammed, Idris K.; Charalambides, Maria N.; Williams, J. Gordon; Rasburn, John

    2015-01-01

    The aim of this research is to model the deformation and fracture behaviour of brittle wafers often used in chocolate confectionary products. Three point bending and compression experiments were performed on beam and circular disc samples respectively to determine the 'apparent' stress-strain curves in bending and compression. The deformation of the wafer for both these testing types was observed in-situ within an SEM. The wafer is modeled analytically and numerically as a composite material with a core which is more porous than the skins. X-ray tomography was used to generate a three dimensional volume of the wafer microstructure which was then meshed and used for quantitative analysis. A linear elastic material model, with a damage function and element deletion, was used and the XMT generated architecture was loaded in compression. The output from the FE simulations correlates closely to the load-deflection deformation observed experimentally.

  8. Modular packaging concept for MEMS and MOEMS

    NASA Astrophysics Data System (ADS)

    Stenchly, Vanessa; Reinert, Wolfgang; Quenzer, Hans-Joachim

    2017-11-01

    Wherever technical systems detect objects in their environment or interact with people, optical devices may play an important role. Light can be relatively easily produced and spatially and temporally modulated. Laser can project sharp images over long distances or cut materials in short distances. Depending on the wavelength an invisible scanning in near infrared for gesture recognition is possible as well as a projection of brilliant colour images. For several years, the Fraunhofer ISIT develops Opto-Packaging processes based on the viscous reshaping of glass wafers: First, hermetically sealed laser micro-mirror scanners WLP with inclined windows deflect in the central light reflex of the window out of the image area. Second, housing with lateral light exit permits hermetic sealing of edge-emitting lasers for highest reliability and durability. Such systems are currently experiencing an extremely high interest of the industry in all segments, from consumer to automotive through to materials processing. Our modular Opto-Packaging platform enables fast product developments. Housing for opto mechanical MEMS devices are equipped with inclined windows to minimize distortion, stray light and reflection losses. The hot viscous glass forming technology is also applied to functionalized substrate wafers which possess areas with high heat dissipation in addition to thermally insulating areas. Electrical contacts may be realized with metal filled vias or TGV (Through Glass Vias). The modular system reduces the development times for new, miniaturized optical systems so that manufacturers can focus on the essentials in their development, namely their product functionalities.

  9. Performance of a novel wafer scale CMOS active pixel sensor for bio-medical imaging.

    PubMed

    Esposito, M; Anaxagoras, T; Konstantinidis, A C; Zheng, Y; Speller, R D; Evans, P M; Allinson, N M; Wells, K

    2014-07-07

    Recently CMOS active pixels sensors (APSs) have become a valuable alternative to amorphous silicon and selenium flat panel imagers (FPIs) in bio-medical imaging applications. CMOS APSs can now be scaled up to the standard 20 cm diameter wafer size by means of a reticle stitching block process. However, despite wafer scale CMOS APS being monolithic, sources of non-uniformity of response and regional variations can persist representing a significant challenge for wafer scale sensor response. Non-uniformity of stitched sensors can arise from a number of factors related to the manufacturing process, including variation of amplification, variation between readout components, wafer defects and process variations across the wafer due to manufacturing processes. This paper reports on an investigation into the spatial non-uniformity and regional variations of a wafer scale stitched CMOS APS. For the first time a per-pixel analysis of the electro-optical performance of a wafer CMOS APS is presented, to address inhomogeneity issues arising from the stitching techniques used to manufacture wafer scale sensors. A complete model of the signal generation in the pixel array has been provided and proved capable of accounting for noise and gain variations across the pixel array. This novel analysis leads to readout noise and conversion gain being evaluated at pixel level, stitching block level and in regions of interest, resulting in a coefficient of variation ⩽1.9%. The uniformity of the image quality performance has been further investigated in a typical x-ray application, i.e. mammography, showing a uniformity in terms of CNR among the highest when compared with mammography detectors commonly used in clinical practice. Finally, in order to compare the detection capability of this novel APS with the technology currently used (i.e. FPIs), theoretical evaluation of the detection quantum efficiency (DQE) at zero-frequency has been performed, resulting in a higher DQE for this

  10. The effect of temperature during retail display on the colour stability of CO pretreated vacuum packaged beef steaks.

    PubMed

    Van Rooyen, Lauren Anne; Allen, Paul; Gallagher, Eimear I; O'Connor, David I

    2018-05-24

    The effect of CO pretreatments applied to beef striploin steaks (Longissimus thoracis et lumborum, LTL) prior to vacuum packaging and display temperature on colour stability, shelf life and tenderness was determined. Steaks were exposed to 5% CO, 60% CO 2 and 35% N 2 for 3 (CO3), 5 (CO5) or 7 (CO7) h, followed by 28 days display at 2 °C (good industry practice) or 6 °C (mild abuse). CO5 was the optimum exposure time as it induced the desirable colour while not retaining the bright colour, irrespective of display temperature. K/S ratios confirmed that CO pretreatment did not mask spoilage and could be more sensitive than colour parameters at monitoring discoloration as colour was not retained. Exposure to CO did not have any negative effect on meat quality attributes, while mild temperature abuse (6 °C) increased purge loss and decreased pH. Copyright © 2018 Elsevier Ltd. All rights reserved.

  11. Development of megasonic cleaning for silicon wafers

    NASA Technical Reports Server (NTRS)

    Mayer, A.

    1980-01-01

    A cleaning and drying system for processing at least 2500 three in. diameter wafers per hour was developed with a reduction in process cost. The system consists of an ammonia hydrogen peroxide bath in which both surfaces of 3/32 in. spaced, ion implanted wafers are cleaned in quartz carriers moved on a belt past two pairs of megasonic transducers. The wafers are dried in the novel room temperature, high velocity air dryer in the same carriers used for annealing. A new laser scanner was used effectively to monitor the cleaning ability on a sampling basis.

  12. Modelling deformation and fracture in confectionery wafers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohammed, Idris K.; Charalambides, Maria N.; Williams, J. Gordon

    2015-01-22

    The aim of this research is to model the deformation and fracture behaviour of brittle wafers often used in chocolate confectionary products. Three point bending and compression experiments were performed on beam and circular disc samples respectively to determine the 'apparent' stress-strain curves in bending and compression. The deformation of the wafer for both these testing types was observed in-situ within an SEM. The wafer is modeled analytically and numerically as a composite material with a core which is more porous than the skins. X-ray tomography was used to generate a three dimensional volume of the wafer microstructure which wasmore » then meshed and used for quantitative analysis. A linear elastic material model, with a damage function and element deletion, was used and the XMT generated architecture was loaded in compression. The output from the FE simulations correlates closely to the load-deflection deformation observed experimentally.« less

  13. Controllable laser thermal cleavage of sapphire wafers

    NASA Astrophysics Data System (ADS)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  14. Particulate contamination removal from wafers using plasmas and mechanical agitation

    DOEpatents

    Selwyn, Gary S.

    1998-01-01

    Particulate contamination removal from wafers using plasmas and mechanical agitation. The present invention includes the use of plasmas with mechanical agitation for removing particulate matter from the surface of a wafer. The apparatus hereof comprises a mechanical activator, at least one conducting contact pin for transferring the vibration from the activator to the wafer, clamp fingers that maintain the wafer's position, and means for generating a plasma in the vicinity of the surface of the wafer, all parts of the cleaning apparatus except the mechanical activator and part of the contact pin being contained inside the processing chamber. By exposing a wafer to a plasma and providing motion thereto in a direction perpendicular to its surface, the bonding between the particulate matter and the surface may be overcome. Once free of the wafer surface, the particulates become charged by electrons from the plasma and are drawn into the plasma by attractive forces which keep them from redepositing. The introduction of a flowing gas through the plasma sweeps the particulates away from the wafer and out of the plasma. The entire surface is cleaned during one cleaning step. The use of an rf plasma to accomplish the particulate removal was found to remove more than 90% of the particulates.

  15. Guided ultrasonic wave beam skew in silicon wafers

    NASA Astrophysics Data System (ADS)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2018-04-01

    In the photovoltaic industry, monocrystalline silicon wafers are employed for solar cells with high conversion efficiency. Micro-cracks induced by the cutting process in the thin wafers can lead to brittle wafer fracture. Guided ultrasonic waves would offer an efficient methodology for the in-process non-destructive testing of wafers to assess micro-crack density. The material anisotropy of the monocrystalline silicon leads to variations of the guided wave characteristics, depending on the propagation direction relative to the crystal orientation. Selective guided ultrasonic wave excitation was achieved using a contact piezoelectric transducer with custom-made wedges for the A0 and S0 Lamb wave modes and a transducer holder to achieve controlled contact pressure and orientation. The out-of-plane component of the guided wave propagation was measured using a non-contact laser interferometer. The phase slowness (velocity) of the two fundamental Lamb wave modes was measured experimentally for varying propagation directions relative to the crystal orientation and found to match theoretical predictions. Significant wave beam skew was observed experimentally, especially for the S0 mode, and investigated from 3D finite element simulations. Good agreement was found with the theoretical predictions based on nominal material properties of the silicon wafer. The important contribution of guided wave beam skewing effects for the non-destructive testing of silicon wafers was demonstrated.

  16. Antimicrobial activity of several herb and spice extracts in culture medium and in vacuum-packaged pork.

    PubMed

    Kong, Baohua; Wang, Jinzhi; Xiong, Youling L

    2007-03-01

    Extracts prepared from honeysuckle, Scutellaria, Forsythia suspensa (Thunb), cinnamon, and rosemary with 75% ethanol and from clove oil dissolved in 75% ethanol were applied to inoculated agar media to observe their inhibitory effects on the growth of Escherichia coli, Pseudomonas fluorescens, and Lactobacillus plantarum. All the extracts suppressed the growth of these bacteria; Scutellaria exhibited the strongest effect against E. coli. An orthogonal test revealed that the most effective antimicrobial composite extracts were equal-volume mixtures of 0.125 g/ml Scutellaria + 0.5 g/ml honeysuckle + 0.125 g/ml Forsythia + 0.25 g/ml cinnamon and 0.25 g/ml cinnamon + 0.125 g/ml rosemary + 0.25% clove oil. These mixed extracts also produced strong antimicrobial effects in vacuum-packaged fresh pork, with 1.81- to 2.32-log reductions in microbial counts compared with the control when stored for up to 28 days. The sensory panel detected minimal differences in surface color and off-odors between meat samples treated with herb-spice extracts and the control. These results indicate that combined herb and spice extracts can be used as natural antimicrobials for food preservation.

  17. Modified Atmosphere Packaging and Its Feasibility for Military Feeding Systems

    DTIC Science & Technology

    1994-12-01

    must be taken in all food processing . There are special precautions for NAP foods because a MAP food will not be sterilized and contamination or...Food Engineering. October: 62-63. 3 Rice, J., 1989. Modified Atmosphere Packaging. Food Processing . March: 60-76. 4 Coulon, M., and P. Louis, 1989...Prepared Foods. May:131. 9 Rice, J., 1989. Gas-Emitting Wafers: A Cost Effective NAP Approach. Food Processing . September:42. 10 Rice, J., 1991

  18. Radiation sensitivity of foodborne pathogens in meat byproducts with different packaging

    NASA Astrophysics Data System (ADS)

    Yong, Hae In; Kim, Hyun-Joo; Nam, Ki Chang; Kwon, Joong Ho; Jo, Cheorun

    2015-10-01

    The aim of this study was to determine radiation sensitivity of Escherichia coli O157:H7 and Listeria monocytogenes in edible meat byproducts. Seven beef byproducts (heart, liver, lung, lumen, omasum, large intestine, and small intestine) and four pork byproducts (heart, large intestine, liver, and small intestine) were used. Electron beam irradiation significantly reduced the numbers of pathogenic microorganisms in meat byproducts and no viable cells were detected in both aerobically- and vacuum-packaged samples irradiated at 4 kGy. Meat byproducts packed under vacuum had higher D10 value than the ones packed aerobically. No significant difference was observed between the D10 values of E. coli O157:H7 and L. monocytogenes inoculated in either aerobically or vacuum packaged samples. These results suggest that low-dose electron beam irradiation can significantly decrease microbial numbers and reduce the risk of meat byproduct contamination by the foodborne pathogens.

  19. Hermetic Encapsulation of Nanoenergetic Porous Silicon Wafer by Parylene

    DTIC Science & Technology

    2014-08-01

    Hermetic Encapsulation of Nanoenergetic Porous Silicon Wafer by Parylene by Eugene Zakar, Wayne Churaman, Collin Becker, Bernard Rod, Luke...Laboratory Adelphi, MD 20783-1138 ARL-TR-7025 August 2014 Hermetic Encapsulation of Nanoenergetic Porous Silicon Wafer by Parylene...Hermetic Encapsulation of Nanoenergetic Porous Silicon Wafer by Parylene 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6

  20. Cohesive zone model for direct silicon wafer bonding

    NASA Astrophysics Data System (ADS)

    Kubair, D. V.; Spearing, S. M.

    2007-05-01

    Direct silicon wafer bonding and decohesion are simulated using a spectral scheme in conjunction with a rate-dependent cohesive model. The cohesive model is derived assuming the presence of a thin continuum liquid layer at the interface. Cohesive tractions due to the presence of a liquid meniscus always tend to reduce the separation distance between the wafers, thereby opposing debonding, while assisting the bonding process. In the absence of the rate-dependence effects the energy needed to bond a pair of wafers is equal to that needed to separate them. When rate-dependence is considered in the cohesive law, the experimentally observed asymmetry in the energetics can be explained. The derived cohesive model has the potential to form a bridge between experiments and a multiscale-modelling approach to understand the mechanics of wafer bonding.

  1. Evaluation of a cyanoacrylate dressing to manage peristomal skin alterations under ostomy skin barrier wafers.

    PubMed

    Milne, Catherine T; Saucier, Darlene; Trevellini, Chenel; Smith, Juliet

    2011-01-01

    Peristomal skin alterations under ostomy barrier wafers are a commonly reported problem. While a number of interventions to manage this issue have been reported, the use of a topically applied cyanoacrylate has received little attention. This case series describes the use of a topical cyanoacrylate for the management of peristomal skin alterations in persons living with an ostomy. Using a convenience sample, the topical cyanoacrylate dressing was applied to 11 patients with peristomal skin disruption under ostomy wafers in acute care and outpatient settings. The causes of barrier function interruption were also addressed to enhance outcomes. Patients were assessed for wound discomfort using a Likert Scale, time to healing, and number of appliance changes. Patient satisfaction was also examined. Average reported discomfort levels were 9.5 out of 10 at the initial peristomal irritation assessment visit decreased to 3.5 at the first wafer change and were absent by the second wafer change. Wafers had increasing wear time between changes in both settings with acute care patients responding faster. Epidermal resurfacing occurred within 10.2 days in outpatients and within 7 days in acute care patients. Because of the skin sealant action of this dressing, immediate adherence of the wafer was reported at all pouch changes.

  2. Improved Thermal-Vacuum Compatible Flat Plate Radiometric Source For System-Level Testing Of Optical Sensors

    NASA Technical Reports Server (NTRS)

    Schwarz, Mark A.; Kent, Craig J.; Bousquet, Robert; Brown, Steven W.

    2016-01-01

    In this work, we describe an improved thermal-vacuum compatible flat plate radiometric source which has been developed and utilized for the characterization and calibration of remote optical sensors. This source is unique in that it can be used in situ, in both ambient and thermal-vacuum environments, allowing it to follow the sensor throughout its testing cycle. The performance of the original flat plate radiometric source was presented at the 2009 SPIE1. Following the original efforts, design upgrades were incorporated into the source to improve both radiometric throughput and uniformity. The pre-thermal-vacuum (pre-TVAC) testing results of a spacecraft-level optical sensor with the improved flat plate illumination source, both in ambient and vacuum environments, are presented. We also briefly discuss potential FPI configuration changes in order to improve its radiometric performance.

  3. Evidence of Processing Non-Idealities in 4H-SiC Integrated Circuits Fabricated with Two Levels of Metal Interconnect

    NASA Technical Reports Server (NTRS)

    Spry, David J.; Neudeck, Philip G.; Liangyu, Chen; Evans, Laura J.; Lukco, Dorothy; Chang, Carl W.; Beheim, Glenn M.

    2015-01-01

    The fabrication and prolonged 500 C electrical testing of 4H-SiC junction field effect transistor (JFET) integrated circuits (ICs) with two levels of metal interconnect is reported in another submission to this conference proceedings. While some circuits functioned more than 1000 hours at 500 C, the majority of packaged ICs from this wafer electrically failed after less than 200 hours of operation in the same test conditions. This work examines the root physical degradation and failure mechanisms believed responsible for observed large discrepancies in 500 C operating time. Evidence is presented for four distinct issues that significantly impacted 500 C IC operational yield and lifetime for this wafer.

  4. Evidence of Processing Non-Idealities in 4H-SiC Integrated Circuits Fabricated With Two Levels of Metal Interconnect

    NASA Technical Reports Server (NTRS)

    Spry, David J.; Neudeck, Philip G.; Chen, Liangyu; Evans, Laura J.; Lukco, Dorothy; Chang, Carl W.; Beheim, Glenn M.

    2015-01-01

    The fabrication and prolonged 500 C electrical testing of 4H-SiC junction field effect transistor (JFET) integrated circuits (ICs) with two levels of metal interconnect is reported in another submission to this conference proceedings. While some circuits functioned more than 3000 hours at 500 C, the majority of packaged ICs from this wafer electrically failed after less than 200 hours of operation in the same test conditions. This work examines the root physical degradation and failure mechanisms believed responsible for observed large discrepancies in 500 C operating time. Evidence is presented for four distinct issues that significantly impacted 500 C IC operational yield and lifetime for this wafer.

  5. Imaging ATUM ultrathin section libraries with WaferMapper: a multi-scale approach to EM reconstruction of neural circuits

    PubMed Central

    Hayworth, Kenneth J.; Morgan, Josh L.; Schalek, Richard; Berger, Daniel R.; Hildebrand, David G. C.; Lichtman, Jeff W.

    2014-01-01

    The automated tape-collecting ultramicrotome (ATUM) makes it possible to collect large numbers of ultrathin sections quickly—the equivalent of a petabyte of high resolution images each day. However, even high throughput image acquisition strategies generate images far more slowly (at present ~1 terabyte per day). We therefore developed WaferMapper, a software package that takes a multi-resolution approach to mapping and imaging select regions within a library of ultrathin sections. This automated method selects and directs imaging of corresponding regions within each section of an ultrathin section library (UTSL) that may contain many thousands of sections. Using WaferMapper, it is possible to map thousands of tissue sections at low resolution and target multiple points of interest for high resolution imaging based on anatomical landmarks. The program can also be used to expand previously imaged regions, acquire data under different imaging conditions, or re-image after additional tissue treatments. PMID:25018701

  6. Wafering economies for industrialization from a wafer manufacturer's viewpoint

    NASA Technical Reports Server (NTRS)

    Rosenfield, T. P.; Fuerst, F. P.

    1982-01-01

    The key technical limitations which inhibit the lowering of value-added costs for state-of-the-art wafering techniques are assessed. From the best experimental results to date, a projection was made to identify those parts of each system which need to be developed in order to meet or improve upon the value-added cost reduction necessary for $0.70/Wp photovoltaics modules.

  7. Fabrication of uniform nanoscale cavities via silicon direct wafer bonding.

    PubMed

    Thomson, Stephen R D; Perron, Justin K; Kimball, Mark O; Mehta, Sarabjit; Gasparini, Francis M

    2014-01-09

    Measurements of the heat capacity and superfluid fraction of confined (4)He have been performed near the lambda transition using lithographically patterned and bonded silicon wafers. Unlike confinements in porous materials often used for these types of experiments(3), bonded wafers provide predesigned uniform spaces for confinement. The geometry of each cell is well known, which removes a large source of ambiguity in the interpretation of data. Exceptionally flat, 5 cm diameter, 375 µm thick Si wafers with about 1 µm variation over the entire wafer can be obtained commercially (from Semiconductor Processing Company, for example). Thermal oxide is grown on the wafers to define the confinement dimension in the z-direction. A pattern is then etched in the oxide using lithographic techniques so as to create a desired enclosure upon bonding. A hole is drilled in one of the wafers (the top) to allow for the introduction of the liquid to be measured. The wafers are cleaned(2) in RCA solutions and then put in a microclean chamber where they are rinsed with deionized water(4). The wafers are bonded at RT and then annealed at ~1,100 °C. This forms a strong and permanent bond. This process can be used to make uniform enclosures for measuring thermal and hydrodynamic properties of confined liquids from the nanometer to the micrometer scale.

  8. Sodium chloride-induced filamentation and alternative gene expression of fts, murZ, and gnd in Listeria monocytogenes 08-5923 on vacuum-packaged ham.

    PubMed

    Liu, Xiaoji; Miller, Petr; Basu, Urmila; McMullen, Lynn M

    2014-11-01

    The aim of this study was to examine the filament formation and differential gene expression of Listeria monocytogenes 08-5923 grown on refrigerated vacuum-packaged ham products with various NaCl concentrations. Filament formation of L. monocytogenes was observed on ham products with 1.35% and 2.35% NaCl, which was monitored using flow cytometry by measuring forward light scatter. Quantitative real-time PCR was used to study the differential expression of genes in filamented cells of L. monocytogenes grown on hams following 2 or 3 months of storage at 4 °C. The genes involved in cell division (ftsX/lmo2506), cell wall synthesis (murZ/lmo2552), and NADPH production (gnd/lmo1376) were significantly downregulated in filamented cells of L. monocytogenes grown on ham with 2.35% NaCl stored at 4 °C. To our knowledge, this study reports the first evidence of filament formation of Listeria grown on meat products, which could impact the food safety risk and tolerance levels of L. monocytogenes set by regulatory agencies. © 2014 Federation of European Microbiological Societies. Published by John Wiley & Sons Ltd. All rights reserved.

  9. Compensating measured intra-wafer ring oscillator stage delay with intra-wafer exposure dose corrections

    NASA Astrophysics Data System (ADS)

    Verhaegen, Staf; Nackaerts, Axel; Dusa, Mircea; Carpaij, Rene; Vandenberghe, Geert; Finders, Jo

    2006-03-01

    The purpose of this paper is to use measurements on real working devices to derive more information than typically measured by the classic line-width measurement techniques. The first part of the paper will discuss the principle of the measurements with a ring oscillator, a circuit used to measure the speed of elementary logic gates. These measurements contribute to the understanding of the exact timing dependencies in circuits, which is of utmost importance for the design and simulation of these circuits. When connecting an odd number of digital inverting stages in a ring, the circuit has no stable digital state but acts as an analog oscillator with the oscillation frequency dependent on the analog propagation delay of the signals through the stages. By varying some conditions during a litho step, the delay change caused by the process condition change can be measured very accurately. The response of the ring oscillator delay to exposure dose is measured and presented in this paper together with a comparison of measured line-width values of the poly gate lines. The second part of the paper will focus on improving the intra-wafer variation of the stage delay. A number of ring oscillators are put in a design at different slit and scan locations. 200mm wafers are processed with 48 full dies present. From the intra-wafer delay fingerprint and the dose sensitivity of the delay an intra-wafer dose correction, also called a dose recipe, is calculated. This dose recipe is used on the scanner to compensate for effects that are the root cause for the delay profile; including reticle and processing such as track, etch and annealing.

  10. PDMS spreading morphological patterns on substrates of different hydrophilicity in air vacuum and water.

    PubMed

    Zbik, Marek S; Frost, Ray L

    2010-04-15

    In paper has been to investigate the morphological patterns and kinetics of PDMS spreading on silicon wafer using combination of techniques like ellipsometry, atomic force microscope (AFM), scanning electron microscope (SEM) and optical microscopy. A macroscopic silicone oil drops as well as PDMS water based emulsions were studied after deposition on a flat surface of silicon wafer in air, water and vacuum. Our own measurements using an imaging ellipsometer, which also clearly shows the presence of a precursor film. The diffusion constant of this film, measured with a 60,000 cS PDMS sample spreading on a hydrophilic silicon wafer is D(f)=1.4x10(-11) m(2)/s. Regardless of their size, density and method of deposition, droplets on both types of wafer (hydrophilic and hydrophobic) flatten out over a period of many hours, up to 3 days. During this process neighbouring droplets may coalesce, but there is strong evidence that some of the PDMS from the droplets migrates into a thin, continuous film that covers the surface in between droplets. The thin film appears to be ubiquitous if there has been any deposition of PDMS. However, this statement needs further verification. One question is whether the film forms immediately after forced drying, or whether in some or all cases it only forms by spreading from isolated droplets as they slowly flatten out. 2010 Elsevier Inc. All rights reserved.

  11. Indian Vacuum Society: The Indian Vacuum Society

    NASA Astrophysics Data System (ADS)

    Saha, T. K.

    2008-03-01

    The Indian Vacuum Society (IVS) was established in 1970. It has over 800 members including many from Industry and R & D Institutions spread throughout India. The society has an active chapter at Kolkata. The society was formed with the main aim to promote, encourage and develop the growth of Vacuum Science, Techniques and Applications in India. In order to achieve this aim it has conducted a number of short term courses at graduate and technician levels on vacuum science and technology on topics ranging from low vacuum to ultrahigh vacuum So far it has conducted 39 such courses at different parts of the country and imparted training to more than 1200 persons in the field. Some of these courses were in-plant training courses conducted on the premises of the establishment and designed to take care of the special needs of the establishment. IVS also regularly conducts national and international seminars and symposia on vacuum science and technology with special emphasis on some theme related to applications of vacuum. A large number of delegates from all over India take part in the deliberations of such seminars and symposia and present their work. IVS also arranges technical visits to different industries and research institutes. The society also helped in the UNESCO sponsored post-graduate level courses in vacuum science, technology and applications conducted by Mumbai University. The society has also designed a certificate and diploma course for graduate level students studying vacuum science and technology and has submitted a syllabus to the academic council of the University of Mumbai for their approval, we hope that some colleges affiliated to the university will start this course from the coming academic year. IVS extended its support in standardizing many of the vacuum instruments and played a vital role in helping to set up a Regional Testing Centre along with BARC. As part of the development of vacuum education, the society arranges the participation of

  12. Reticle variation influence on manufacturing line and wafer device performance

    NASA Astrophysics Data System (ADS)

    Nistler, John L.; Spurlock, Kyle

    1994-01-01

    Cost effective manufacturing of devices at 0.5, 0.35 and 0.25μm geometries will be highly dependent on a companys' ability to obtain an economic return on investment. The high capital investment in equipment and facilities, not to mention the related chemical and wafer costs, for producing 200mm silicon wafers requires aspects of wafer processing to be tightly controlled. Reduction in errors and enhanced yield management requires early correction or avoidance of reticle problems. It is becoming increasingly important to recognize and track all pertinent factors impacting both the technical and financial viability of a wafer manufacturing fabrication area. Reticle related effects on wafer manufacturing can be costly and affect the total quality perceived by the device customer.

  13. Silicon wafer-based tandem cells: The ultimate photovoltaic solution?

    NASA Astrophysics Data System (ADS)

    Green, Martin A.

    2014-03-01

    Recent large price reductions with wafer-based cells have increased the difficulty of dislodging silicon solar cell technology from its dominant market position. With market leaders expected to be manufacturing modules above 16% efficiency at 0.36/Watt by 2017, even the cost per unit area (60-70/m2) will be difficult for any thin-film photovoltaic technology to significantly undercut. This may make dislodgement likely only by appreciably higher energy conversion efficiency approaches. A silicon wafer-based cell able to capitalize on on-going cost reductions within the mainstream industry, but with an appreciably higher than present efficiency, might therefore provide the ultimate PV solution. With average selling prices of 156 mm quasi-square monocrystalline Si photovoltaic wafers recently approaching 1 (per wafer), wafers now provide clean, low cost templates for overgrowth of thin, wider bandgap high performance cells, nearly doubling silicon's ultimate efficiency potential. The range of possible Si-based tandem approaches is reviewed together with recent results and ultimate prospects.

  14. Packaging Concerns and Techniques for Large Devices: Challenges for Complex Electronics

    NASA Technical Reports Server (NTRS)

    LaBel, Kenneth A.; Sampson, Michael J.

    2010-01-01

    NASA is going to have to accept the use of non-hermetic packages for complex devices. There are a large number of packaging options available. Space application subjects the packages to stresses that they were probably not designed for (vacuum for instance). NASA has to find a way of having assurance in the integrity of the packages. There are manufacturers interested in qualifying non-hermetic packages to MIL-PRF-38535 Class V. Government space users are agreed that Class V should be for hermetic packages only. NASA is working on a new Class for non-hermetic packages for M38535 Appendix B, "Class Y". Testing for package integrity will be required but can be package specific as described by a Package Integrity Test Plan. The plan is developed by the manufacturer and approved by DSCC and government space.

  15. Nanogranular soft magnetic material and on-package integrated inductors

    NASA Astrophysics Data System (ADS)

    Li, Liangliang

    2007-12-01

    Integrated inductors used in electronic circuits are mainly spiral-shaped aluminum devices fabricated on Si chip. They have several disadvantages---large silicon area consumption, high DC resistance and high cost. An attractive approach to address these issues is directly integrating inductors into package substrates, which provide plenty of usage area, low resistance and low cost. The goals of this dissertation are designing and fabricating magnetic and air-core inductors with characteristic low resistance and high quality factor on package substrates. The research work includes three parts which are summarized below. First, the CoFeHfO nanogranular magnetic material developed on Si wafers and package substrates by pulsed DC reactive sputtering were investigated. On Si wafers, the optimized CoFeHfO film has soft magnetic properties. On printed circuit board (PCB) substrates, these magnetic properties degrade due to the rough surface. Surface planarization such as chemical-mechanical polishing can be applied on PCB substrates to reduce the surface roughness and hence improve these properties. Second, on-package inductors with small resistances and high quality factors were designed, fabricated, measured and analyzed. Air-core and magnetic inductors (20 design variations) were built on 8-inch PCB substrates. The DC resistances of these inductors are less than 12 mO, one of the lowest values ever reported. The maximum quality factors can be as large as ˜80 at around 1 GHz for the air-core inductors and ˜25 at 200 MHz for the magnetic inductors. Third, inductor simulation was carried out to study the effects of magnetic materials on the properties of inductors using the Ansoft HFSS software package. The measurement data for the permeability spectra of the CoFeHfO film and the tensor nature of the permeability were taken into account in the simulation. The simulation results matched the experimental data for the inductances, resistances and quality factors. This

  16. Wafer level fabrication of single cell dispenser chips with integrated electrodes for particle detection

    NASA Astrophysics Data System (ADS)

    Schoendube, Jonas; Yusof, Azmi; Kalkandjiev, Kiril; Zengerle, Roland; Koltay, Peter

    2015-02-01

    This work presents the microfabrication and experimental evaluation of a dispenser chip, designed for isolation and printing of single cells by combining impedance sensing and drop-on-demand dispensing. The dispenser chip features 50  ×  55 µm (width × height) microchannels, a droplet generator and microelectrodes for impedance measurements. The chip is fabricated by sandwiching a dry film photopolymer (TMMF) between a silicon and a Pyrex wafer. TMMF has been used to define microfluidic channels, to serve as low temperature (75 °C) bonding adhesive and as etch mask during 300 µm deep HF etching of the Pyrex wafer. Due to the novel fabrication technology involving the dry film resist, it became possible to fabricate facing electrodes at the top and bottom of the channel and to apply electrical impedance sensing for particle detection with improved performance. The presented microchip is capable of dispensing liquid and detecting microparticles via impedance measurement. Single polystyrene particles of 10 µm size could be detected with a mean signal amplitude of 0.39  ±  0.13 V (n=439 ) at particle velocities of up to 9.6 mm s-1 inside the chip.

  17. 7 CFR 58.241 - Packaging, repackaging and storage.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... contamination. The room should be vacuumed as often as necessary and kept clean and orderly. ... clean, sound commercially accepted container or packaging material which will satisfactorily protect the... them practically free of residual product before being transferred from the filling room to the...

  18. 7 CFR 58.241 - Packaging, repackaging and storage.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... contamination. The room should be vacuumed as often as necessary and kept clean and orderly. ... clean, sound commercially accepted container or packaging material which will satisfactorily protect the... them practically free of residual product before being transferred from the filling room to the...

  19. High voltage photo-switch package module having encapsulation with profiled metallized concavities

    DOEpatents

    Sullivan, James S; Sanders, David M; Hawkins, Steven A; Sampayan, Stephen A

    2015-05-05

    A photo-conductive switch package module having a photo-conductive substrate or wafer with opposing electrode-interface surfaces metalized with first metallic layers formed thereon, and encapsulated with a dielectric encapsulation material such as for example epoxy. The first metallic layers are exposed through the encapsulation via encapsulation concavities which have a known contour profile, such as a Rogowski edge profile. Second metallic layers are then formed to line the concavities and come in contact with the first metal layer, to form profiled and metalized encapsulation concavities which mitigate enhancement points at the edges of electrodes matingly seated in the concavities. One or more optical waveguides may also be bonded to the substrate for coupling light into the photo-conductive wafer, with the encapsulation also encapsulating the waveguides.

  20. 9 CFR 590.548 - Drying, blending, packaging, and heat treatment rooms and facilities.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 9 Animals and Animal Products 2 2011-01-01 2011-01-01 false Drying, blending, packaging, and heat..., blending, packaging, and heat treatment rooms and facilities. (a) General. Processing rooms shall be... vacuum cleaned daily. (c) The heat treatment room shall be of an approved construction and be maintained...

  1. 9 CFR 590.548 - Drying, blending, packaging, and heat treatment rooms and facilities.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 9 Animals and Animal Products 2 2013-01-01 2013-01-01 false Drying, blending, packaging, and heat..., blending, packaging, and heat treatment rooms and facilities. (a) General. Processing rooms shall be... vacuum cleaned daily. (c) The heat treatment room shall be of an approved construction and be maintained...

  2. 9 CFR 590.548 - Drying, blending, packaging, and heat treatment rooms and facilities.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 9 Animals and Animal Products 2 2012-01-01 2012-01-01 false Drying, blending, packaging, and heat..., blending, packaging, and heat treatment rooms and facilities. (a) General. Processing rooms shall be... vacuum cleaned daily. (c) The heat treatment room shall be of an approved construction and be maintained...

  3. 9 CFR 590.548 - Drying, blending, packaging, and heat treatment rooms and facilities.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 9 Animals and Animal Products 2 2014-01-01 2014-01-01 false Drying, blending, packaging, and heat..., blending, packaging, and heat treatment rooms and facilities. (a) General. Processing rooms shall be... vacuum cleaned daily. (c) The heat treatment room shall be of an approved construction and be maintained...

  4. From magic to technology: materials integration by wafer bonding

    NASA Astrophysics Data System (ADS)

    Dragoi, Viorel

    2006-02-01

    Wafer bonding became in the last decade a very powerful technology for MEMS/MOEMS manufacturing. Being able to offer a solution to overcome some problems of the standard processes used for materials integration (e.g. epitaxy, thin films deposition), wafer bonding is nowadays considered an important item in the MEMS engineer toolbox. Different principles governing the wafer bonding processes will be reviewed in this paper. Various types of applications will be presented as examples.

  5. Ammonia gas permeability of meat packaging materials.

    PubMed

    Karim, Faris; Hijaz, Faraj; Kastner, Curtis L; Smith, J Scott

    2011-03-01

    Meat products are packaged in polymer films designed to protect the product from exterior contaminants such as light, humidity, and harmful chemicals. Unfortunately, there is almost no data on ammonia permeability of packaging films. We investigated ammonia permeability of common meat packaging films: low-density polyethylene (LDPE; 2.2 mil), multilayer polyolefin (MLP; 3 mil), and vacuum (V-PA/PE; 3 mil, 0.6 mil polyamide/2.4 mil polyethylene). The films were fabricated into 10 × 5 cm pouches and filled with 50 mL deionized water. Pouches were placed in a plexiglass enclosure in a freezer and exposed to 50, 100, 250, or 500 ppm ammonia gas for 6, 12, 24, and 48 h at -17 ± 3 °C and 21 ± 3 °C. At freezing temperatures, no ammonia residues were detected and no differences in pH were found in the water. At room temperature, ammonia levels and pH of the water increased significantly (P < 0.05) with increasing exposure times and ammonia concentrations. Average ammonia levels in the water were 7.77 ppm for MLP, 5.94 ppm for LDPE, and 0.89 ppm for V-PA/PE at 500 ppm exposure for 48 h at 21 ± 3 °C. Average pH values were 8.64 for MLP, 8.38 for LDPE, and 7.23 for V-PA/PE (unexposed ranged from 5.49 to 6.44) at 500 ppm exposure for 48 h. The results showed that temperature influenced ammonia permeability. Meat packaging materials have low ammonia permeability and protect meat products exposed to ammonia leaks during frozen storage.

  6. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    NASA Astrophysics Data System (ADS)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  7. Mask-to-wafer alignment system

    DOEpatents

    Sweatt, William C.; Tichenor, Daniel A.; Haney, Steven J.

    2003-11-04

    A modified beam splitter that has a hole pattern that is symmetric in one axis and anti-symmetric in the other can be employed in a mask-to-wafer alignment device. The device is particularly suited for rough alignment using visible light. The modified beam splitter transmits and reflects light from a source of electromagnetic radiation and it includes a substrate that has a first surface facing the source of electromagnetic radiation and second surface that is reflective of said electromagnetic radiation. The substrate defines a hole pattern about a central line of the substrate. In operation, an input beam from a camera is directed toward the modified beam splitter and the light from the camera that passes through the holes illuminates the reticle on the wafer. The light beam from the camera also projects an image of a corresponding reticle pattern that is formed on the mask surface of the that is positioned downstream from the camera. Alignment can be accomplished by detecting the radiation that is reflected from the second surface of the modified beam splitter since the reflected radiation contains both the image of the pattern from the mask and a corresponding pattern on the wafer.

  8. Effectiveness of antimicrobial food packaging materials.

    PubMed

    Cooksey, K

    2005-10-01

    Antimicrobial additives have been used successfully for many years as direct food additives. The literature provides evidence that some of these additives may be effective as indirect food additives incorporated into food packaging materials. Antimicrobial food packaging is directed toward the reduction of surface contamination of processed, prepared foods such as sliced meats and Frankfurter sausages (hot dogs). The use of such packaging materials is not meant to be a substitute for good sanitation practices, but it should enhance the safety of food as an additional hurdle for the growth of pathogenic and/or spoilage microorganisms. Studies have focused on establishing methods for coating low-density polyethylene film or barrier films with methyl cellulose as a carrier for nisin. These films have significantly reduced the presence of Listeria monocytogenes in solutions and in vacuum packaged hot dogs. Other research has focused on the use of chitosan to inhibit L. monocytogenes and chlorine dioxide sachets for the reduction of Salmonella on modified atmosphere-packaged fresh chicken breasts. Overall, antimicrobial packaging shows promise as an effective method for the inhibition of certain bacteria in foods, but barriers to their commercial implementation continue to exist.

  9. Fabrication of Ge-on-insulator wafers by Smart-CutTM with thermal management for undamaged donor Ge wafers

    NASA Astrophysics Data System (ADS)

    Kim, Munho; Cho, Sang June; Jayeshbhai Dave, Yash; Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Yoon, Jung U.; Ma, Zhenqiang

    2018-01-01

    Newly engineered substrates consisting of semiconductor-on-insulator are gaining much attention as starting materials for the subsequent transfer of semiconductor nanomembranes via selective etching of the insulating layer. Germanium-on-insulator (GeOI) substrates are critically important because of the versatile applications of Ge nanomembranes (Ge NMs) toward electronic and optoelectronic devices. Among various fabrication techniques, the Smart-CutTM technique is more attractive than other methods because a high temperature annealing process can be avoided. Another advantage of Smart-CutTM is the reusability of the donor Ge wafer. However, it is very difficult to realize an undamaged Ge wafer because there exists a large mismatch in the coefficient of thermal expansion among the layers. Although an undamaged donor Ge wafer is a prerequisite for its reuse, research related to this issue has not yet been reported. Here we report the fabrication of 4-inch GeOI substrates using the direct wafer bonding and Smart-CutTM process with a low thermal budget. In addition, a thermo-mechanical simulation of GeOI was performed by COMSOL to analyze induced thermal stress in each layer of GeOI. Crack-free donor Ge wafers were obtained by annealing at 250 °C for 10 h. Raman spectroscopy and x-ray diffraction (XRD) indicated similarly favorable crystalline quality of the Ge layer in GeOI compared to that of bulk Ge. In addition, Ge p-n diodes using transferred Ge NM indicate a clear rectifying behavior with an on and off current ratio of 500 at ±1 V. This demonstration offers great promise for high performance transferrable Ge NM-based device applications.

  10. Optoelectronic interconnects for 3D wafer stacks

    NASA Astrophysics Data System (ADS)

    Ludwig, David E.; Carson, John C.; Lome, Louis S.

    1996-01-01

    Wafer and chip stacking are envisioned as a means of providing increased processing power within the small confines of a three-dimensional structure. Optoelectronic devices can play an important role in these dense 3-D processing electronic packages in two ways. In pure electronic processing, optoelectronics can provide a method for increasing the number of input/output communication channels within the layers of the 3-D chip stack. Non-free space communication links allow the density of highly parallel input/output ports to increase dramatically over typical edge bus connections. In hybrid processors, where electronics and optics play a role in defining the computational algorithm, free space communication links are typically utilized for, among other reasons, the increased network link complexity which can be achieved. Free space optical interconnections provide bandwidths and interconnection complexity unobtainable in pure electrical interconnections. Stacked 3-D architectures can provide the electronics real estate and structure to deal with the increased bandwidth and global information provided by free space optical communications. This paper provides definitions and examples of 3-D stacked architectures in optoelectronics processors. The benefits and issues of these technologies are discussed.

  11. Optoelectronic interconnects for 3D wafer stacks

    NASA Astrophysics Data System (ADS)

    Ludwig, David; Carson, John C.; Lome, Louis S.

    1996-01-01

    Wafer and chip stacking are envisioned as means of providing increased processing power within the small confines of a three-dimensional structure. Optoelectronic devices can play an important role in these dense 3-D processing electronic packages in two ways. In pure electronic processing, optoelectronics can provide a method for increasing the number of input/output communication channels within the layers of the 3-D chip stack. Non-free space communication links allow the density of highly parallel input/output ports to increase dramatically over typical edge bus connections. In hybrid processors, where electronics and optics play a role in defining the computational algorithm, free space communication links are typically utilized for, among other reasons, the increased network link complexity which can be achieved. Free space optical interconnections provide bandwidths and interconnection complexity unobtainable in pure electrical interconnections. Stacked 3-D architectures can provide the electronics real estate and structure to deal with the increased bandwidth and global information provided by free space optical communications. This paper will provide definitions and examples of 3-D stacked architectures in optoelectronics processors. The benefits and issues of these technologies will be discussed.

  12. Vacuum Levels Needed to Simulate Internal Fatigue Crack Growth in Titanium Alloys and Nickel-base Superalloys: Thermodynamic Considerations

    DTIC Science & Technology

    2012-03-01

    AFRL-RX-WP-TP-2012-0250 VACUUM LEVELS NEEDED TO SIMULATE INTERNAL FATIGUE CRACK GROWTH IN TITANIUM ALLOYS AND NICKEL - BASE SUPERALLOYS...TITANIUM ALLOYS AND NICKEL - BASE SUPERALLOYS: THERMODYNAMIC CONSIDERATIONS (PREPRINT) 5a. CONTRACT NUMBER In-house 5b. GRANT NUMBER 5c. PROGRAM...surface growth in Ti- alloys and Ni - base superalloys. Even with the highest vacuum level attained using “state-of-the-art” pumps, it is unclear if

  13. Multifunctional medicated lyophilised wafer dressing for effective chronic wound healing.

    PubMed

    Pawar, Harshavardhan V; Boateng, Joshua S; Ayensu, Isaac; Tetteh, John

    2014-06-01

    Wafers combining weight ratios of Polyox with carrageenan (75/25) or sodium alginate (50/50) containing streptomycin and diclofenac were prepared to improve chronic wound healing. Gels were freeze-dried using a lyophilisation cycle incorporating an annealing step. Wafers were characterised for morphology, mechanical and in vitro functional (swelling, adhesion, drug release in the presence of simulated wound fluid) characteristics. Both blank (BLK) and drug-loaded (DL) wafers were soft, flexible, elegant in appearance and non-brittle in nature. Annealing helped to improve porous nature of wafers but was affected by the addition of drugs. Mechanical characterisation demonstrated that the wafers were strong enough to withstand normal stresses but also flexible to prevent damage to newly formed skin tissue. Differences in swelling, adhesion and drug release characteristics could be attributed to differences in pore size and sodium sulphate formed because of the salt forms of the two drugs. BLK wafers showed relatively higher swelling and adhesion than DL wafers with the latter showing controlled release of streptomycin and diclofenac. The optimised dressing has the potential to reduce bacterial infection and can also help to reduce swelling and pain associated with injury due to the anti-inflammatory action of diclofenac and help to achieve more rapid wound healing. © 2014 Wiley Periodicals, Inc. and the American Pharmacists Association.

  14. Vehicle-Level Oxygen/Methane Propulsion System Hotfire Testing at Thermal Vacuum Conditions

    NASA Technical Reports Server (NTRS)

    Morehead, Robert L.; Melcher, J. C.; Atwell, Matthew J.; Hurlbert, Eric A.; Desai, Pooja; Werlink, Rudy

    2017-01-01

    A prototype integrated liquid oxygen/liquid methane propulsion system was hot-fire tested at a variety of simulated altitude and thermal conditions in the NASA Glenn Research Center Plum Brook Station In-Space Propulsion Thermal Vacuum Chamber (formerly B2). This test campaign served two purposes: 1) Characterize the performance of the Plum Brook facility in vacuum accumulator mode and 2) Collect the unique data set of an integrated LOX/Methane propulsion system operating in high altitude and thermal vacuum environments (a first). Data from this propulsion system prototype could inform the design of future spacecraft in-space propulsion systems, including landers. The test vehicle for this campaign was the Integrated Cryogenic Propulsion Test Article (ICPTA), which was constructed for this project using assets from the former Morpheus Project rebuilt and outfitted with additional new hardware. The ICPTA utilizes one 2,800 lbf main engine, two 28 lbf and two 7 lbf reaction control engines mounted in two pods, four 48-inch propellant tanks (two each for liquid oxygen and liquid methane), and a cold helium system for propellant tank pressurization. Several hundred sensors on the ICPTA and many more in the test cell collected data to characterize the operation of the vehicle and facility. Multiple notable experiments were performed during this test campaign, many for the first time, including pressure-fed cryogenic reaction control system characterization over a wide range of conditions, coil-on-plug ignition system demonstration at the vehicle level, integrated main engine/RCS operation, and a non-intrusive propellant mass gauging system. The test data includes water-hammer and thermal heat leak data critical to validating models for use in future vehicle design activities. This successful test campaign demonstrated the performance of the updated Plum Brook In-Space Propulsion thermal vacuum chamber and incrementally advanced the state of LOX/Methane propulsion

  15. Formation of biogenic amines and growth of spoilage-related microorganisms in pork stored under different packaging conditions applying PCA.

    PubMed

    Li, Miaoyun; Tian, Lu; Zhao, Gaiming; Zhang, Qiuhui; Gao, Xiaoping; Huang, Xianqing; Sun, Lingxia

    2014-02-01

    The objective of this study was to investigate the evolution of biogenic amines and spoilage-related microorganisms of chilled pork stored at 5 °C under various atmospheric conditions. The experimental packaging systems were pallet packaging, vacuum packaging (VP) and modified atmosphere packaging methods (MAP, 40%O2+40%CO2+20%N2), respectively. The results showed that about 74.26% of the variability could be explained by two first principal components analyzed by PCA in the pallet packaging, while in the vacuum and modified atmosphere packagings were about 85.21% and 79.14%, respectively. PC1 differentiated the indicators from packaging conditions. All the five microbial indicators and partial biogenic amines, gathering together, had high values at the positive side of PC1. Putrescine and cadaverine could reflect the spoilage evolution of fresh pork except for those in the pallet. Therefore, putrescine and cadaverine could be used as the spoilage indicators of chilled pork, of which the contents might reflect the spoilage degree. © 2013.

  16. Material electronic quality specifications for polycrystalline silicon wafers

    NASA Astrophysics Data System (ADS)

    Kalejs, J. P.

    1994-06-01

    As the use of polycrystalline silicon wafers has expanded in the photovoltaic industry, the need grows for monitoring and qualification techniques for as-grown material that can be used to optimize crystal growth and help predict solar cell performance. Particular needs are for obtaining quantitative measures over full wafer areas of the effects of lifetime limiting defects and of the lifetime upgrading taking place during solar cell processing. We review here the approaches being pursued in programs under way to develop material quality specifications for thin Edge-defined Film-fed Growth (EFG) polycrystalline silicon as-grown wafers. These studies involve collaborations between Mobil Solar, and NREL and university-based laboratories.

  17. Thermal and Alignment Analysis of the Instrument-Level ATLAS Thermal Vacuum Test

    NASA Technical Reports Server (NTRS)

    Bradshaw, Heather

    2012-01-01

    This paper describes the thermal analysis and test design performed in preparation for the ATLAS thermal vacuum test. NASA's Advanced Topographic Laser Altimeter System (ATLAS) will be flown as the sole instrument aboard the Ice, Cloud, and land Elevation Satellite-2 (ICESat-2). It will be used to take measurements of topography and ice thickness for Arctic and Antarctic regions, providing crucial data used to predict future changes in worldwide sea levels. Due to the precise measurements ATLAS is taking, the laser altimeter has very tight pointing requirements. Therefore, the instrument is very sensitive to temperature-induced thermal distortions. For this reason, it is necessary to perform a Structural, Thermal, Optical Performance (STOP) analysis not only for flight, but also to ensure performance requirements can be operationally met during instrument-level thermal vacuum testing. This paper describes the thermal model created for the chamber setup, which was used to generate inputs for the environmental STOP analysis. This paper also presents the results of the STOP analysis, which indicate that the test predictions adequately replicate the thermal distortions predicted for flight. This is a new application of an existing process, as STOP analyses are generally performed to predict flight behavior only. Another novel aspect of this test is that it presents the opportunity to verify pointing results of a STOP model, which is not generally done. It is possible in this case, however, because the actual pointing will be measured using flight hardware during thermal vacuum testing and can be compared to STOP predictions.

  18. Reduction of the potential energy barrier and resistance at wafer-bonded n-GaAs/n-GaAs interfaces by sulfur passivation

    NASA Astrophysics Data System (ADS)

    Jackson, Michael J.; Jackson, Biyun L.; Goorsky, Mark S.

    2011-11-01

    Sulfur passivation and subsequent wafer-bonding treatments are demonstrated for III-V semiconductor applications using GaAs-GaAs direct wafer-bonded structures. Two different sulfur passivation processes are addressed. A dry sulfur passivation method that utilizes elemental sulfur vapor activated by ultraviolet light in vacuum is compared with aqueous sulfide and native-oxide-etch treatments. The electrical conductivity across a sulfur-treated 400 - °C-bonded n-GaAs/n-GaAs interface significantly increased with a short anneal (1-2 min) at elevated temperatures (500-600 °C). Interfaces treated with the NH4OH oxide etch, on the other hand, exhibited only mild improvement in accordance with previously published studies in this area. TEM and STEM images revealed similar interfacial microstructure changes with annealing for both sulfur-treated and NH4OH interfaces, whereby some areas have direct semiconductor-semiconductor contact without any interfacial layer. Fitting the observed temperature dependence of zero-bias conductance using a model for tunneling through a grain boundary reveals that the addition of sulfur at the interface lowered the interfacial energy barrier by 0.2 eV. The interface resistance for these sulfur-treated structures is 0.03 Ω.cm at room temperature. These results emphasize that sulfur-passivation techniques reduce interface states that otherwise limit the implementation of wafer bonding for high-efficiency solar cells and other devices.

  19. Combined effects of gamma irradiation and a modified atmospheric packaging on the physicochemical characteristics of sausage

    NASA Astrophysics Data System (ADS)

    Ahn, Hyun-Joo; Kim, Jae-Hyun; Jo, Cheorun; Lee, Ju-Woon; Yook, Hong-Sun; Kim, Hee-Yun; Byun, Myung-Woo

    2004-09-01

    This study is to investigate the combined effects of irradiation and a modified atmospheric packaging (MAP) on the color, nitrosoheme pigments (NO-Mb), residual nitrite and N-nitrosodimethylamine (NDMA) in sausage during storage. Sausage with air, vacuum, CO 2, N 2, or CO 2/N 2 packaging was irradiated at 5 kGy. Irradiation reduced the red color of sausage, and a vacuum or MAP was effective in minimizing the loss of redness. The reduction of NO-Mb was observed by irradiation, while the MAP was more effective in maintaining the NO-Mb than the aerobic ones. Residual nitrite was reduced by irradiation, and the contents were lower under vacuum or MAP than aerobic ones. NDMA was significantly reduced by irradiation.

  20. Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.

    PubMed

    Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min

    2014-05-13

    The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.

  1. Length separation of single-walled carbon nanotubes and its impact on structural and electrical properties of wafer-level fabricated carbon nanotube-field-effect transistors

    NASA Astrophysics Data System (ADS)

    Böttger, Simon; Hermann, Sascha; Schulz, Stefan E.; Gessner, Thomas

    2016-10-01

    For an industrial realization of devices based on single-walled carbon nanotube (SWCNTs) such as field-effect transistors (FETs) it becomes increasingly important to consider technological aspects such as intrinsic device structure, integration process controllability as well as yield. From the perspective of a wafer-level integration technology, the influence of SWCNT length on the performance of short-channel CNT-FETs is demonstrated by means of a statistical and comparative study. Therefore, a methodological development of a length separation process based on size-exclusion chromatography was conducted in order to extract well-separated SWCNT dispersions with narrowed length distribution. It could be shown that short SWCNTs adversely affect integrability and reproducibility, underlined by a 25% decline of the integration yield with respect to long SWCNTs. Furthermore, it turns out that the significant changes in electrical performance are directly linked to a SWCNT chain formation in the transistor channel. In particular, CNT-FETs with long SWCNTs outperform reference and short SWCNTs with respect to hole mobility and subthreshold controllability by up to 300% and up to 140%, respectively. As a whole, this study provides a statistical and comparative analysis towards chain-less CNT-FETs fabricated with a wafer-level technology.

  2. Test systems of the STS-XYTER2 ASIC: from wafer-level to in-system verification

    NASA Astrophysics Data System (ADS)

    Kasinski, Krzysztof; Zubrzycka, Weronika

    2016-09-01

    The STS/MUCH-XYTER2 ASIC is a full-size prototype chip for the Silicon Tracking System (STS) and Muon Chamber (MUCH) detectors in the new fixed-target experiment Compressed Baryonic Matter (CBM) at FAIR-center, Darmstadt, Germany. The STS assembly includes more than 14000 ASICs. The complicated, time-consuming, multi-step assembly process of the detector building blocks and tight quality assurance requirements impose several intermediate testing to be performed for verifying crucial assembly steps (e.g. custom microcable tab-bonding before wire-bonding to the PCB) and - if necessary - identifying channels or modules for rework. The chip supports the multi-level testing with different probing / contact methods (wafer probe-card, pogo-probes, in-system tests). A huge number of ASICs to be tested restricts the number and kind of tests possible to be performed within a reasonable time. The proposed architectures of test stand equipment and a brief summary of methodologies are presented in this paper.

  3. Vacuum Levels Needed to Simulate Internal Fatigue Crack Growth in Titanium Alloys and Nickel-Base Superalloys Thermaodynamic Conditioners (Preprint)

    DTIC Science & Technology

    2012-08-01

    AFRL-RX-WP-TP-2012-0412 VACUUM LEVELS NEEDED TO SIMULATE INTERNAL FATIGUE CRACK GROWTH IN TITANIUM ALLOYS AND NICKEL - BASE SUPERALLOYS...LEVELS NEEDED TO SIMULATE INTERNAL FATIGUE CRACK GROWTH IN TITANIUM ALLOYS AND NICKEL - BASE SUPERALLOYS: THERMAODYNAMIC CONSIDERATIONS (PREPRINT) 5a...have examined fatigue growth of surface cracks in vacuum to simulate sub-surface growth in Ti- alloys and Ni - base superalloys. Even with the highest

  4. Wave-front propagation of rinsing flows on rotating semiconductor wafers

    NASA Astrophysics Data System (ADS)

    Frostad, John M.; Ylitalo, Andy; Walls, Daniel J.; Mui, David S. L.; Fuller, Gerald G.

    2016-11-01

    The semiconductor manufacturing industry is migrating to a cleaning technology that involves dispersing cleaning solutions onto a rotating wafer, similar to spin-coating. Advantages include a more continuous overall fabrication process, lower particle level, no cross contamination from the back side of a wafer, and less usage of harsh chemicals for a lower environmental impact. Rapid rotation of the wafer during rinsing can be more effective, but centrifugal forces can pull spiral-like ribbons of liquid radially outward from the advancing wave-front where particles can build up, causing higher instances of device failure at these locations. A better understanding of the rinsing flow is essential for reducing yield losses while taking advantage of the benefits of rotation. In the present work, high-speed video and image processing are used to study the dynamics of the advancing wave-front from an impinging jet on a rotating substrate. The flow-rate and rotation-speed are varied for substrates coated with a thin layer of a second liquid that has a different surface tension than the jet liquid. The difference in surface tension of the two fluids gives rise to Marangoni stresses at the interface that have a significant impact on the rinsing process, despite the extremely short time-scales involved.

  5. Characterizing SOI Wafers By Use Of AOTF-PHI

    NASA Technical Reports Server (NTRS)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  6. The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line

    NASA Astrophysics Data System (ADS)

    Lee, Jeffrey; McGarvey, Steve

    2013-04-01

    The introduction of early test wafer (ETW) 450mm Surface Scanning Inspection Systems (SSIS) into Si manufacturing has brought with it numerous technical, commercial, and logistical challenges on the path to rapid recipe development and subsequent qualification of other 450mm wafer processing equipment. This paper will explore the feasibility of eliminating the Polystyrene Latex Sphere deposition process step and the subsequent creation of SSIS recipes based upon the theoretical optical properties of both the SSIS and the process film stack(s). The process of Polystyrene Latex Sphere deposition for SSIS recipe generation and development is generally accepted on the previous technology nodes for 150/200/300mm wafers. PSL is deposited with a commercially available deposition system onto a non-patterned bare Si or non-patterned filmed Si wafer. After deposition of multiple PSL spots, located in different positions on a wafer, the wafer is inspected on a SSIS and a response curve is generated. The response curve is based on the the light scattering intensity of the NIST certified PSL that was deposited on the wafer. As the initial 450mm Si wafer manufacturing began, there were no inspection systems with sub-90nm sensitivities available for defect and haze level verification. The introduction of a 450mm sub-30nm inspection system into the manufacturing line generated instant challenges. Whereas the 450mm wafers were relatively defect free at 90nm, at 40nm the wafers contained several hundred thousand defects. When PSL was deposited onto wafers with these kinds of defect levels, PSL with signals less than the sub-90nm defects were difficult to extract. As the defectivity level of the wafers from the Si suppliers rapidly improves the challenges of SSIS recipe creation with high defectivity decreases while at the same time the cost of PSL deposition increases. The current cost per wafer is fifteen thousand dollars for a 450mm PSL deposition service. When viewed from the

  7. Influence of PA6 nanocomposite films on the stability of vacuum-aged beef loins during storage in modified atmospheres.

    PubMed

    Picouet, P A; Fernandez, A; Realini, C E; Lloret, E

    2014-01-01

    A masterbatch of polyamide 6 (PA6) containing dispersed nanoclays, was used to fabricate a novel multilayer film for vacuum packed meat. Performance of the nanocomposite was compared to a control PA6 multilayer and a high barrier commercial film. Addition of nanoclays improved oxygen barrier properties, UV-blocking capability and stiffness. Beef loins were vacuum-aged using the three films for 0 7, 14 and 21 days at 2°C. After each ageing time, beef steaks were packaged in commercial trays and high oxygen atmosphere and stored at 4°C for 9 days. Beef quality parameters and gas content were studied during display time in MAP (1, 3, 6 and 9 d). Beef quality parameters were not influenced by the packaging materials used during ageing and the performance of nanocomposites was comparable to high barrier films. Ageing had a positive impact on the stabilization of redness up to day 6 in MAP. Thereafter, oxymyoglobin content and oxidation levels were negatively influenced by ageing. © 2013.

  8. Controlling Blown Pack Spoilage Using Anti-Microbial Packaging

    PubMed Central

    Reid, Rachael; Tyuftin, Andrey A.; Kerry, Joe P.; Whyte, Paul; Bolton, Declan

    2017-01-01

    Active (anti-microbial) packaging was prepared using three different formulations; Auranta FV; Inbac-MDA and sodium octanoate at two concentrations (2.5 and 3.5 times their minimum inhibitory concentration (MIC, the lowest concentration that will inhibit the visible growth of the organisms) against Clostridium estertheticum, DSMZ 8809). Inoculated beef samples were packaged using the active packaging and monitored for 100 days storage at 2 °C for blown pack spoilage. The time to the onset of blown pack spoilage was significantly (p < 0.01) increased using Auranta FV and sodium octanoate (caprylic acid sodium salt) at both concentrations. Moreover, sodium octanoate packs had significantly (p < 0.01) delayed blown pack spoilage as compared to Auranta FV. It was therefore concluded that Auranta FV or sodium octanoate, incorporated into the packaging materials used for vacuum packaged beef, would inhibit blown pack spoilage and in the case of the latter, well beyond the 42 days storage period currently required for beef primals. PMID:28805679

  9. Controlling Blown Pack Spoilage Using Anti-Microbial Packaging.

    PubMed

    Reid, Rachael; Bolton, Declan; Tiuftin, Andrey A; Kerry, Joe P; Fanning, Séamus; Whyte, Paul

    2017-08-12

    Active (anti-microbial) packaging was prepared using three different formulations; Auranta FV; Inbac-MDA and sodium octanoate at two concentrations (2.5 and 3.5 times their minimum inhibitory concentration (MIC, the lowest concentration that will inhibit the visible growth of the organisms) against Clostridium estertheticum , DSMZ 8809). Inoculated beef samples were packaged using the active packaging and monitored for 100 days storage at 2 °C for blown pack spoilage. The time to the onset of blown pack spoilage was significantly ( p < 0.01) increased using Auranta FV and sodium octanoate (caprylic acid sodium salt) at both concentrations. Moreover, sodium octanoate packs had significantly ( p < 0.01) delayed blown pack spoilage as compared to Auranta FV. It was therefore concluded that Auranta FV or sodium octanoate, incorporated into the packaging materials used for vacuum packaged beef, would inhibit blown pack spoilage and in the case of the latter, well beyond the 42 days storage period currently required for beef primals.

  10. I-line stepper based overlay evaluation method for wafer bonding applications

    NASA Astrophysics Data System (ADS)

    Kulse, P.; Sasai, K.; Schulz, K.; Wietstruck, M.

    2018-03-01

    In the last decades the semiconductor technology has been driven by Moore's law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules additionally require to process the backside of the wafer; thus require an accurate alignment between the front and backside of the wafer. In previous work an advanced back to front side alignment technique and implementation into IHP's 0.25/0.13 µm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8-9]. In this work, the non-contact infrared alignment system of the Nikon® i-line Stepper NSR-SF150 for both alignment and the overlay determination of bonded wafer stacks with embedded alignment marks are used to achieve an accurate alignment between the different wafer sides. The embedded field image alignment (FIA) marks of the interface and the device wafer top layer are measured in a single measurement job. By taking the

  11. Milking performance evaluation and factors affecting milking claw vacuum levels with flow simulator.

    PubMed

    Enokidani, Masafumi; Kawai, Kazuhiro; Shinozuka, Yasunori; Watanabe, Aiko

    2017-08-01

    Milking performance of milking machines that matches the production capability of dairy cows is important in reducing the risk of mastitis, particularly in high-producing cows. This study used a simulated milking device to examine the milking performance of the milking system of 73 dairy farms and to analyze the factors affecting claw vacuum. Mean claw vacuum and range of fluctuation of claw vacuum (claw vacuum range) were measured at three different flow rates: 5.7, 7.6 and 8.7 kg/min. At the highest flow rate, only 16 farms (21.9%) met both standards of mean claw vacuum ≥35 kPa and claw vacuum range ≤ 7 kPa, showing that milking systems currently have poor milking performance. The factors affecting mean claw vacuum were claw type, milk-meter and vacuum shut-off device; the factor affecting claw vacuum range was claw type. Examination of the milking performance of the milking system using a simulated milking device allows an examination of the performance that can cope with high producing cows, indicating the possibility of reducing the risk of mastitis caused by inappropriate claw vacuum. © 2016 Japanese Society of Animal Science.

  12. Intrinsic Gettering in Nitrogen-Doped and Hydrogen-Annealed Czochralski-Grown Silicon Wafers

    NASA Astrophysics Data System (ADS)

    Goto, Hiroyuki; Pan, Lian-Sheng; Tanaka, Masafumi; Kashima, Kazuhiko

    2001-06-01

    The properties of nitrogen-doped and hydrogen-annealed Czochralski-grown silicon (NHA-CZ-Si) wafers were investigated in this study. The quality of the subsurface was investigated by monitoring the generation lifetime of minority carriers, as measured by the capacitance-time measurements of a metal oxide silicon capacitor (MOS C-t). The intrinsic gettering (IG) ability was investigated by determining the nickel concentration on the surface and in the subsurface as measured by graphite furnace atomic absorption spectrometry (GFAAS) after the wafer was deliberately contaminated with nickel. From the results obtained, the generation lifetimes of these NHA-CZ-Si wafers were determined to be almost the same as, or a little longer than those of epitaxial wafers, and the IG ability was proportional to the total volume of oxygen precipitates [i.e., bulk micro defects (BMDs)], which was influenced by the oxygen and nitrogen concentrations in the wafers. Therefore, it is suggested that the subsurface of the NHA-CZ-Si wafers is of good quality and the IG capacity is controllable by the nitrogen and oxygen concentrations in the wafers.

  13. Effect of different packaging methods and storage temperature on microbiological and physicochemical quality characteristics of meatball.

    PubMed

    Yilmaz, I; Demirci, M

    2010-06-01

    The objective of this research was to determine physicochemical changes and microbiological quality of the different packaged meatball samples. Meatball samples in polystyrene tray were closed with polyethylene film (PS packs), vacuumed and modified atmosphere packaged, (MAP) (65% N(2), 35% CO(2)), and held under refrigerated display (4 °C) for 8, 16 and 16 days for PS packs, vacuum and MAP, respectively. Microbial load, free fatty acids and thiobarbituric acid values of the samples tended to increase with storage time. Bacteria counts of the raw meatball samples increased 2 log cycles at the end of storage compared with initial values. Meatball samples can be stored without any microbiological problem for 7 days at 4 °C. Results from this study suggested that shelf-life assigned to modified-MAP and vacuum-packed meatballs may be appropriate. Meatball samples underwent physical deformation when they were packed before vacuum process. With these negative factors considered, MAP is superior to other two packs methods.

  14. Bulk lifetime characterization of corona charged silicon wafers with high resistivity by means of microwave detected photoconductivity

    NASA Astrophysics Data System (ADS)

    Engst, C. R.; Rommel, M.; Bscheid, C.; Eisele, I.; Kutter, C.

    2017-12-01

    Minority carrier lifetime (lifetime) measurements are performed on corona-charged silicon wafers by means of Microwave Detected Photoconductivity (MDP). The corona charge is deposited on the front and back sides of oxidized wafers in order to adjust accumulation conditions. Once accumulation is established, interface recombination is suppressed and bulk lifetimes are obtained. Neither contacts nor non-CMOS compatible preparation techniques are required in order to achieve accumulation conditions, which makes the method ideally suited for inline characterization. The novel approach, termed ChargedMDP (CMDP), is used to investigate neutron transmutation doped (NTD) float zone silicon with resistivities ranging from 6.0 to 8.2 kΩ cm. The bulk properties of 150 mm NTD wafers are analyzed in detail by performing measurements of the carrier lifetime and the steady-state photoconductivity at various injection levels. The results are compared with MDP measurements of uncharged wafers as well as to the established charged microwave detected Photoconductance Decay (charge-PCD) method. Besides analyzing whole wafers, CMDP measurements are performed on oxide test-structures on a patterned wafer. Finally, the oxide properties are characterized by means of charge-PCD as well as capacitance-voltage measurements. With CMDP, average bulk lifetimes up to 33.1 ms are measured, whereby significant variations are observed among wafers, which are produced out of the same ingot but oxidized in different furnaces. The observed lifetime variations are assumed to be caused by contaminations, which are introduced during the oxidation process. The results obtained by CMDP were neither accessible by means of conventional MDP measurements of uncharged wafers nor with the established charge-PCD method.

  15. Making Porous Luminescent Regions In Silicon Wafers

    NASA Technical Reports Server (NTRS)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  16. Strategy optimization for mask rule check in wafer fab

    NASA Astrophysics Data System (ADS)

    Yang, Chuen Huei; Lin, Shaina; Lin, Roger; Wang, Alice; Lee, Rachel; Deng, Erwin

    2015-07-01

    Photolithography process is getting more and more sophisticated for wafer production following Moore's law. Therefore, for wafer fab, consolidated and close cooperation with mask house is a key to achieve silicon wafer success. However, generally speaking, it is not easy to preserve such partnership because many engineering efforts and frequent communication are indispensable. The inattentive connection is obvious in mask rule check (MRC). Mask houses will do their own MRC at job deck stage, but the checking is only for identification of mask process limitation including writing, etching, inspection, metrology, etc. No further checking in terms of wafer process concerned mask data errors will be implemented after data files of whole mask are composed in mask house. There are still many potential data errors even post-OPC verification has been done for main circuits. What mentioned here are the kinds of errors which will only occur as main circuits combined with frame and dummy patterns to form whole reticle. Therefore, strategy optimization is on-going in UMC to evaluate MRC especially for wafer fab concerned errors. The prerequisite is that no impact on mask delivery cycle time even adding this extra checking. A full-mask checking based on job deck in gds or oasis format is necessary in order to secure acceptable run time. Form of the summarized error report generated by this checking is also crucial because user friendly interface will shorten engineers' judgment time to release mask for writing. This paper will survey the key factors of MRC in wafer fab.

  17. Wafer plane inspection for advanced reticle defects

    NASA Astrophysics Data System (ADS)

    Nagpal, Rajesh; Ghadiali, Firoz; Kim, Jun; Huang, Tracy; Pang, Song

    2008-05-01

    Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMSTM.

  18. Single level microelectronic device package with an integral window

    DOEpatents

    Peterson, Kenneth A.; Watson, Robert D.

    2003-12-09

    A package with an integral window for housing a microelectronic device. The integral window is bonded directly to the package without having a separate layer of adhesive material disposed in-between the window and the package. The device can be a semiconductor chip, CCD chip, CMOS chip, VCSEL chip, laser diode, MEMS device, or IMEMS device. The package can be formed of a multilayered LTCC or HTCC cofired ceramic material, with the integral window being simultaneously joined to the package during cofiring. The microelectronic device can be flip-chip interconnected so that the light-sensitive side is optically accessible through the window. A glob-top encapsulant or protective cover can be used to protect the microelectronic device and electrical interconnections. The result is a compact, low profile package having an integral window that is hermetically sealed to the package prior to mounting and interconnecting the microelectronic device.

  19. The uses of Man-Made diamond in wafering applications

    NASA Technical Reports Server (NTRS)

    Fallon, D. B.

    1982-01-01

    The continuing, rapid growth of the semiconductor industry requires the involvement of several specialized industries in the development of special products geared toward the unique requirements of this new industry. A specialized manufactured diamond to meet various material removal needs was discussed. The area of silicon wafer slicing has presented yet anothr challenge and it is met most effectively. The history, operation, and performance of Man-Made diamond and particularly as applied to silicon wafer slicing is discussed. Product development is underway to come up with a diamond specifically for sawing silicon wafers on an electroplated blade.

  20. Developing quartz wafer mold manufacturing process for patterned media

    NASA Astrophysics Data System (ADS)

    Chiba, Tsuyoshi; Fukuda, Masaharu; Ishikawa, Mikio; Itoh, Kimio; Kurihara, Masaaki; Hoga, Morihisa

    2009-04-01

    Recently, patterned media have gained attention as a possible candidate for use in the next generation of hard disk drives (HDD). Feature sizes on media are predicted to be 20-25 nm half pitch (hp) for discrete-track media in 2010. One method of fabricating such a fine pattern is by using a nanoimprint. The imprint mold for the patterned media is created from a 150-millimeter, rounded, quartz wafer. The purpose of the process introduced here was to construct a quartz wafer mold and to fabricate line and space (LS) patterns at 24 nmhp for DTM. Additionally, we attempted to achieve a dense hole (HOLE) pattern at 12.5 nmhp for BPM for use in 2012. The manufacturing process of molds for patterned media is almost the same as that for semiconductors, with the exception of the dry-etching process. A 150-millimeter quartz wafer was etched on a special tray made from carving a 6025 substrate, by using the photo-mask tool. We also optimized the quartz etching conditions. As a result, 24 nmhp LS and HOLE patterns were manufactured on the quartz wafer. In conclusion, the quartz wafer mold manufacturing process was established. It is suggested that the etching condition should be further optimized to achieve a higher resolution of HOLE patterns.

  1. The Imaging Properties of a Silicon Wafer X-Ray Telescope

    NASA Technical Reports Server (NTRS)

    Joy, M. K.; Kolodziejczak, J. J.; Weisskopf, M. C.; Fair, S.; Ramsey, B. D.

    1994-01-01

    Silicon wafers have excellent optical properties --- low microroughness and good medium-scale flatness --- which Make them suitable candidates for inexpensive flat-plate grazing-incidence x-ray mirrors. On short spatial scales (less than 3 mm) the surface quality of silicon wafers rivals that expected of the Advanced X-Ray Astrophysics Facility (AXAF) high-resolution optics. On larger spatial scales, however, performance may be degraded by the departure from flatness of the wafer and by distortions induced by the mounting scheme. In order to investigate such effects, we designed and constructed a prototype silicon-wafer x-ray telescope. The device was then tested in both visible light and x rays. The telescope module consists of 94 150-mm-diameter wafers, densely packed into the first stage of a Kirkpatrick-Baez configuration. X-ray tests at three energies (4.5, 6.4, and 8.0 keV) showed an energy-independent line spread function with full width at half maximum (FWHM) of 150 arcseconds, dominated by deviations from large-scale flatness.

  2. Imaging Study of Multi-Crystalline Silicon Wafers Throughout the Manufacturing Process: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnston, S.; Yan, F.; Zaunbracher, K.

    2011-07-01

    Imaging techniques are applied to multi-crystalline silicon bricks, wafers at various process steps, and finished solar cells. Photoluminescence (PL) imaging is used to characterize defects and material quality on bricks and wafers. Defect regions within the wafers are influenced by brick position within an ingot and height within the brick. The defect areas in as-cut wafers are compared to imaging results from reverse-bias electroluminescence and dark lock-in thermography and cell parameters of near-neighbor finished cells. Defect areas are also characterized by defect band emissions. The defect areas measured by these techniques on as-cut wafers are shown to correlate to finishedmore » cell performance.« less

  3. Edge printability: techniques used to evaluate and improve extreme wafer edge printability

    NASA Astrophysics Data System (ADS)

    Roberts, Bill; Demmert, Cort; Jekauc, Igor; Tiffany, Jason P.

    2004-05-01

    The economics of semiconductor manufacturing have forced process engineers to develop techniques to increase wafer yield. Improvements in process controls and uniformities in all areas of the fab have reduced film thickness variations at the very edge of the wafer surface. This improved uniformity has provided the opportunity to consider decreasing edge exclusions, and now the outermost extents of the wafer must be considered in the yield model and expectations. These changes have increased the requirements on lithography to improve wafer edge printability in areas that previously were not even coated. This has taxed all software and hardware components used in defining the optical focal plane at the wafer edge. We have explored techniques to determine the capabilities of extreme wafer edge printability and the components of the systems that influence this printability. We will present current capabilities and new detection techniques and the influence that the individual hardware and software components have on edge printability. We will show effects of focus sensor designs, wafer layout, utilization of dummy edge fields, the use of non-zero overlay targets and chemical/optical edge bead optimization.

  4. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  5. A comprehensive analysis of sodium levels in the Canadian packaged food supply

    PubMed Central

    Arcand, JoAnne; Au, Jennifer T.C.; Schermel, Alyssa; L’Abbe, Mary R.

    2016-01-01

    Background Population-wide sodium reduction strategies aim to reduce the cardiovascular burden of excess dietary sodium. Lowering sodium in packaged foods, which contribute the most sodium to the diet, is an important intervention to lower population intakes. Purpose To determine sodium levels in Canadian packaged foods and evaluate the proportion of foods meeting sodium benchmark targets set by Health Canada. Methods A cross-sectional analysis of 7234 packaged foods available in Canada in 2010–11. Sodium values were obtained from the Nutrition Facts table. Results Overall, 51.4% of foods met one of the sodium benchmark levels: 11.5% met Phase 1, 11.1% met Phase 2, and 28.7% met 2016 goal (Phase 3) benchmarks. Food groups with the greatest proportion meeting goal benchmarks were dairy (52.0%) and breakfast cereals (42.2%). Overall 48.6% of foods did not meet any benchmark level and 25% of all products exceeded maximum levels. Meats (61.2%) and canned vegetables/legumes and legumes (29.6%) had the most products exceeding maximum levels. There was large variability in the range of sodium within and between food categories. Food categories highest in sodium (mg/serving) were dry, condensed and ready-to-serve soups (834 ± 256, 754 ± 163, and 636 ± 173, respectively), oriental noodles (783 ± 433), broth (642 ± 239), and frozen appetizers/sides (642 ± 292). Conclusion These data provide a critical baseline assessment for monitoring sodium levels in Canadian foods. While some segments of the market are making progress towards sodium reduction, all sectors need encouragement to continue to reduce the amount of sodium added during food processing. PMID:24842740

  6. The effects of packaging method (vacuum pouch vs. plastic tray) on spoilage in a cook-chill pork-based dish kept under refrigeration.

    PubMed

    Díaz, Pedro; Garrido, María Dolores; Bañón, Sancho

    2010-03-01

    The effects of two packaging methods on the spoilage of a cook-chill pork-based dish kept under refrigeration were studied. Raw pork cuts and pre-cooked tomato sauce were packed under vacuum "sous vide" in polyamide-polypropylene pouches (SV) or into translucent polypropylene trays under modified atmosphere (80% N(2)+20% CO(2)) and sealed with a top film (PT). Samples were cooked inside the pack at an oven temperature/time of 70 degrees C/7h, chilled at 3 degrees C and stored at 2 degrees C for up to 90days. Microbial (psychrotrophs, lactic-acid bacteria, Enterobacteriaceae, moulds and yeasts), physical-chemical (pH, water activity and total acidity) and sensory (colour, odour, flavour, texture and acceptance) parameters were determined. Heat penetration was faster in SV (2 degrees C/min) than in PT (1 degrees C/min) (core temperature). Both packaging methods were equally effective in protecting against microbial spoilage for 90 day at 2 degrees C. Minor counts were only detected for lactic-acid bacteria and anaerobic psychrotrophs in SV. No Enterobacteriaceae growth was found. Slight differences between SV and PT in pH and total acidity were observed. SV and PT had similar effects on the sensory preservation of the dishes. A gradual loss of acceptance of the cooked pork and tomato sauce was observed. Rancid flavour in PT and warmed-over-flavour in SV were noted in the final stages of storage. According to acceptance scores, the shelf-life of both SV and PT was 56 days at 2 degrees C. Both packaging methods can be used to manufacture sous vide meat-based dishes subsequently stored under refrigeration for catering use. Copyright 2009 Elsevier Ltd. All rights reserved.

  7. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    NASA Astrophysics Data System (ADS)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  8. Vacuum decay container/closure integrity testing technology. Part 1. ASTM F2338-09 precision and bias studies.

    PubMed

    Wolf, Heinz; Stauffer, Tony; Chen, Shu-Chen Y; Lee, Yoojin; Forster, Ronald; Ludzinski, Miron; Kamat, Madhav; Godorov, Phillip; Guazzo, Dana Morton

    2009-01-01

    ASTM F2338-09 Standard Test Method for Nondestructive Detection of Leaks in Packages by Vacuum Decay Method is applicable for leak-testing rigid and semi-rigid non-lidded trays; trays or cups sealed with porous barrier lidding materials; rigid, nonporous packages; and flexible, nonporous packages. Part 1 of this series describes the precision and bias studies performed in 2008 to expand this method's scope to include rigid, nonporous packages completely or partially filled with liquid. Round robin tests using three VeriPac 325/LV vacuum decay leak testers (Packaging Technologies & Inspection, LLC, Tuckahoe, NY) were performed at three test sites. Test packages were 1-mL glass syringes. Positive controls had laser-drilled holes in the barrel ranging from about 5 to 15 microm in nominal diameter. Two different leak tests methods were performed at each site: a "gas leak test" performed at 250 mbar (absolute) and a "liquid leak test" performed at about 1 mbar (absolute). The gas leak test was used to test empty, air-filled syringes. All defects with holes > or = 5.0 microm and all no-defect controls were correctly identified. The only false negative result was attributed to a single syringe with a < 5.0-microm hole. Tests performed using a calibrated air leak supported a 0.10-cm3 x min(-1) (ccm) sensitivity limit (99/99 lower tolerance limit). The liquid leak test was used to test both empty, air-filled syringes and water-filled syringes. Test results were 100% accurate for all empty and water-filled syringes, both without holes and with holes (5, 10, and 15 microm). Tests performed using calibrated air flow leaks of 0, 0.05, and 0.10 ccm were also 100% accurate; data supported a 0.10-ccm sensitivity limit (99/99 lower tolerance limit). Quantitative differential pressure results strongly correlated to hole size using either liquid or gas vacuum decay leak tests. The higher vacuum liquid leak test gave noticeably higher pressure readings when water was present in the

  9. Multi-wafer bonding technology for the integration of a micromachined Mirau interferometer

    NASA Astrophysics Data System (ADS)

    Wang, Wei-Shan; Lullin, Justine; Froemel, Joerg; Wiemer, Maik; Bargiel, Sylwester; Passilly, Nicolas; Gorecki, Christophe; Gessner, Thomas

    2015-02-01

    The paper presents the multi-wafer bonding technology as well as the integration of electrical connection to the zscanner wafer of the micromachined array-type Mirau interferometer. A Mirau interferometer, which is a key-component of optical coherence tomography (OCT) microsystem, consists of a microlens doublet, a MOEMS Z-scanner, a focusadjustment spacer and a beam splitter plate. For the integration of this MOEMS device heterogeneous bonding of Si, glass and SOI wafers is necessary. Previously, most of the existing methods for multilayer wafer bonding require annealing at high temperature, i.e., 1100°C. To be compatible with MEMS devices, bonding of different material stacks at temperatures lower than 400°C has also been investigated. However, if more components are involved, it becomes less effective due to the alignment accuracy or degradation of surface quality of the not-bonded side after each bonding operation. The proposed technology focuses on 3D integration of heterogeneous building blocks, where the assembly process is compatible with the materials of each wafer stack and with position accuracy which fits optical requirement. A demonstrator with up to 5 wafers bonded lower than 400°C is presented and bond interfaces are evaluated. To avoid the complexity of through wafer vias, a design which creates electrical connections along vertical direction by mounting a wafer stack on a flip chip PCB is proposed. The approach, which adopts vertically-stacked wafers along with electrical connection functionality, provides not only a space-effective integration of MOEMS device but also a design where the Mirau stack can be further integrated with other components of the OCT microsystem easily.

  10. Development, fabrication and testing of a magnetically connected plastic vacuum probe surface sampler

    NASA Technical Reports Server (NTRS)

    Phillips, G. B.; Pace, V. A., Jr.

    1972-01-01

    The sampler utilizes permanent magnets and soft metal pole pieces to connect the cone/filter assembly to the sampling head and vacuum supply. The cone/filter assembly is packaged in a plastic container and presterilized so that the need for any human contact during the sampling procedure is completely eliminated. Microbiological tests have demonstrated that the sampling efficiency is not affected by the magnetic coupling apparatus and that the probe appears to function as efficiently as the conventional plastic and Sandia vacuum probes.

  11. The Systems Biology Markup Language (SBML) Level 3 Package: Qualitative Models, Version 1, Release 1.

    PubMed

    Chaouiya, Claudine; Keating, Sarah M; Berenguier, Duncan; Naldi, Aurélien; Thieffry, Denis; van Iersel, Martijn P; Le Novère, Nicolas; Helikar, Tomáš

    2015-09-04

    Quantitative methods for modelling biological networks require an in-depth knowledge of the biochemical reactions and their stoichiometric and kinetic parameters. In many practical cases, this knowledge is missing. This has led to the development of several qualitative modelling methods using information such as, for example, gene expression data coming from functional genomic experiments. The SBML Level 3 Version 1 Core specification does not provide a mechanism for explicitly encoding qualitative models, but it does provide a mechanism for SBML packages to extend the Core specification and add additional syntactical constructs. The SBML Qualitative Models package for SBML Level 3 adds features so that qualitative models can be directly and explicitly encoded. The approach taken in this package is essentially based on the definition of regulatory or influence graphs. The SBML Qualitative Models package defines the structure and syntax necessary to describe qualitative models that associate discrete levels of activities with entity pools and the transitions between states that describe the processes involved. This is particularly suited to logical models (Boolean or multi-valued) and some classes of Petri net models can be encoded with the approach.

  12. Wafer chamber having a gas curtain for extreme-UV lithography

    DOEpatents

    Kanouff, Michael P.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    An EUVL device includes a wafer chamber that is separated from the upstream optics by a barrier having an aperture that is permeable to the inert gas. Maintaining an inert gas curtain in the proximity of a wafer positioned in a chamber of an extreme ultraviolet lithography device can effectively prevent contaminants from reaching the optics in an extreme ultraviolet photolithography device even though solid window filters are not employed between the source of reflected radiation, e.g., the camera, and the wafer. The inert gas removes the contaminants by entrainment.

  13. A three-mask process for fabricating vacuum-sealed capacitive micromachined ultrasonic transducers using anodic bonding.

    PubMed

    Yamaner, F Yalçın; Zhang, Xiao; Oralkan, Ömer

    2015-05-01

    This paper introduces a simplified fabrication method for vacuum-sealed capacitive micromachined ultrasonic transducer (CMUT) arrays using anodic bonding. Anodic bonding provides the established advantages of wafer-bondingbased CMUT fabrication processes, including process simplicity, control over plate thickness and properties, high fill factor, and ability to implement large vibrating cells. In addition to these, compared with fusion bonding, anodic bonding can be performed at lower processing temperatures, i.e., 350°C as opposed to 1100°C; surface roughness requirement for anodic bonding is more than 10 times more relaxed, i.e., 5-nm rootmean- square (RMS) roughness as opposed to 0.5 nm for fusion bonding; anodic bonding can be performed on smaller contact area and hence improves the fill factor for CMUTs. Although anodic bonding has been previously used for CMUT fabrication, a CMUT with a vacuum cavity could not have been achieved, mainly because gas is trapped inside the cavities during anodic bonding. In the approach we present in this paper, the vacuum cavity is achieved by opening a channel in the plate structure to evacuate the trapped gas and subsequently sealing this channel by conformal silicon nitride deposition in the vacuum environment. The plate structure of the fabricated CMUT consists of the single-crystal silicon device layer of a silicon-on-insulator wafer and a thin silicon nitride insulation layer. The presented fabrication approach employs only three photolithographic steps and combines the advantages of anodic bonding with the advantages of a patterned metal bottom electrode on an insulating substrate, specifically low parasitic series resistance and low parasitic shunt capacitance. In this paper, the developed fabrication scheme is described in detail, including process recipes. The fabricated transducers are characterized using electrical input impedance measurements in air and hydrophone measurements in immersion. A representative

  14. Delta-Doping at Wafer Level for High Throughput, High Yield Fabrication of Silicon Imaging Arrays

    NASA Technical Reports Server (NTRS)

    Hoenk, Michael E. (Inventor); Nikzad, Shoulch (Inventor); Jones, Todd J. (Inventor); Greer, Frank (Inventor); Carver, Alexander G. (Inventor)

    2014-01-01

    Systems and methods for producing high quantum efficiency silicon devices. A silicon MBE has a preparation chamber that provides for cleaning silicon surfaces using an oxygen plasma to remove impurities and a gaseous (dry) NH3 + NF3 room temperature oxide removal process that leaves the silicon surface hydrogen terminated. Silicon wafers up to 8 inches in diameter have devices that can be fabricated using the cleaning procedures and MBE processing, including delta doping.

  15. Ultra-Gradient Test Cavity for Testing SRF Wafer Samples

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    N.J. Pogue, P.M. McIntyre, A.I. Sattarov, C. Reece

    2010-11-01

    A 1.3 GHz test cavity has been designed to test wafer samples of superconducting materials. This mushroom shaped cavity, operating in TE01 mode, creates a unique distribution of surface fields. The surface magnetic field on the sample wafer is 3.75 times greater than elsewhere on the Niobium cavity surface. This field design is made possible through dielectrically loading the cavity by locating a hemisphere of ultra-pure sapphire just above the sample wafer. The sapphire pulls the fields away from the walls so the maximum field the Nb surface sees is 25% of the surface field on the sample. In thismore » manner, it should be possible to drive the sample wafer well beyond the BCS limit for Niobium while still maintaining a respectable Q. The sapphire's purity must be tested for its loss tangent and dielectric constant to finalize the design of the mushroom test cavity. A sapphire loaded CEBAF cavity has been constructed and tested. The results on the dielectric constant and loss tangent will be presented« less

  16. Vacuum-Induction, Vacuum-Arc, and Air-Induction Melting of a Complex Heat-Resistant Alloy

    NASA Technical Reports Server (NTRS)

    Decker, R. F.; Rowe, John P.; Freeman, J. W.

    1959-01-01

    The relative hot-workability and creep-rupture properties at 1600 F of a complex 55Ni-20Cr-15Co-4Mo-3Ti-3Al alloy were evaluated for vacuum-induction, vacuum-arc, and air-induction melting. A limited study of the role of oxygen and nitrogen and the structural effects in the alloy associated with the melting process was carried out. The results showed that the level of boron and/or zirconium was far more influential on properties than the melting method. Vacuum melting did reduce corner cracking and improve surface during hot-rolling. It also resulted in more uniform properties within heats. The creep-rupture properties were slightly superior in vacuum heats at low boron plus zirconium or in heats with zirconium. There was little advantage at high boron levels and air heats were superior at high levels of boron plus zirconium. Vacuum heats also had fewer oxide and carbonitride inclusions although this was a function of the opportunity for separation of the inclusions from high oxygen plus nitrogen heats. The removal of phosphorous by vacuum melting was not found to be related to properties. Oxygen plus nitrogen appeared to increase ductility in creep-rupture tests suggesting that vacuum melting removes unidentified elements detrimental to ductility. Oxides and carbonitrides in themselves did not initiate microcracks. Carbonitrides in the grain boundaries of air heats did initiate microcracks. The role of microcracking from this source and as a function of oxygen and nitrogen content was not clear. Oxygen and nitrogen did intensify corner cracking during hot-rolling but were not responsible for poor surface which resulted from rolling heats melted in air.

  17. In vitro and in vivo evaluation of a sublingual fentanyl wafer formulation

    PubMed Central

    Lim, Stephen CB; Paech, Michael J; Sunderland, Bruce; Liu, Yandi

    2013-01-01

    Background The objective of this study was to prepare a novel fentanyl wafer formulation by a freeze-drying method, and to evaluate its in vitro and in vivo release characteristics, including its bioavailability via the sublingual route. Methods The wafer formulation was prepared by freeze-drying an aqueous dispersion of fentanyl containing sodium carboxymethylcellulose and amylogum as matrix formers. Uniformity of weight, friability, and dissolution testing of the fentanyl wafer was achieved using standard methods, and the residual moisture content was measured. The fentanyl wafer was also examined using scanning electron microscopy and x-ray diffraction. The absolute bioavailability of the fentanyl wafer was evaluated in 11 opioid-naïve adult female patients using a randomized crossover design. Results In vitro release showed that almost 90% of the fentanyl dissolved in one minute. In vivo, the first detectable plasma fentanyl concentration was observed after 3.5 minutes and the peak plasma concentration between 61.5 and 67 minutes. The median absolute bioavailability was 53.0%. Conclusion These results indicate that this wafer has potential as an alternative sublingual fentanyl formulation. PMID:23596347

  18. Beneficial defects: exploiting the intrinsic polishing-induced wafer roughness for the catalyst-free growth of Ge in-plane nanowires

    PubMed Central

    2014-01-01

    We outline a metal-free fabrication route of in-plane Ge nanowires on Ge(001) substrates. By positively exploiting the polishing-induced defects of standard-quality commercial Ge(001) wafers, micrometer-length wires are grown by physical vapor deposition in ultra-high-vacuum environment. The shape of the wires can be tailored by the epitaxial strain induced by subsequent Si deposition, determining a progressive transformation of the wires in SiGe faceted quantum dots. This shape transition is described by finite element simulations of continuous elasticity and gives hints on the equilibrium shape of nanocrystals in the presence of tensile epitaxial strain. PACS 81.07.Gf; 68.35.bg; 68.35.bj; 62.23.Eg PMID:25114649

  19. Beneficial defects: exploiting the intrinsic polishing-induced wafer roughness for the catalyst-free growth of Ge in-plane nanowires.

    PubMed

    Persichetti, Luca; Sgarlata, Anna; Mori, Stefano; Notarianni, Marco; Cherubini, Valeria; Fanfoni, Massimo; Motta, Nunzio; Balzarotti, Adalberto

    2014-01-01

    We outline a metal-free fabrication route of in-plane Ge nanowires on Ge(001) substrates. By positively exploiting the polishing-induced defects of standard-quality commercial Ge(001) wafers, micrometer-length wires are grown by physical vapor deposition in ultra-high-vacuum environment. The shape of the wires can be tailored by the epitaxial strain induced by subsequent Si deposition, determining a progressive transformation of the wires in SiGe faceted quantum dots. This shape transition is described by finite element simulations of continuous elasticity and gives hints on the equilibrium shape of nanocrystals in the presence of tensile epitaxial strain. 81.07.Gf; 68.35.bg; 68.35.bj; 62.23.Eg.

  20. Resonance ultrasonic vibrations in Cz-Si wafers as a possible diagnostic technique in ion implantation

    NASA Astrophysics Data System (ADS)

    Zhao, Z. Y.; Ostapenko, S.; Anundson, R.; Tvinnereim, M.; Belyaev, A.; Anthony, M.

    2001-07-01

    The semiconductor industry does not have effective metrology for well implants. The ability to measure such deep level implants will become increasingly important as we progress along the technology road map. This work explores the possibility of using the acoustic whistle effect on ion implanted silicon wafers. The technique detects the elastic stress and defects in silicon wafers by measuring the sub-harmonic f/2 resonant vibrations on a wafer induced via backside contact to create standing waves, which are measured by a non-contact ultrasonic probe. Preliminary data demonstrates that it is sensitive to implant damage, and there is a direct correlation between this sub-harmonic acoustic mode and some of the implant and anneal conditions. This work presents the results of a feasibility study to assess and quantify the correspondent whistle effect to implant damage, residual damage after annealing and intrinsic defects.

  1. Control wafer bow of InGaP on 200 mm Si by strain engineering

    NASA Astrophysics Data System (ADS)

    Wang, Bing; Bao, Shuyu; Made, Riko I.; Lee, Kwang Hong; Wang, Cong; Eng Kian Lee, Kenneth; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-12-01

    When epitaxially growing III-V compound semiconductors on Si substrates the mismatch of coefficients of thermal expansion (CTEs) between III-V and Si causes stress and wafer bow. The wafer bow is deleterious for some wafer-scale processing especially when the wafer size is large. Strain engineering was applied in the epitaxy of InGaP films on 200 mm silicon wafers having high quality germanium buffers. By applying compressive strain in the InGaP films to compensate the tensile strain induced by CTE mismatch, wafer bow was decreased from about 100 μm to less than 50 μm. X-ray diffraction studies show a clear trend between the decrease of wafer bow and the compensation of CTE mismatch induced tensile strain in the InGaP layers. In addition, the anisotropic strain relaxation in InGaP films resulted in anisotropic wafer bow along two perpendicular (110) directions. Etch pit density and plane-view transmission electron microscopy characterizations indicate that threading dislocation densities did not change significantly due to the lattice-mismatch applied in the InGaP films. This study shows that strain engineering is an effective method to control wafer bow when growing III-V semiconductors on large size Si substrates.

  2. Vacuum microelectronics for beam power and rectennas

    NASA Technical Reports Server (NTRS)

    Gray, Henry F.

    1989-01-01

    Vacuum Microelectronic devices can be described as vacuum transistors or micro-miniature vacuum tubes, as one chooses. The fundamental reason behind this new technology is the very large current densities available from field emitters, namely as high as 10(8) A/sq cm. Array current densities as high as 1000 A/sq cm have been measured. Total electron transit times from source to drain for 1 micron feature size devices have been predicted to be about 150fs. This very short transit time implies the possibility of submillimeter wave transmitters and rectennas in devices which can operate with reasonably high voltages and which are small in size and are lightweight. In addition, they are expected to be extremely radiation hard and very temperature insensitive. That is, they are expected to have radiation hardness characteristics similar to vacuum tubes, and both the high temperature and low temperature limits should be determined by the package. That is, there should be no practical intrinsic temperature or carrier freezeout problems for devices based on metals or composites. But the technology is difficult to implement at the present time because it is based on 300 to 500 angstrom radius field emitters which must be relatively uniform. There is also the need to understand the non-equilibrium transport physics in the near-surface regions of the field emitters.

  3. Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures

    NASA Astrophysics Data System (ADS)

    Kang, Kibum; Lee, Kan-Heng; Han, Yimo; Gao, Hui; Xie, Saien; Muller, David A.; Park, Jiwoong

    2017-10-01

    High-performance semiconductor films with vertical compositions that are designed to atomic-scale precision provide the foundation for modern integrated circuitry and novel materials discovery. One approach to realizing such films is sequential layer-by-layer assembly, whereby atomically thin two-dimensional building blocks are vertically stacked, and held together by van der Waals interactions. With this approach, graphene and transition-metal dichalcogenides--which represent one- and three-atom-thick two-dimensional building blocks, respectively--have been used to realize previously inaccessible heterostructures with interesting physical properties. However, no large-scale assembly method exists at present that maintains the intrinsic properties of these two-dimensional building blocks while producing pristine interlayer interfaces, thus limiting the layer-by-layer assembly method to small-scale proof-of-concept demonstrations. Here we report the generation of wafer-scale semiconductor films with a very high level of spatial uniformity and pristine interfaces. The vertical composition and properties of these films are designed at the atomic scale using layer-by-layer assembly of two-dimensional building blocks under vacuum. We fabricate several large-scale, high-quality heterostructure films and devices, including superlattice films with vertical compositions designed layer-by-layer, batch-fabricated tunnel device arrays with resistances that can be tuned over four orders of magnitude, band-engineered heterostructure tunnel diodes, and millimetre-scale ultrathin membranes and windows. The stacked films are detachable, suspendable and compatible with water or plastic surfaces, which will enable their integration with advanced optical and mechanical systems.

  4. Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures.

    PubMed

    Kang, Kibum; Lee, Kan-Heng; Han, Yimo; Gao, Hui; Xie, Saien; Muller, David A; Park, Jiwoong

    2017-10-12

    High-performance semiconductor films with vertical compositions that are designed to atomic-scale precision provide the foundation for modern integrated circuitry and novel materials discovery. One approach to realizing such films is sequential layer-by-layer assembly, whereby atomically thin two-dimensional building blocks are vertically stacked, and held together by van der Waals interactions. With this approach, graphene and transition-metal dichalcogenides-which represent one- and three-atom-thick two-dimensional building blocks, respectively-have been used to realize previously inaccessible heterostructures with interesting physical properties. However, no large-scale assembly method exists at present that maintains the intrinsic properties of these two-dimensional building blocks while producing pristine interlayer interfaces, thus limiting the layer-by-layer assembly method to small-scale proof-of-concept demonstrations. Here we report the generation of wafer-scale semiconductor films with a very high level of spatial uniformity and pristine interfaces. The vertical composition and properties of these films are designed at the atomic scale using layer-by-layer assembly of two-dimensional building blocks under vacuum. We fabricate several large-scale, high-quality heterostructure films and devices, including superlattice films with vertical compositions designed layer-by-layer, batch-fabricated tunnel device arrays with resistances that can be tuned over four orders of magnitude, band-engineered heterostructure tunnel diodes, and millimetre-scale ultrathin membranes and windows. The stacked films are detachable, suspendable and compatible with water or plastic surfaces, which will enable their integration with advanced optical and mechanical systems.

  5. Industrial application of an antilisterial strain of Lactobacillus sakei as a protective culture and its effect on the sensory acceptability of cooked, sliced, vacuum-packaged meats.

    PubMed

    Bredholt, S; Nesbakken, T; Holck, A

    2001-06-15

    The application of a protective lactic acid bacterium (LAB) during the commercial production of cooked meat products is described. The LAB, a strain of Lactobacillus sakei, was previously isolated from cooked ham and inhibited growth of Listeria monocytogenes and Escherichia coli O157:H7 in this product. L. sakei was applied to the cooked products at a concentration of 10(5)-10(6) cfu/g immediately before slicing and vacuum-packaging using a hand-operated spraying bottle. The LAB strain inhibited growth of 10(3) cfu/g of a cocktail of three rifampicin resistant mutant L. monocytogenes strains both at 8 degrees C and 4 degrees C. Consumer acceptance tests of cooked ham and of servelat sausage, a Norwegian non-fermented cooked meat sausage, showed that control and inoculated products were equally acceptable. The products were still acceptable after storage for 28 days at 4 degrees C and, after opening the packages, for a further 5 days at 4 degrees C. The findings presented here confirm that the L. sakei strain is suitable for use as a protective culture and may technically easily be implemented in the commercial production of cooked meat products.

  6. Lipid oxidation and color changes of goose meat stored under vacuum and modified atmosphere conditions.

    PubMed

    Orkusz, A; Haraf, G; Okruszek, A; Werenska-Sudnik, M

    2017-03-01

    The objective of the work was to investigate the color and lipid oxidation changes of goose breast meat packaged in vacuum and modified atmosphere (MA) conditions consisting of 80% O2, 20% CO2, and stored in refrigerated conditions at 4°C. Color stability was monitored by determining total heme pigments concentration; relative concentration of myoglobin, oxymyoglobin, and metmyoglobin; parameters of color L*, a*, b*, and sensory evaluation of the surface color. Lipid stability was measured by determining thiobarbituric acid reactive substances (TBARS). The samples were examined in 24 h after slaughter (unpacked muscles) and on d 4, 7, 9, 11 of storage (muscles packed in vacuum and in MA). Through the time of storage, samples packed in MA had higher TBARS values in comparison to the meat packed in vacuum. For samples packed in two types of atmospheres, the total pigments concentration decreased gradually within 11 d of storage. It was observed that relative metmyoglobin concentration increased whereas relative oxymyoglobin concentration decreased in total heme pigments in the MA stored muscle. The relative concentration of all three myoglobin forms sample packed in vacuum remained unchanged. The color parameters (L*, a*, b*) did not change for 11 d of storage for the vacuum packed meat. The value of the color parameter a* decreased and the value of the color parameters L* and b* increased in the samples packaged in MA. The data prove that if you store goose meat in MA (consisting of 80% O2, 20% CO2) or vacuum, the unchanged surface color is preserved for 9 and 11 day, respectively.Vacuum appears to be a better method as regards the maintaining of lipid stability in goose meat. © 2016 Poultry Science Association Inc.

  7. W-Band On-Wafer Measurement of Uniplanar Slot-Type Antennas

    NASA Technical Reports Server (NTRS)

    Raman, Sanjay; Gauthier, Gildas P.; Rebeiz, Gabriel M.

    1997-01-01

    Uniplanar slot-type antennas such as coplanar waveguide fed single- and dual-polarized slot-ring antennas and double folded-slot antennas are characterized using a millimeter-wave network analyzer and on-wafer measurement techniques. The antennas are designed to be mounted on a dielectric lens to minimize power loss into substrate modes and realize high-gain antenna patterns. On-wafer measurements are performed by placing the antenna wafer on a thick dielectric spacer of similar e(sub t) and eliminating the reflection from the probe station chuck with time-domain gating. The measured results agree well with method-of-moments simulations.

  8. Modeling and optimization of sensory changes and shelf-life in vacuum-packaged cooked ham treated by E-beam irradiation

    NASA Astrophysics Data System (ADS)

    Benedito, J.; Cambero, M. I.; Ortuño, C.; Cabeza, M. C.; Ordoñez, J. A.; de la Hoz, L.

    2011-03-01

    The E-beam irradiation of vacuum-packaged RTE cooked ham was carried out to establish the dose required to achieve the food safety objective (FSO) and to minimize changes in selected sensory attributes. Cooked ham was irradiated with doses ranging 1-4 kGy. After the treatment, the microbial inactivation of Listeria monocytogenes, the shelf-life of the product and some sensory attributes (appearance, odor, and flavor) were determined. The inactivation of L. monocytogenes was satisfactorily described by a first-order kinetics equation ( R2=0.99). The influence of the irradiation dose on appearance, odor, and flavor was modeled through Gompertz ( R2=0.99, for appearance) and Activation/Inactivation ( R2=0.99, for odor and flavor) equations. A model was also developed to determine the shelf-life of irradiated cooked ham depending on the irradiation dose ( R2>0.91). The dose that maximized the scores of the sensory attributes was 0.96 kGy resulting in an acceptable sensory quality for 80 days. It is possible to apply up to 2 kGy to ensure microbial safety, while provoking no significant changes in the above mentioned sensory attributes.

  9. Investigation of radiation hardened SOI wafer fabricated by ion-cut technique

    NASA Astrophysics Data System (ADS)

    Chang, Yongwei; Wei, Xing; Zhu, Lei; Su, Xin; Gao, Nan; Dong, Yemin

    2018-07-01

    Total ionizing dose (TID) effect on Silicon-on-Insulator (SOI) wafers due to inherent buried oxide (BOX) is a significant concern as it leads to the degradation of electrical properties of SOI-based devices and circuits, even failures of the systems associated with them. This paper reports the radiation hardening implementation of SOI wafer fabricated by ion-cut technique integrated with low-energy Si+ implantation. The electrical properties and radiation response of pseudo-MOS transistors are analyzed. The results demonstrate that the hardening process can significantly improve the TID tolerance of SOI wafers by generating Si nanocrystals (Si-NCs) within the BOX. The presence of Si-NCs created through Si+ implantation is evidenced by high-resolution transmission electron microscopy (HR-TEM). Under the pass gate (PG) irradiation bias, the anti-radiation properties of H-gate SOI nMOSFETs suggest that the radiation hardened SOI wafers with optimized Si implantation dose can perform effectively in a radiation environment. The radiation hardening process provides an excellent way to reinforce the TID tolerance of SOI wafers.

  10. Meat Processing Plant Microbiome and Contamination Patterns of Cold-Tolerant Bacteria Causing Food Safety and Spoilage Risks in the Manufacture of Vacuum-Packaged Cooked Sausages.

    PubMed

    Hultman, Jenni; Rahkila, Riitta; Ali, Javeria; Rousu, Juho; Björkroth, K Johanna

    2015-10-01

    Refrigerated food processing facilities are specific man-made niches likely to harbor cold-tolerant bacteria. To characterize this type of microbiota and study the link between processing plant and product microbiomes, we followed and compared microbiota associated with the raw materials and processing stages of a vacuum-packaged, cooked sausage product affected by a prolonged quality fluctuation with occasional spoilage manifestations during shelf life. A total of 195 samples were subjected to culturing and amplicon sequence analyses. Abundant mesophilic psychrotrophs were detected within the microbiomes throughout the different compartments of the production plant environment. However, each of the main genera of food safety and quality interest, e.g., Leuconostoc, Brochothrix, and Yersinia, had their own characteristic patterns of contamination. Bacteria from the genus Leuconostoc, commonly causing spoilage of cold-stored, modified-atmosphere-packaged foods, were detected in high abundance (up to >98%) in the sausages studied. The same operational taxonomic units (OTUs) were, however, detected in lower abundances in raw meat and emulsion (average relative abundance of 2%±5%), as well as on the processing plant surfaces (<4%). A completely different abundance profile was found for OTUs phylogenetically close to the species Yersinia pseudotuberculosis. These OTUs were detected in high abundance (up to 28%) on the processing plant surfaces but to a lesser extent (<1%) in raw meat, sausage emulsion, and sausages. The fact that Yersinia-like OTUs were found on the surfaces of a high-hygiene packaging compartment raises food safety concerns related to their resilient existence on surfaces. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  11. Meat Processing Plant Microbiome and Contamination Patterns of Cold-Tolerant Bacteria Causing Food Safety and Spoilage Risks in the Manufacture of Vacuum-Packaged Cooked Sausages

    PubMed Central

    Rahkila, Riitta; Ali, Javeria; Rousu, Juho; Björkroth, K. Johanna

    2015-01-01

    Refrigerated food processing facilities are specific man-made niches likely to harbor cold-tolerant bacteria. To characterize this type of microbiota and study the link between processing plant and product microbiomes, we followed and compared microbiota associated with the raw materials and processing stages of a vacuum-packaged, cooked sausage product affected by a prolonged quality fluctuation with occasional spoilage manifestations during shelf life. A total of 195 samples were subjected to culturing and amplicon sequence analyses. Abundant mesophilic psychrotrophs were detected within the microbiomes throughout the different compartments of the production plant environment. However, each of the main genera of food safety and quality interest, e.g., Leuconostoc, Brochothrix, and Yersinia, had their own characteristic patterns of contamination. Bacteria from the genus Leuconostoc, commonly causing spoilage of cold-stored, modified-atmosphere-packaged foods, were detected in high abundance (up to >98%) in the sausages studied. The same operational taxonomic units (OTUs) were, however, detected in lower abundances in raw meat and emulsion (average relative abundance of 2% ± 5%), as well as on the processing plant surfaces (<4%). A completely different abundance profile was found for OTUs phylogenetically close to the species Yersinia pseudotuberculosis. These OTUs were detected in high abundance (up to 28%) on the processing plant surfaces but to a lesser extent (<1%) in raw meat, sausage emulsion, and sausages. The fact that Yersinia-like OTUs were found on the surfaces of a high-hygiene packaging compartment raises food safety concerns related to their resilient existence on surfaces. PMID:26231646

  12. Multi-wire slurry wafering demonstrations. [slicing silicon ingots for solar arrays

    NASA Technical Reports Server (NTRS)

    Chen, C. P.

    1978-01-01

    Ten slicing demonstrations on a multi-wire slurry saw, made to evaluate the silicon ingot wafering capabilities, reveal that the present sawing capabilities can provide usable wafer area from an ingot 1.05m/kg (e.g. kerf width 0.135 mm and wafer thickness 0.265 mm). Satisfactory surface qualities and excellent yield of silicon wafers were found. One drawback is that the add-on cost of producing water from this saw, as presently used, is considerably higher than other systems being developed for the low-cost silicon solar array project (LSSA), primarily because the saw uses a large quantity of wire. The add-on cost can be significantly reduced by extending the wire life and/or by rescue of properly plated wire to restore the diameter.

  13. High frequency guided wave propagation in monocrystalline silicon wafers

    NASA Astrophysics Data System (ADS)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2017-04-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full three-dimensional Finite Element simulations of the guided wave propagation were conducted to visualize and quantify these effects for a line source. The phase velocity (slowness) and skew angle of the two fundamental Lamb wave modes (first anti-symmetric mode A0 and first symmetric mode S0) for varying propagation directions relative to the crystal orientation were measured experimentally. Selective mode excitation was achieved using a contact piezoelectric transducer with a custom-made wedge and holder to achieve a controlled contact pressure. The out-of-plane component of the guided wave propagation was measured using a noncontact laser interferometer. Good agreement was found with the simulation results and theoretical predictions based on nominal material properties of the silicon wafer.

  14. Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry.

    PubMed

    Murphy, Cynthia F; Kenig, George A; Allen, David T; Laurent, Jean-Philippe; Dyer, David E

    2003-12-01

    Currently available data suggest that most of the energy and material consumption related to the production of an integrated circuit is due to the wafer fabrication process. The complexity of wafer manufacturing, requiring hundreds of steps that vary from product to product and from facility to facility and which change every few years, has discouraged the development of material, energy, and emission inventory modules for the purpose of insertion into life cycle assessments. To address this difficulty, a flexible, process-based system for estimating material requirements, energy requirements, and emissions in wafer fabrication has been developed. The method accounts for mass and energy use atthe unit operation level. Parametric unit operation modules have been developed that can be used to predict changes in inventory as the result of changes in product design, equipment selection, or process flow. A case study of the application of the modules is given for energy consumption, but a similar methodology can be used for materials, individually or aggregated.

  15. Three Dimensional Integration and On-Wafer Packaging for Heterogeneous Wafer-Scale Circuit Architectures

    DTIC Science & Technology

    2006-11-01

    Chip Level CMOS Chip High resistivity Si Metal Interconnect 25μm 24GHz fully integrated receiver CMOS transimpedance Amplifier (13GHz BW, 52dBΩ...power of a high-resistivity SiGe power amplifier chip with the wide operating frequency range and compactness of a CMOS mixed signal chip operating...With good RF channel selectivity, system specifications such as the linearity of the low noise amplifier (LNA), the phase noise of the voltage

  16. Comparative evaluation of salivary bisphenol A levels in patients wearing vacuum-formed and Hawley retainers: An in-vivo study.

    PubMed

    Raghavan, Akila Srinivasan; Pottipalli Sathyanarayana, Haritha; Kailasam, Vignesh; Padmanabhan, Sridevi

    2017-03-01

    The aims of the study were to evaluate and compare the bisphenol A (BPA) levels in saliva in patients using vacuum-formed retainers or Hawley retainers. Forty-five patients who had completed their fixed orthodontic treatment and were entering the retention phase were randomly allocated into 1 of 3 groups: vacuum-formed retainer, Hawley retainer fabricated by heat cure, and Hawley retainer fabricated by chemical cure. Saliva samples were collected immediately before placement, within 1 hour after placement, 1 week and 1 month after placement. Statistical analyses were performed by using 2-way analysis of variance and post-hoc multiple-comparisons Tukey HSD tests. Statistically significant BPA levels in saliva were found for all groups (P ≤0.05). The highest levels were noted in the vacuum-formed retainer group, followed by Hawley retainers fabricated by chemical cure; the lowest levels were found with Hawley retainers fabricated by heat cure. With many options available for removable retainers, clinicians should consider the BPA release from these retainers; a Hawley retainer fabricated by heat cure is a favorable choice. Copyright © 2016 American Association of Orthodontists. Published by Elsevier Inc. All rights reserved.

  17. Warpage Characteristics and Process Development of Through Silicon Via-Less Interconnection Technology.

    PubMed

    Shen, Wen-Wei; Lin, Yu-Min; Wu, Sheng-Tsai; Lee, Chia-Hsin; Huang, Shin-Yi; Chang, Hsiang-Hung; Chang, Tao-Chih; Chen, Kuan-Neng

    2018-08-01

    In this study, through silicon via (TSV)-less interconnection using the fan-out wafer-level-packaging (FO-WLP) technology and a novel redistribution layer (RDL)-first wafer level packaging are investigated. Since warpage of molded wafer is a critical issue and needs to be optimized for process integration, the evaluation of the warpage issue on a 12-inch wafer using finite element analysis (FEA) at various parameters is presented. Related parameters include geometric dimension (such as chip size, chip number, chip thickness, and mold thickness), materials' selection and structure optimization. The effect of glass carriers with various coefficients of thermal expansion (CTE) is also discussed. Chips are bonded onto a 12-inch reconstituted wafer, which includes 2 RDL layers, 3 passivation layers, and micro bumps, followed by using epoxy molding compound process. Furthermore, an optical surface inspector is adopted to measure the surface profile and the results are compared with the results from simulation. In order to examine the quality of the TSV-less interconnection structure, electrical measurement is conducted and the respective results are presented.

  18. Non-contact defect diagnostics in Cz-Si wafers using resonance ultrasonic vibrations

    NASA Astrophysics Data System (ADS)

    Belyaev, A.; Kochelap, V. A.; Tarasov, I.; Ostapenko, S.

    2001-01-01

    A new resonance effect of generation of sub-harmonic acoustic vibrations was applied to characterize defects in as-grown and processed Cz-Si wafers. Ultrasonic vibrations were generated into standard 8″ wafers using an external ultrasonic transducer and their amplitude recorded in a non-contact mode using a scanning acoustic probe. By tuning the frequency, f, of the transducer we observed generation of intense sub-harmonic acoustic mode ("whistle" or w-mode) with f/2 frequency. The characteristics of the w-mode-amplitude dependence, frequency scans, spatial distribution allow a clear distinction versus harmonic vibrations of the same wafer. The origin of sub-harmonic vibrations observed on 8″ Cz-Si wafers is attributed to a parametric resonance of flexural vibrations in thin silicon circular plates. We present evidence that "whistle" effect shows a strong dependence on the wafer's growth and processing history and can be used for quality assurance purposes.

  19. On the design of GaN vertical MESFETs on commercial LED sapphire wafers

    NASA Astrophysics Data System (ADS)

    Atalla, Mahmoud R. M.; Noor Elahi, Asim M.; Mo, Chen; Jiang, Zhenyu; Liu, Jie; Ashok, S.; Xu, Jian

    2016-12-01

    Design of GaN-based vertical metal-semiconductor field-effect transistors (MESFETs) on commercial light-emitting-diode (LED) epi-wafers has been proposed and proof of principle devices have been fabricated. In order to better understand the IV curves, these devices have been simulated using the charge transport model. It was found that shrinking the drain pillar size would significantly help in reaching cut-off at much lower gate bias even at high carrier concentration of unintentionally doped GaN and considerable leakage current caused by the Schottky barrier lowering. The realization of these vertical MESFETs on LED wafers would allow their chip-level integration. This would open a way to many intelligent lighting applications like on-chip current regulator and signal regulation/communication in display technology.

  20. Wafer-scale plasmonic and photonic crystal sensors

    NASA Astrophysics Data System (ADS)

    George, M. C.; Liu, J.-N.; Farhang, A.; Williamson, B.; Black, M.; Wangensteen, T.; Fraser, J.; Petrova, R.; Cunningham, B. T.

    2015-08-01

    200 mm diameter wafer-scale fabrication, metrology, and optical modeling results are reviewed for surface plasmon resonance (SPR) sensors based on 2-D metallic nano-dome and nano-hole arrays (NHA's) as well as 1-D photonic crystal sensors based on a leaky-waveguide mode resonance effect, with potential applications in label free sensing, surface enhanced Raman spectroscopy (SERS), and surface-enhanced fluorescence spectroscopy (SEFS). Potential markets include micro-arrays for medical diagnostics, forensic testing, environmental monitoring, and food safety. 1-D and 2-D nanostructures were fabricated on glass, fused silica, and silicon wafers using optical lithography and semiconductor processing techniques. Wafer-scale optical metrology results are compared to FDTD modeling and presented along with application-based performance results, including label-free plasmonic and photonic crystal sensing of both surface binding kinetics and bulk refractive index changes. In addition, SEFS and SERS results are presented for 1-D photonic crystal and 2-D metallic nano-array structures. Normal incidence transmittance results for a 550 nm pitch NHA showed good bulk refractive index sensitivity, however an intensity-based design with 665 nm pitch was chosen for use as a compact, label-free sensor at both 650 and 632.8 nm wavelengths. The optimized NHA sensor gives an SPR shift of about 480 nm per refractive index unit when detecting a series of 0-40% glucose solutions, but according to modeling shows about 10 times greater surface sensitivity when operating at 532 nm. Narrow-band photonic crystal resonance sensors showed quality factors over 200, with reasonable wafer-uniformity in terms of both resonance position and peak height.

  1. Successful Cleaning and Study of Contamination of Si(001) in Ultrahigh Vacuum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gheorghe, N. G.; Lungu, G. A.; Husanu, M. A.

    2011-10-03

    This paper presents the very first surface physics experiment performed in ultrahigh vacuum (UHV) in Romania, using a new molecular beam epitaxy (MBE) installation. Cleaning of a Si(001) wafer was achieved by using a very simple technique: sequences of annealing at 900-1000 deg. C in ultrahigh vacuum: low 10{sup -8} mbar, with a base pressure of 1.5x10{sup -10} mbar. The preparation procedure is quite reproducible and allows repeated cleaning of the Si(001) after contamination in ultrahigh vacuum. The Si(001) single crystal surface is characterized by low energy electron diffraction (LEED), reflection high energy electron diffraction (RHEED), and Auger electron spectroscopymore » (AES). The latter technique is utilized in order to investigate the sample contamination by the residual gas in the UHV chamber, as determined by a residual gas analyzer (RGA). Unambiguous assignment of oxidized and unoxidized silicon is provided; also, an important feature is that the LVV Auger peak at 90-92 eV cannot be solely attributed to clean Si (i.e. Si surrounded only by Si), but also to silicon atoms bounded with carbon. Even with a sum of partial pressures of oxygen and carbon containing molecules in the range of 5x10{sup -10} mbar, the sample is contaminated very quickly, having a (1/e) lifetime of about 76 minutes.« less

  2. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    NASA Astrophysics Data System (ADS)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    printability of defects at wafer level and automates the process of defect dispositioning from images captured using high resolution inspection machine. It first eliminates false defects due to registration, focus errors, image capture errors and random noise caused during inspection. For the remaining real defects, actual mask-like contours are generated using the Calibre® ILT solution [1][2], which is enhanced to predict the actual mask contours from high resolution defect images. It enables accurate prediction of defect contours, which is not possible from images captured using inspection machine because some information is already lost due to optical effects. Calibre's simulation engine is used to generate images at wafer level using scanner optical conditions and mask-like contours as input. The tool then analyses simulated images and predicts defect printability. It automatically calculates maximum CD variation and decides which defects are severe to affect patterns on wafer. In this paper, we assess the printability of defects for the mask of advanced technology nodes. In particular, we will compare the recovered mask contours with contours extracted from SEM image of the mask and compare simulation results with AIMSTM for a variety of defects and patterns. The results of printability assessment and the accuracy of comparison are presented in this paper. We also suggest how this method can be extended to predict printability of defects identified on EUV photomasks.

  3. Lamb wave propagation in monocrystalline silicon wafers.

    PubMed

    Fromme, Paul; Pizzolato, Marco; Robyr, Jean-Luc; Masserey, Bernard

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness and beam skewing of the two fundamental Lamb wave modes A 0 and S 0 were investigated. Experimental measurements using contact wedge transducer excitation and laser measurement were conducted. Good agreement was found between the theoretically calculated angular dependency of the phase slowness and measurements for different propagation directions relative to the crystal orientation. Significant wave skew and beam widening was observed experimentally due to the anisotropy, especially for the S 0 mode. Explicit finite element simulations were conducted to visualize and quantify the guided wave beam skew. Good agreement was found for the A 0 mode, but a systematic discrepancy was observed for the S 0 mode. These effects need to be considered for the non-destructive testing of wafers using guided waves.

  4. Compact particle accelerator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Elizondo-Decanini, Juan M.

    2017-08-29

    A compact particle accelerator having an input portion configured to receive power to produce particles for acceleration, where the input portion includes a switch, is provided. In a general embodiment, a vacuum tube receives particles produced from the input portion at a first end, and a plurality of wafer stacks are positioned serially along the vacuum tube. Each of the plurality of wafer stacks include a dielectric and metal-oxide pair, wherein each of the plurality of wafer stacks further accelerate the particles in the vacuum tube. A beam shaper coupled to a second end of the vacuum tube shapes themore » particles accelerated by the plurality of wafer stacks into a beam and an output portion outputs the beam.« less

  5. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  6. Enhancement of mercuric iodide detector performance through increases in wafer uniformity by purification and crystal growth in microgravity

    NASA Astrophysics Data System (ADS)

    Steiner, Bruce; van den Berg, Lodewijk; Laor, Uri

    1999-10-01

    Wafers from mercuric iodide crystals grown in microgravity on two occasions have previously been found to be characterized by a higher hole mobility-lifetime product, which enables energy dispersive radiation detectors with superior resolution. In the present work, we have identified the specific structural modifications that are responsible for this enhanced performance. As a result of this study, the performance of terrestrial wafers also has been improved but not yet to the level of wafers grown in microgravity. High resolution synchrotron x-ray diffraction images of a series of wafers, including those grown both in microgravity and on the ground, reveal two principal types of structural changes that are interrelated. One of these, arrays of inclusions, affects performance far more strongly than the other, variation in lattice orientation. Inclusions can be formed either from residual impurities or in response to deviations from ideal stoichiometry. The formation of both types is facilitated by gravity-driven convection during growth. As the level of inclusions is reduced, through growth from material of higher purity, through the achievement of balanced stoichiometry, or by suppression of convection mixing during crystal growth, the hole mobility-lifetime product is enhanced in spite of an accompanying decreased uniformity in lattice orientation. Sixfold enhancement in the performance of x- and γ-ray detectors has been accomplished to date. Further augmentation in performance appears likely.

  7. Cryogenic Insulation System for Soft Vacuum

    NASA Technical Reports Server (NTRS)

    Augustynowicz, S. D.; Fesmire, J. E.

    1999-01-01

    The development of a cryogenic insulation system for operation under soft vacuum is presented in this paper. Conventional insulation materials for cryogenic applications can be divided into three levels of thermal performance, in terms of apparent thermal conductivity [k-value in milliwatt per meter-kelvin (mW/m-K)]. System k-values below 0.1 can be achieved for multilayer insulation operating at a vacuum level below 1 x 10(exp -4) torr. For fiberglass or powder operating below 1 x 10(exp -3) torr, k-values of about 2 are obtained. For foam and other materials at ambient pressure, k-values around 30 are typical. New industry and aerospace applications require a versatile, robust, low-cost thermal insulation with performance in the intermediate range. The target for the new composite insulation system is a k-value below 4.8 mW/m-K (R-30) at a soft vacuum level (from 1 to 10 torr) and boundary temperatures of approximately 77 and 293 kelvin (K). Many combinations of radiation shields, spacers, and composite materials were tested from high vacuum to ambient pressure using cryostat boiloff methods. Significant improvement over conventional systems in the soft vacuum range was demonstrated. The new layered composite insulation system was also shown to provide key benefits for high vacuum applications as well.

  8. Protecting quantum coherence of two-level atoms from vacuum fluctuations of electromagnetic field

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xiaobao; Tian, Zehua; Wang, Jieci

    In the framework of open quantum systems, we study the dynamics of a static polarizable two-level atom interacting with a bath of fluctuating vacuum electromagnetic field and explore under which conditions the coherence of the open quantum system is unaffected by the environment. For both a single-qubit and two-qubit systems, we find that the quantum coherence cannot be protected from noise when the atom interacts with a non-boundary electromagnetic field. However, with the presence of a boundary, the dynamical conditions for the insusceptible of quantum coherence are fulfilled only when the atom is close to the boundary and is transverselymore » polarizable. Otherwise, the quantum coherence can only be protected in some degree in other polarizable direction. -- Highlights: •We study the dynamics of a two-level atom interacting with a bath of fluctuating vacuum electromagnetic field. •For both a single and two-qubit systems, the quantum coherence cannot be protected from noise without a boundary. •The insusceptible of the quantum coherence can be fulfilled only when the atom is close to the boundary and is transversely polarizable. •Otherwise, the quantum coherence can only be protected in some degree in other polarizable direction.« less

  9. Preservation of fresh meat with active and modified atmosphere packaging conditions.

    PubMed

    Skandamis, Panagiotis N; Nychas, George-John E

    2002-11-15

    The sensory, microbiological and physicochemical attributes of fresh meat stored at 5 and 15 degrees C were affected by the combined effect of volatile compounds of oregano essential oil and modified atmosphere packaging conditions (40% CO2/30% N2/30% O2, 100% CO2, 80% CO2/20% air, vacuum pack and air). It was found that the extension of shelf life of meat samples depended on the packaging conditions and augmented in the order: air < vacuum pack < 40% CO2/30% N2/30% O2 < 80% CO2/ 20% air < 100% CO2. Longer shelf life was observed in samples supplemented with the volatile compounds of oregano essential oil and stored under the same packaging conditions mentioned above. The extension of shelf life may be due to the synergistic effect of volatile compounds of oregano essential oil and the modified atmosphere packaging used on the microbiological and physicochemical characteristics of meat. Indeed, both these hurdles can prolong and delay microbial growth or suppress the final counts of the spoilage microorganisms in comparison with the 'control' samples. The effect of essential oil volatile compounds was even more pronounced on the physicochemical changes of meat samples caused by microbial association. Oregano essential oil delayed glucose and lactate consumption, both indicators of meat spoilage aerobically as well as under 40% CO2/30% N2/30% O2, and 100% CO2. Finally, changes in other metabolites such as formic acid were also observed.

  10. Method and Apparatus for Obtaining a Precision Thickness in Semiconductor and Other Wafers

    NASA Technical Reports Server (NTRS)

    Okojie, Robert S. (Inventor)

    2002-01-01

    A method and apparatus for processing a wafer comprising a material selected from an electrical semiconducting material and an electrical insulating material is presented. The wafer has opposed generally planar front and rear sides and a peripheral edge, wherein said wafer is pressed against a pad in the presence of a slurry to reduce its thickness. The thickness of the wafer is controlled by first forming a recess such as a dimple on the rear side of the wafer. A first electrical conducting strip extends from a first electrical connection means to the base surface of the recess to the second electrical connector. The first electrical conducting strip overlies the base surface of the recess. There is also a second electrical conductor with an electrical potential source between the first electrical connector and the second electrical connector to form. In combination with the first electrical conducting strip, the second electrical conductor forms a closed electrical circuit, and an electrical current flows through the closed electrical circuit. From the front side of the wafer the initial thickness of the wafer is reduced by lapping until the base surface of the recess is reached. The conductive strip is at least partially removed from the base surface to automatically stop the lapping procedure and thereby achieve the desired thickness.

  11. An all-silicon single-wafer micro-g accelerometer with a combined surface and bulk micromachining process

    NASA Technical Reports Server (NTRS)

    Yazdi, N.; Najafi, K.

    2000-01-01

    This paper reports an all-silicon fully symmetrical z-axis micro-g accelerometer that is fabricated on a single-silicon wafer using a combined surface and bulk fabrication process. The microaccelerometer has high device sensitivity, low noise, and low/controllable damping that are the key factors for attaining micro g and sub-micro g resolution in capacitive accelerometers. The microfabrication process produces a large proof mass by using the whole wafer thickness and a large sense capacitance by utilizing a thin sacrificial layer. The sense/feedback electrodes are formed by a deposited 2-3 microns polysilicon film with embedded 25-35 microns-thick vertical stiffeners. These electrodes, while thin, are made very stiff by the thick embedded stiffeners so that force rebalancing of the proof mass becomes possible. The polysilicon electrodes are patterned to create damping holes. The microaccelerometers are batch-fabricated, packaged, and tested successfully. A device with a 2-mm x 1-mm proof mass and a full bridge support has a measured sensitivity of 2 pF/g. The measured sensitivity of a 4-mm x 1-mm accelerometer with a cantilever support is 19.4 pF/g. The calculated noise floor of these devices at atmosphere are 0.23 micro g/sqrt(Hz) and 0.16 micro g/sqrt(Hz), respectively.

  12. Vacuum birefringence in strong magnetic fields: (II) Complex refractive index from the lowest Landau level

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hattori, Koichi, E-mail: khattori@yonsei.ac.kr; Itakura, Kazunori, E-mail: kazunori.itakura@kek.jp; Department of Particle and Nuclear Studies, Graduate University for Advanced Studies

    2013-07-15

    We compute the refractive indices of a photon propagating in strong magnetic fields on the basis of the analytic representation of the vacuum polarization tensor obtained in our previous paper. When the external magnetic field is strong enough for the fermion one-loop diagram of the polarization tensor to be approximated by the lowest Landau level, the propagating mode in parallel to the magnetic field is subject to modification: The refractive index deviates from unity and can be very large, and when the photon energy is large enough, the refractive index acquires an imaginary part indicating decay of a photon intomore » a fermion–antifermion pair. We study dependences of the refractive index on the propagating angle and the magnetic-field strength. It is also emphasized that a self-consistent treatment of the equation which defines the refractive index is indispensable for accurate description of the refractive index. This self-consistent treatment physically corresponds to consistently including the effects of back reactions of the distorted Dirac sea in response to the incident photon. -- Highlights: •Vacuum birefringence and photon decay are described by the complex refractive index. •Resummed photon vacuum polarization tensor in the lowest Landau level is used. •Back reactions from the distorted Dirac sea are self-consistently taken into account. •Self-consistent treatment drastically changes structure in photon energy dependence. •Dependences on photon propagation angle and magnetic-field strength are presented.« less

  13. The influence of headspace and dissolved oxygen level on growth and haemolytic BL enterotoxin production of a psychrotolerant Bacillus weihenstephanensis isolate on potato based ready-to-eat food products.

    PubMed

    Samapundo, S; Everaert, H; Wandutu, J N; Rajkovic, A; Uyttendaele, M; Devlieghere, F

    2011-04-01

    The major objective of this study was to determine the influence of the initial headspace and dissolved O(2) level and vacuum packaging on growth and diarrhoeal enterotoxin production by Bacillus weihenstephanensis on potato based ready-to-eat food products. In general, the lower the initial headspace or dissolved O(2) level the slower the maximum growth rate (μ(max), log(10) CFU g(-1) d(-1)), the longer the lag phase duration (λ, d) and the smaller the maximum population density (N(max), log(10) CFU g(-1)) became. The slowest μ(max), the longest λ and the smallest N(max) were generally found for growth under vacuum packaging. This implies shorter shelf-lives will occur at higher initial headspace or dissolved O(2) levels as the growth of B. weihenstephanensis to the infective dose of 10(5) CFU g(-1) in such atmospheres takes a shorter time. Significant consumption of dissolved O(2) only occurred when growth shifted from the lag to the exponential phase and growth generally transitioned from the exponential to the stationary phase when the dissolved O(2) levels fell below ca. 75 ppb. Diarrhoeal enterotoxin production (determined via detection of the L2 component of haemolytic BL) was similar for growth under initial headspace O(2) levels of 1-20.9%, and was only reduced when growth took place under vacuum packaging. The reduction in L2 production when growth took place under vacuum was most probably related to the low final cell densities observed under this condition. Both growth and L2 production were inhibited over a 32-day incubation period at 7 °C by 40% CO(2) irrespective of the headspace or dissolved O(2) levels. The results illustrate the importance of residual O(2) and CO(2) on the shelf-stability and safety of modified atmosphere packaged potato based ready-to-eat food products with regards to B. weihenstephanensis. Copyright © 2010 Elsevier Ltd. All rights reserved.

  14. Automated Array Assembly Task In-depth Study of Silicon Wafer Surface Texturizing

    NASA Technical Reports Server (NTRS)

    Jones, G. T.; Chitre, S.; Rhee, S. S.; Allison, K. L.

    1979-01-01

    A low cost wafer surface texturizing process was studied. An investigation of low cost cleaning operations to clean residual wax and organics from the surface of silicon wafers was made. The feasibility of replacing dry nitrogen with clean dry air for drying silicon wafers was examined. The two stage texturizing process was studied for the purpose of characterizing relevant parameters in large volume applications. The effect of gettering solar cells on photovoltaic energy conversion efficiency is described.

  15. The Systems Biology Markup Language (SBML) Level 3 Package: Flux Balance Constraints.

    PubMed

    Olivier, Brett G; Bergmann, Frank T

    2015-09-04

    Constraint-based modeling is a well established modelling methodology used to analyze and study biological networks on both a medium and genome scale. Due to their large size, genome scale models are typically analysed using constraint-based optimization techniques. One widely used method is Flux Balance Analysis (FBA) which, for example, requires a modelling description to include: the definition of a stoichiometric matrix, an objective function and bounds on the values that fluxes can obtain at steady state. The Flux Balance Constraints (FBC) Package extends SBML Level 3 and provides a standardized format for the encoding, exchange and annotation of constraint-based models. It includes support for modelling concepts such as objective functions, flux bounds and model component annotation that facilitates reaction balancing. The FBC package establishes a base level for the unambiguous exchange of genome-scale, constraint-based models, that can be built upon by the community to meet future needs (e. g. by extending it to cover dynamic FBC models).

  16. The Systems Biology Markup Language (SBML) Level 3 Package: Flux Balance Constraints.

    PubMed

    Olivier, Brett G; Bergmann, Frank T

    2015-06-01

    Constraint-based modeling is a well established modelling methodology used to analyze and study biological networks on both a medium and genome scale. Due to their large size, genome scale models are typically analysed using constraint-based optimization techniques. One widely used method is Flux Balance Analysis (FBA) which, for example, requires a modelling description to include: the definition of a stoichiometric matrix, an objective function and bounds on the values that fluxes can obtain at steady state. The Flux Balance Constraints (FBC) Package extends SBML Level 3 and provides a standardized format for the encoding, exchange and annotation of constraint-based models. It includes support for modelling concepts such as objective functions, flux bounds and model component annotation that facilitates reaction balancing. The FBC package establishes a base level for the unambiguous exchange of genome-scale, constraint-based models, that can be built upon by the community to meet future needs (e. g. by extending it to cover dynamic FBC models).

  17. Researches on Position Detection for Vacuum Switch Electrode

    NASA Astrophysics Data System (ADS)

    Dong, Huajun; Guo, Yingjie; Li, Jie; Kong, Yihan

    2018-03-01

    Form and transformation character of vacuum arc is important influencing factor on the vacuum switch performance, and the dynamic separations of electrode is the chief effecting factor on the transformation of vacuum arcs forms. Consequently, how to detect the position of electrode to calculate the separations in the arcs image is of great significance. However, gray level distribution of vacuum arcs image isn’t even, the gray level of burning arcs is high, but the gray level of electrode is low, meanwhile, the forms of vacuum arcs changes sharply, the problems above restrict electrode position detection precisely. In this paper, algorithm of detecting electrode position base on vacuum arcs image was proposed. The digital image processing technology was used in vacuum switch arcs image analysis, the upper edge and lower edge were detected respectively, then linear fitting was done using the result of edge detection, the fitting result was the position of electrode, thus, accurate position detection of electrode was realized. From the experimental results, we can see that: algorithm described in this paper detected upper and lower edge of arcs successfully and the position of electrode was obtained through calculation.

  18. Engineering Controlled Spalling in (100)-Oriented GaAs for Wafer Reuse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweet, Cassi A.; McNeely, Joshua E.; Gorman, Brian

    Controlled spalling offers a way to cleave thin, single-crystal films or devices from wafers, particularly if the fracture planes in the material are oriented parallel to the wafer surface. Unfortunately, misalignment between the favored fracture planes and the wafer surface preferred for photovoltaic growth in (100)-oriented GaAs produces a highly faceted surface when subject to controlled spalling. This highly faceted cleavage surface is problematic in several ways: (1) it can result in large variations of spall depth due to unstable crack propagation; (2) it may introduce defects into the device zone or underlying substrate; and (3) it consumes many micronsmore » of material outside of the device zone. We present the ways in which we have engineered controlled spalling for (100)-oriented GaAs to minimize these effects. We expand the operational window for controlled spalling to avoid spontaneous spalling, find no evidence of dislocation activity in the spalled film or the parent wafer, and reduce facet height and facet height irregularity. Resolving these issues provides a viable path forward for reducing III-V device cost through the controlled spalling of (100)-oriented GaAs devices and subsequent wafer reuse when these processes are combined with a high-throughput growth method such as Hydride Vapor Phase Epitaxy.« less

  19. The Systems Biology Markup Language (SBML) Level 3 Package: Layout, Version 1 Core.

    PubMed

    Gauges, Ralph; Rost, Ursula; Sahle, Sven; Wengler, Katja; Bergmann, Frank T

    2015-06-01

    Many software tools provide facilities for depicting reaction network diagrams in a visual form. Two aspects of such a visual diagram can be distinguished: the layout (i.e.: the positioning and connections) of the elements in the diagram, and the graphical form of the elements (for example, the glyphs used for symbols, the properties of the lines connecting them, and so on). For software tools that also read and write models in SBML (Systems Biology Markup Language) format, a common need is to store the network diagram together with the SBML representation of the model. This in turn raises the question of how to encode the layout and the rendering of these diagrams. The SBML Level 3 Version 1 Core specification does not provide a mechanism for explicitly encoding diagrams, but it does provide a mechanism for SBML packages to extend the Core specification and add additional syntactical constructs. The Layout package for SBML Level 3 adds the necessary features to SBML so that diagram layouts can be encoded in SBML files, and a companion package called SBML Rendering specifies how the graphical rendering of elements can be encoded. The SBML Layout package is based on the principle that reaction network diagrams should be described as representations of entities such as species and reactions (with direct links to the underlying SBML elements), and not as arbitrary drawings or graphs; for this reason, existing languages for the description of vector drawings (such as SVG) or general graphs (such as GraphML) cannot be used.

  20. The Systems Biology Markup Language (SBML) Level 3 Package: Layout, Version 1 Core.

    PubMed

    Gauges, Ralph; Rost, Ursula; Sahle, Sven; Wengler, Katja; Bergmann, Frank Thomas

    2015-09-04

    Many software tools provide facilities for depicting reaction network diagrams in a visual form. Two aspects of such a visual diagram can be distinguished: the layout (i.e.: the positioning and connections) of the elements in the diagram, and the graphical form of the elements (for example, the glyphs used for symbols, the properties of the lines connecting them, and so on). For software tools that also read and write models in SBML (Systems Biology Markup Language) format, a common need is to store the network diagram together with the SBML representation of the model. This in turn raises the question of how to encode the layout and the rendering of these diagrams. The SBML Level 3 Version 1 Core specification does not provide a mechanism for explicitly encoding diagrams, but it does provide a mechanism for SBML packages to extend the Core specification and add additional syntactical constructs. The Layout package for SBML Level 3 adds the necessary features to SBML so that diagram layouts can be encoded in SBML files, and a companion package called SBML Rendering specifies how the graphical rendering of elements can be encoded. The SBML Layout package is based on the principle that reaction network diagrams should be described as representations of entities such as species and reactions (with direct links to the underlying SBML elements), and not as arbitrary drawings or graphs; for this reason, existing languages for the description of vector drawings (such as SVG) or general graphs (such as GraphML) cannot be used.

  1. Comparison of work rates, energy expenditure, and perceived exertion during a 1-h vacuuming task with a backpack vacuum cleaner and an upright vacuum cleaner.

    PubMed

    Mengelkoch, Larry J; Clark, Kirby

    2006-03-01

    The purpose of this study was to evaluate two types of industrial vacuum cleaners, in terms of cleaning rates, energy expenditure, and perceived exertion. Twelve industrial cleaners (six males and six females, age 28-39 yr) performed two 1-h vacuuming tasks with an upright vacuum cleaner (UVC) and a backpack vacuum cleaner (BPVC). Measures for oxygen uptake (VO2) and ratings of perceived exertion (RPE) were collected continuously during the 1-h vacuuming tasks. Cleaning rates for the UVC and BPVC were 7.23 and 14.98 m2min(-1), respectively. On a separate day subjects performed a maximal treadmill exercise test to determine their maximal aerobic capacity (peak VO2). Average absolute energy costs (in Metabolic equivalents), relative energy costs of the vacuum task compared to the subjects' maximal aerobic capacity (% peak VO2), and RPE responses for the 1-h vacuuming tasks were similar between vacuum cleaners, but % peak VO2 and RPE values differed between genders. These results indicate that the BPVC was more efficient than the UVC. With the BPVC, experienced workers vacuumed at a cleaning rate 2.07 times greater than the UVC and had similar levels of energy expenditure and perceived effort, compared to the slower cleaning rate with the UVC.

  2. Comparison on mechanical properties of heavily phosphorus- and arsenic-doped Czochralski silicon wafers

    NASA Astrophysics Data System (ADS)

    Yuan, Kang; Sun, Yuxin; Lu, Yunhao; Liang, Xingbo; Tian, Daxi; Ma, Xiangyang; Yang, Deren

    2018-04-01

    Heavily phosphorus (P)- and arsenic (As)-doped Czochralski silicon (CZ-Si) wafers generally act as the substrates for the epitaxial silicon wafers used to fabricate power and communication devices. The mechanical properties of such two kinds of n-type heavily doped CZ silicon wafers are vital to ensure the quality of epitaxial silicon wafers and the manufacturing yields of devices. In this work, the mechanical properties including the hardness, Young's modulus, indentation fracture toughness and the resistance to dislocation motion have been comparatively investigated for heavily P- and As-doped CZ-Si wafers. It is found that heavily P-doped CZ-Si possesses somewhat higher hardness, lower Young's modulus, larger indentation fracture toughness and stronger resistance to dislocation motion than heavily As-doped CZ-Si. The mechanisms underlying this finding have been tentatively elucidated by considering the differences in the doping effects of P and As in silicon.

  3. A Novel Defect Inspection Method for Semiconductor Wafer Based on Magneto-Optic Imaging

    NASA Astrophysics Data System (ADS)

    Pan, Z.; Chen, L.; Li, W.; Zhang, G.; Wu, P.

    2013-03-01

    The defects of semiconductor wafer may be generated from the manufacturing processes. A novel defect inspection method of semiconductor wafer is presented in this paper. The method is based on magneto-optic imaging, which involves inducing eddy current into the wafer under test, and detecting the magnetic flux associated with eddy current distribution in the wafer by exploiting the Faraday rotation effect. The magneto-optic image being generated may contain some noises that degrade the overall image quality, therefore, in this paper, in order to remove the unwanted noise present in the magneto-optic image, the image enhancement approach using multi-scale wavelet is presented, and the image segmentation approach based on the integration of watershed algorithm and clustering strategy is given. The experimental results show that many types of defects in wafer such as hole and scratch etc. can be detected by the method proposed in this paper.

  4. Enhanced capture rate for haze defects in production wafer inspection

    NASA Astrophysics Data System (ADS)

    Auerbach, Ditza; Shulman, Adi; Rozentsvige, Moshe

    2010-03-01

    Photomask degradation via haze defect formation is an increasing troublesome yield problem in the semiconductor fab. Wafer inspection is often utilized to detect haze defects due to the fact that it can be a bi-product of process control wafer inspection; furthermore, the detection of the haze on the wafer is effectively enhanced due to the multitude of distinct fields being scanned. In this paper, we demonstrate a novel application for enhancing the wafer inspection tool's sensitivity to haze defects even further. In particular, we present results of bright field wafer inspection using the on several photo layers suffering from haze defects. One way in which the enhanced sensitivity can be achieved in inspection tools is by using a double scan of the wafer: one regular scan with the normal recipe and another high sensitivity scan from which only the repeater defects are extracted (the non-repeater defects consist largely of noise which is difficult to filter). Our solution essentially combines the double scan into a single high sensitivity scan whose processing is carried out along two parallel routes (see Fig. 1). Along one route, potential defects follow the standard recipe thresholds to produce a defect map at the nominal sensitivity. Along the alternate route, potential defects are used to extract only field repeater defects which are identified using an optimal repeater algorithm that eliminates "false repeaters". At the end of the scan, the two defect maps are merged into one with optical scan images available for all the merged defects. It is important to note, that there is no throughput hit; in addition, the repeater sensitivity is increased relative to a double scan, due to a novel runtime algorithm implementation whose memory requirements are minimized, thus enabling to search a much larger number of potential defects for repeaters. We evaluated the new application on photo wafers which consisted of both random and haze defects. The evaluation procedure

  5. Vacuum force

    NASA Astrophysics Data System (ADS)

    Han, Yongquan

    2015-03-01

    To study on vacuum force, we must clear what is vacuum, vacuum is a space do not have any air and also ray. There is not exist an absolute the vacuum of space. The vacuum of space is relative, so that the vacuum force is relative. There is a certain that vacuum vacuum space exists. In fact, the vacuum space is relative, if the two spaces compared to the existence of relative vacuum, there must exist a vacuum force, and the direction of the vacuum force point to the vacuum region. Any object rotates and radiates. Rotate bend radiate- centripetal, gravity produced, relative gravity; non gravity is the vacuum force. Gravity is centripetal, is a trend that the objects who attracted wants to Centripetal, or have been do Centripetal movement. Any object moves, so gravity makes the object curve movement, that is to say, the radiation range curve movement must be in the gravitational objects, gravity must be existed in non vacuum region, and make the object who is in the region of do curve movement (for example: The earth moves around the sun), or final attracted in the form gravitational objects, and keep relatively static with attract object. (for example: objects on the earth moves but can't reach the first cosmic speed).

  6. InP-based photonic integrated circuit platform on SiC wafer.

    PubMed

    Takenaka, Mitsuru; Takagi, Shinichi

    2017-11-27

    We have numerically investigated the properties of an InP-on-SiC wafer as a photonic integrated circuit (PIC) platform. By bonding a thin InP-based semiconductor on a SiC wafer, SiC can be used as waveguide cladding, a heat sink, and a support substrate simultaneously. Since the refractive index of SiC is sufficiently low, PICs can be fabricated using InP-based strip and rib waveguides with a minimum bend radius of approximately 7 μm. High-thermal-conductivity SiC underneath an InP-based waveguide core markedly improves heat dissipation, resulting in superior thermal properties of active devices such as laser diodes. The InP-on-SiC wafer has significantly smaller thermal stress than InP-on-SiO 2 /Si wafer, which prevents the thermal degradation of InP-based devices during high-temperature processes. Thus, InP on SiC provides an ideal platform for high-performance PICs.

  7. Effects of vacuum level and pulsation rate on milk ejection and milk flow traits in Tunisian dairy camels (Camelus dromedarius).

    PubMed

    Atigui, Moufida; Marnet, Pierre-Guy; Barmat, Ahmed; Khorchani, Touhami; Hammadi, Mohamed

    2015-01-01

    This work aims to compare the effects of milking at two vacuum levels (38 and 48 kPa) and three pulsation rates (60, 90, and 120 cpm) on milk production and milk flow characteristics. Six multiparous Maghrebi camels in late lactation and once daily milked were used. The best combination of setting for camel's milking was high vacuum and low pulsation rate (48 kPa/60 cpm). Milk yield and average and peak milk flow rate were the highest, while milking time was the shortest using this combination of setting (3.05 ± 0.30 kg, 1.52 ± 0.21 kg/min, 2.52 ± 0.21 kg/min, and 3.32 ± 0.31 min, respectively). Lower vacuum level lengthened milking time by more than 100 % and was not sufficient to extract milk correctly (1.69 to 2.48 times less milk yield harvested), suggesting a negative interaction with the stimulatory effect of pulsation. Higher pulsation rates did not better stimulate the camels and induced more bimodality and lower milk flow rates. Animal characteristics and liner/claw design affect machine milking and further investigations must be carried out to verify their effects and to study long-term effect of high vacuum level on udder health and teat condition.

  8. Tunneling and traversal of ultracold three-level atoms through vacuum-induced potentials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Badshah, Fazal; Irfan, Muhammad; Qamar, Shahid

    2011-09-15

    The passage of ultracold three-level atoms through the potential induced by the vacuum cavity mode is discussed using cascade atomic configuration. We study the tunneling or traversal time of the ultracold atoms via a bimodal high-Q cavity. It is found that the phase time, which may be considered as a measure for the time required to traverse the cavity, exhibits superclassical and subclassical behaviors. Further, the dark states and interference effects in cascade atomic configuration may influence the passage time of the atom through the cavity.

  9. Silicon wafer temperature monitoring using all-fiber laser ultrasonics

    NASA Astrophysics Data System (ADS)

    Alcoz, Jorge J.; Duffer, Charles E.

    1998-03-01

    Laser-ultrasonics is a very attractive technique for in-line process control in the semiconductor industry as it is compatible with the clean room environment and offers the capability to inspect parts at high-temperature. We describe measurements of the velocity of laser-generated Lamb waves in silicon wafers as a function of temperature using fiber- optic laser delivery and all-fiber interferometric sensing. Fundamental anti-symmetric Lamb-wave modes were generated in 5 inches < 111 > silicon wafers using a Nd:YAG laser coupled to a large-core multimode fiber. Generation was also performed using an array of sources created with a diffraction grating. For detection a compact fiber-optic sensor was used which is well suited for industrial environments as it is compact, rugged, stable, and low-cost. The wafers were heated up to 1000 degrees C and the temperature correlated with ultrasonic velocity measurements.

  10. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    NASA Astrophysics Data System (ADS)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  11. Intentional defect array wafers: their practical use in semiconductor control and monitoring systems

    NASA Astrophysics Data System (ADS)

    Emami, Iraj; McIntyre, Michael; Retersdorf, Michael

    2003-07-01

    In the competitive world of semiconductor manufacturing today, control of the process and manufacturing equipment is paramount to success of the business. Consistent with the need for rapid development of process technology, is a need for development wiht respect to equipment control including defect metrology tools. Historical control methods for defect metrology tools included a raw count of defects detected on a characterized production or test wafer with little or not regard to the attributes of the detected defects. Over time, these characterized wafers degrade with multiple passes on the tools and handling requiring the tool owner to create and characterize new samples periodically. With the complex engineering software analysis systems used today, there is a strong reliance on the accuracy of defect size, location, and classification in order to provide the best value when correlating the in line to sort type of data. Intentional Defect Array (IDA) wafers were designed and manufacturered at International Sematech (ISMT) in Austin, Texas and is a product of collaboration between ISMT member companies and suppliers of advanced defect inspection equipment. These wafers provide the use with known defect types and sizes in predetermined locations across the entire wafer. The wafers are designed to incorporate several desired flows and use critical dimensions consistent with current and future technology nodes. This paper briefly describes the design of the IDA wafer and details many practical applications in the control of advanced defect inspection equipment.

  12. Contactless measurement of electrical conductivity of semiconductor wafers using the reflection of millimeter waves

    NASA Astrophysics Data System (ADS)

    Ju, Yang; Inoue, Kojiro; Saka, Masumi; Abe, Hiroyuki

    2002-11-01

    We present a method for quantitative measurement of electrical conductivity of semiconductor wafers in a contactless fashion by using millimeter waves. A focusing sensor was developed to focus a 110 GHz millimeter wave beam on the surface of a silicon wafer. The amplitude and the phase of the reflection coefficient of the millimeter wave signal were measured by which electrical conductivity of the wafer was determined quantitatively, independent of the permittivity and thickness of the wafers. The conductivity obtained by this method agrees well with that measured by the conventional four-point-probe method.

  13. Method for synthesis of high quality graphene

    DOEpatents

    Lanzara, Alessandra [Piedmont, CA; Schmid, Andreas K [Berkeley, CA; Yu, Xiaozhu [Berkeley, CA; Hwang, Choonkyu [Albany, CA; Kohl, Annemarie [Beneditkbeuern, DE; Jozwiak, Chris M [Oakland, CA

    2012-03-27

    A method is described herein for the providing of high quality graphene layers on silicon carbide wafers in a thermal process. With two wafers facing each other in close proximity, in a first vacuum heating stage, while maintained at a vacuum of around 10.sup.-6 Torr, the wafer temperature is raised to about 1500.degree. C., whereby silicon evaporates from the wafer leaving a carbon rich surface, the evaporated silicon trapped in the gap between the wafers, such that the higher vapor pressure of silicon above each of the wafers suppresses further silicon evaporation. As the temperature of the wafers is raised to about 1530.degree. C. or more, the carbon atoms self assemble themselves into graphene.

  14. 1366 Project Automate: Enabling Automation for <$0.10/W High-Efficiency Kerfless Wafers Manufactured in the US

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lorenz, Adam

    For photovoltaic (PV) manufacturing to thrive in the U.S., there must be an innovative core to the technology. Project Automate builds on 1366’s proprietary Direct Wafer® kerfless wafer technology and aims to unlock the cost and efficiency advantages of thin kerfless wafers. Direct Wafer is an innovative, U.S.-friendly (efficient, low-labor content) manufacturing process that addresses the main cost barrier limiting silicon PV cost-reductions – the 35-year-old grand challenge of manufacturing quality wafers (40% of the cost of modules) without the cost and waste of sawing. This simple, scalable process will allow 1366 to manufacture “drop-in” replacement wafers for the $10more » billion silicon PV wafer market at 50% of the cost, 60% of the capital, and 30% of the electricity of conventional casting and sawing manufacturing processes. This SolarMat project developed the Direct Wafer processes’ unique capability to tailor the shape of wafers to simultaneously make thinner AND stronger wafers (with lower silicon usage) that enable high-efficiency cell architectures. By producing wafers with a unique target geometry including a thick border (which determines handling characteristics) and thin interior regions (which control light capture and electron transport and therefore determine efficiency), 1366 can simultaneously improve quality and lower cost (using less silicon).« less

  15. Advanced FTIR technology for the chemical characterization of product wafers

    NASA Astrophysics Data System (ADS)

    Rosenthal, P. A.; Bosch-Charpenay, S.; Xu, J.; Yakovlev, V.; Solomon, P. R.

    2001-01-01

    Advances in chemically sensitive diagnostic techniques are needed for the characterization of compositionally variable materials such as chemically amplified resists, low-k dielectrics and BPSG films on product wafers. In this context, Fourier Transform Infrared (FTIR) reflectance spectroscopy is emerging as a preferred technique to characterize film chemistry and composition, due to its non-destructive nature and excellent sensitivity to molecular bonds and free carriers. While FTIR has been widely used in R&D environments, its application to mainstream production metrology and process monitoring on product wafers has historically been limited. These limitations have been eliminated in a series of recent FTIR technology advances, which include the use of 1) new sampling optics, which suppress artifact backside reflections and 2) comprehensive model-based analysis. With these recent improvements, it is now possible to characterize films on standard single-side polished product wafers with much simpler training wafer sets and machine-independent calibrations. In this new approach, the chemistry of the films is tracked via the measured infrared optical constants as opposed to conventional absorbance measurements. The extracted spectral optical constants can then be reduced to a limited set of parameters for process control. This paper describes the application of this new FTIR methodology to the characterization of 1) DUV photoresists after various processing steps, 2) low-k materials of different types and after various curing conditions, and 3) doped glass BPSG films of various concentration and, for the first time, widely different thicknesses. Such measurements can be used for improved process control on actual product wafers.

  16. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    NASA Astrophysics Data System (ADS)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  17. Protoflight photovoltaic power module system-level tests in the space power facility

    NASA Technical Reports Server (NTRS)

    Rivera, Juan C.; Kirch, Luke A.

    1989-01-01

    Work Package Four, which includes the NASA-Lewis and Rocketdyne, has selected an approach for the Space Station Freedom Photovoltaic (PV) Power Module flight certification that combines system level qualification and acceptance testing in the thermal vacuum environment: The protoflight vehicle approach. This approach maximizes ground test verification to assure system level performance and to minimize risk of on-orbit failures. The preliminary plans for system level thermal vacuum environmental testing of the protoflight PV Power Module in the NASA-Lewis Space Power Facility (SPF), are addressed. Details of the facility modifications to refurbish SPF, after 13 years of downtime, are briefly discussed. The results of an evaluation of the effectiveness of system level environmental testing in screening out incipient part and workmanship defects and unique failure modes are discussed. Preliminary test objectives, test hardware configurations, test support equipment, and operations are presented.

  18. Characteristics of nanocomposites and semiconductor heterostructure wafers using THz spectroscopy

    NASA Astrophysics Data System (ADS)

    Altan, Hakan

    All optical, THz-Time Domain Spectroscopic (THz-TDS) methods were employed towards determining the electrical characteristics of Single Walled Carbon Nanotubes, Ion Implanted Si nanoclusters and Si1-xGe x, HFO2, SiO2 on p-type Si wafers. For the nanoscale composite materials, Visible Pump/THz Probe spectroscopy measurements were performed after observing that the samples were not sensitive to the THz radiation alone. The results suggest that the photoexcited nanotubes exhibit localized transport due to Lorentz-type photo-induced localized states from 0.2 to 0.7THz. The THz transmission is modeled through the photoexcited layer with an effective dielectric constant described by a Drude + Lorentz model and given by Maxwell-Garnett theory. Comparisons are made with other prevalent theories that describe electronic transport. Similar experiments were repeated for ion-implanted, 3-4nm Si nanoclusters in fused silica for which a similar behavior was observed. In addition, a change in reflection from Si1-xGex on Si, 200mm diameter semiconductor heterostructure wafers with 10% or 15% Ge content, was measured using THz-TDS methods. Drude model is utilized for the transmission/reflection measurements and from the reflection data the mobility of each wafer is estimated. Furthermore, the effect of high-kappa dielectric material (HfO2) on the electrical properties of p-type silicon wafers was characterized by utilizing non-contact, differential (pump-pump off) spectroscopic methods to differ between HfO2 and SiO 2 on Si wafers. The measurements are analyzed in two distinct transmission models, where one is an exact representation of the layered structure for each wafer and the other assumed that the response observed from the differential THz transmission was solely due to effects from interfacial traps between the dielectric layer and the substrate. The latter gave a more accurate picture of the carrier dynamics. From these measurements the effect of interfacial defects on

  19. Wafer integrated micro-scale concentrating photovoltaics

    NASA Astrophysics Data System (ADS)

    Gu, Tian; Li, Duanhui; Li, Lan; Jared, Bradley; Keeler, Gordon; Miller, Bill; Sweatt, William; Paap, Scott; Saavedra, Michael; Das, Ujjwal; Hegedus, Steve; Tauke-Pedretti, Anna; Hu, Juejun

    2017-09-01

    Recent development of a novel micro-scale PV/CPV technology is presented. The Wafer Integrated Micro-scale PV approach (WPV) seamlessly integrates multijunction micro-cells with a multi-functional silicon platform that provides optical micro-concentration, hybrid photovoltaic, and mechanical micro-assembly. The wafer-embedded micro-concentrating elements is shown to considerably improve the concentration-acceptance-angle product, potentially leading to dramatically reduced module materials and fabrication costs, sufficient angular tolerance for low-cost trackers, and an ultra-compact optical architecture, which makes the WPV module compatible with commercial flat panel infrastructures. The PV/CPV hybrid architecture further allows the collection of both direct and diffuse sunlight, thus extending the geographic and market domains for cost-effective PV system deployment. The WPV approach can potentially benefits from both the high performance of multijunction cells and the low cost of flat plate Si PV systems.

  20. Mechanics of wafer bonding: Effect of clamping

    NASA Astrophysics Data System (ADS)

    Turner, K. T.; Thouless, M. D.; Spearing, S. M.

    2004-01-01

    A mechanics-based model is developed to examine the effects of clamping during wafer bonding processes. The model provides closed-form expressions that relate the initial geometry and elastic properties of the wafers to the final shape of the bonded pair and the strain energy release rate at the interface for two different clamping configurations. The results demonstrate that the curvature of bonded pairs may be controlled through the use of specific clamping arrangements during the bonding process. Furthermore, it is demonstrated that the strain energy release rate depends on the clamping configuration and that using applied loads usually leads to an undesirable increase in the strain energy release rate. The results are discussed in detail and implications for process development and bonding tool design are highlighted.

  1. X-Ray Diffraction (XRD) Characterization Methods for Sigma=3 Twin Defects in Cubic Semiconductor (100) Wafers

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Kim, Hyun Jung (Inventor); Skuza, Jonathan R. (Inventor); Lee, Kunik (Inventor); Choi, Sang Hyouk (Inventor); King, Glen C. (Inventor)

    2017-01-01

    An X-ray defraction (XRD) characterization method for sigma=3 twin defects in cubic semiconductor (100) wafers includes a concentration measurement method and a wafer mapping method for any cubic tetrahedral semiconductor wafers including GaAs (100) wafers and Si (100) wafers. The methods use the cubic semiconductor's (004) pole figure in order to detect sigma=3/{111} twin defects. The XRD methods are applicable to any (100) wafers of tetrahedral cubic semiconductors in the diamond structure (Si, Ge, C) and cubic zinc-blend structure (InP, InGaAs, CdTe, ZnSe, and so on) with various growth methods such as Liquid Encapsulated Czochralski (LEC) growth, Molecular Beam Epitaxy (MBE), Organometallic Vapor Phase Epitaxy (OMVPE), Czochralski growth and Metal Organic Chemical Vapor Deposition (MOCVD) growth.

  2. Thermal stress during RTP processes and its possible effect on the light induced degradation in Cz-Si wafers

    NASA Astrophysics Data System (ADS)

    Kouhlane, Yacine; Bouhafs, Djoudi; Khelifati, Nabil; Guenda, Abdelkader; Demagh, Nacer-Eddine; Demagh, Assia; Pfeiffer, Pierre; Mezghiche, Salah; Hetatache, Warda; Derkaoui, Fahima; Nasraoui, Chahinez; Nwadiaru, Ogechi Vivian

    2018-04-01

    In this study, the carrier lifetime variation of p-type boron-doped Czochralski silicon (Cz-Si) wafers was investigated after a direct rapid thermal processing (RTP). Two wafers were passivated by silicon nitride (SiNx:H) layers, deposited by a PECVD system on both surfaces. Then the wafers were subjected to an RTP cycle at a peak temperature of 620 °C. The first wafer was protected (PW) from the direct radiative heating of the RTP furnace by placing the wafer between two as-cut Cz-Si shield wafers during the heat processing. The second wafer was not protected (NPW) and followed the same RTP cycle procedure. The carrier lifetime τ eff was measured using the QSSPC technique before and after illumination for 5 h duration at 0.5 suns. The immediate results of the measured lifetime (τ RTP ) after the RTP process have shown a regeneration in the lifetime of the two wafers with the PW wafer exhibiting an important enhancement in τ RTP as compared to the NPW wafer. The QSSPC measurements have indicated a good stable lifetime (τ d ) and a weak degradation effect was observed in the case of the PW wafer as compared to their initial lifetime value. Interferometry technique analyses have shown an enhancement in the surface roughness for the NPW wafer as compared to the protected one. Additionally, to improve the correlation between the RTP heat radiation stress and the carrier lifetime behavior, a simulation of the thermal stress and temperature profile using the finite element method on the wafers surface at RTP peak temperature of 620 °C was performed. The results confirm the reduction of the thermal stress with less heat losses for the PW wafer. Finally, the proposed method can lead to improving the lifetime of wafers by an RTP process at minimum energy costs.

  3. Microbiological safety of retail vacuum-packed and modified-atmosphere-packed cooked meats at end of shelf life.

    PubMed

    Sagoo, S K; Little, C L; Allen, G; Williamson, K; Grant, K A

    2007-04-01

    A study of retail modified-atmosphere-packed and vacuum-packed cooked ready-to-eat meats was undertaken from September through mid-November 2003 to determine the microbiological quality at the end of shelf life and to establish any risk factors in the production, storage, and display of this product. Examination of 2,981 samples using Microbiological Guidelines criteria revealed that 66% were of satisfactory or acceptable microbiology quality, 33% were of unsatisfactory quality mainly due to high aerobic colony counts and Enterobacteriaceae concentrations, and 1% were of unacceptable quality due to the presence of Listeria monocytogenes at 100 CFU/g or higher (27 samples; range of 10(2) to 106 CFU/g) and Campylobacter jejuni (1 sample), indicating a risk to health. All samples at the end of the shelf life had satisfactory (<20 CFU/g) and/or acceptable (<102 CFU/g) levels of Staphylococcus aureus and Clostridium perfringens, four samples (<1%) had unsatisfactory levels of Escherichia coli (range of 102 to 106 CFU/g) and 5.5% of the samples contained L. monocytogenes at <20 CFU/g (4.8%) or between 20 and 100 CFU/g (0.7%). More samples of chicken (45%; 224 of 495 samples), beef (43%; 160 of 371 samples), and turkey (41%; 219 of 523 samples) were of unsatisfactory or unacceptable quality compared with ham (23%; 317 of 1,351 samples) or pork (32%; 67 of 206 samples). Twelve different L. monocytogenes typing characters (serotype-amplified fragment length polymorphism type-phage type) were evaluated for isolates recovered from samples of unacceptable quality, and the 1/2-IX-NT type was recovered from almost half (48%) of these samples. Salmonella was not detected in any samples examined. Risk factors identified for cooked meats that were microbiologically contaminated more frequently included vacuum packaging, packaging on retail premises, slicing, temperature not monitored in display units, and no hazard analysis system in place. Results from this study also suggest that

  4. The effect of grinding at various vacuum levels on the color, phenolics, and antioxidant properties of apple.

    PubMed

    Kim, Ah-Na; Kim, Hyun-Jin; Kerr, William L; Choi, Sung-Gil

    2017-02-01

    The purpose of this study was to evaluate the effect of grinding at different vacuum levels (2.67, 6.67, 13.33, 19.99, and 101.33kPa) on key quality factors of apple. In the control apple, ground at atmospheric pressure of 101.33kPa, the antioxidant activities rapidly decreased within the first 30min, then plateaued thereafter, while enzymatic browning increased. When apples were ground and held under vacuum, changes in color and antioxidant activity were much less, and the least change was measured in samples prepared at the lowest pressure. Model fitting of the data showed that antioxidant activity decreased as a function of the logarithm of the absolute pressure. The results from analysis for key phenolic compounds including chlorogenic acid, procyanidin B2, and epicatechin indicated that these compounds were least changed at vacuum grinding at 2.67kPa, compared to atmospheric grinding. Copyright © 2016 Elsevier Ltd. All rights reserved.

  5. Absorption spectrum of a two-level atom in a bad cavity with injected squeezed vacuum

    NASA Astrophysics Data System (ADS)

    Zhou, Peng; Swain, S.

    1996-02-01

    We study the absorption spectrum of a coherently driven two-level atom interacting with a resonant cavity mode which is coupled to a broadband squeezed vacuum through its input-output mirror in the bad cavity limit. We study the modification of the two-photon correlation strength of the injected squeezed vacuum inside the cavity, and show that the equations describing probe absorption in the cavity environment are formally identical to these in free space, but with modified parameters describing the squeezed vacuum. The two photon correlations induced by the squeezed vacuum are always weaker than in free space. We pay particular attention to the spectral behaviour at line centre in the region of intermediate trength driving intensities, where anomalous spectral features such as hole-burning and dispersive profiles are displayed. These unusual spectral features are very sensitive to the squeezing phase and the Rabi frequency of the driving field. We also derive the threshold value of the Rabi frequency which gives rise to the transparency of the probe beam at the driving frequency. When the Rabi frequency is less than the threshold value, the probe beam is absorbed, whilst the probe beam is amplified (without population inversion under certain conditions) when the Rabi frequency is larger than this threshold. The anomalous spectral features all take place in the vicinity of the critical point dividing the different dynamical regimes, probe absorption and amplification, of the atomic radiation. The physical origin of the strong amplification without population inversion, and the feasibility of observing it, are discussed.

  6. Fluid Dynamics of Small, Rugged Vacuum Pumps of Viscous-Drag Type

    NASA Technical Reports Server (NTRS)

    Russell, John M.

    2002-01-01

    The need to identify spikes in the concentration of hazardous gases during countdowns to space shuttle launches has led Kennedy Space Center to acquire considerable expertise in the design, construction, and operation of special-purpose gas analyzers of mass-spectrometer type. If such devices could be miniaturized so as to fit in a small airborne package or backpack them their potential applications would include integrated vehicle health monitoring in later-generation space shuttles and in hazardous material detection in airports, to name two examples. The bulkiest components of such devices are vacuum pumps, particularly those that function in the low vacuum range. Now some pumps that operate in the high vacuum range (e.g. molecular-drag and turbomolecular pumps) are already small and rugged. The present work aims to determine whether, on physical grounds, one may or may not adopt the molecular-drag principle to the low-vacuum range (in which case viscous-drag principle is the appropriate term). The deliverable of the present effort is the derivation and justification of some key formulas and calculation methods for the preliminary design of a single-spool, spiral-channel viscous-drag pump.

  7. Effects of vacuum and modified atmosphere on textural parameters and structural proteins of cultured meagre (Argyrosomus regius) fillets.

    PubMed

    Sáez, María I; Martínez, Tomás F; Cárdenas, Salvador; Suárez, María D

    2015-09-01

    The influence of two preservation strategies (vacuum package and modified atmosphere package) on the post-mortem changes of textural parameters, pH, water holding capacity, sarcoplasmic and myofibrillar proteins, and collagen content of meagre (Argyrosomus regius) fillets was studied. Fillets were stored in a cold room in aerobic (control, C), vacuum (V) and modified atmosphere (MA) package. Samples were withdrawn at six sampling points throughout 15-day storage, and post-mortem changes were assessed. The textural parameters were significantly enhanced in V and MA compared to C. Both V and MA treatments reduced the intensity of a group of myofibrillar protein fractions (140-195 kDa) and increased insoluble collagen compared to C. Consequently, the post-mortem flesh softening in C was attributed to increased proteolysis in both intracellular and extracellular structural proteins. The preservation of the textural and biochemical characteristics of meagre fillets subjected to V and MA treatments makes these two treatments highly recommendable for the commercialization of meagre fillets. © The Author(s) 2014.

  8. Space Suit Portable Life Support System (PLSS) 2.0 Unmanned Vacuum Environment Testing

    NASA Technical Reports Server (NTRS)

    Watts, Carly; Vogel, Matthew

    2016-01-01

    For the first time in more than 30 years, an advanced space suit Portable Life Support System (PLSS) design was operated inside a vacuum chamber representative of the flight operating environment. The test article, PLSS 2.0, was the second system-level integrated prototype of the advanced PLSS design, following the PLSS 1.0 Breadboard that was developed and tested throughout 2011. Whereas PLSS 1.0 included five technology development components with the balance the system simulated using commercial-off-the-shelf items, PLSS 2.0 featured first generation or later prototypes for all components less instrumentation, tubing and fittings. Developed throughout 2012, PLSS 2.0 was the first attempt to package the system into a flight-like representative volume. PLSS 2.0 testing included an extensive functional evaluation known as Pre-Installation Acceptance (PIA) testing, Human-in-the-Loop testing in which the PLSS 2.0 prototype was integrated via umbilicals to a manned prototype space suit for 19 two-hour simulated EVAs, and unmanned vacuum environment testing. Unmanned vacuum environment testing took place from 1/9/15-7/9/15 with PLSS 2.0 located inside a vacuum chamber. Test sequences included performance mapping of several components, carbon dioxide removal evaluations at simulated intravehicular activity (IVA) conditions, a regulator pressure schedule assessment, and culminated with 25 simulated extravehicular activities (EVAs). During the unmanned vacuum environment test series, PLSS 2.0 accumulated 378 hours of integrated testing including 291 hours of operation in a vacuum environment and 199 hours of simulated EVA time. The PLSS prototype performed nominally throughout the test series, with two notable exceptions including a pump failure and a Spacesuit Water Membrane Evaporator (SWME) leak, for which post-test failure investigations were performed. In addition to generating an extensive database of PLSS 2.0 performance data, achievements included requirements and

  9. Crystallographic Orientation Identification in Multicrystalline Silicon Wafers Using NIR Transmission Intensity

    NASA Astrophysics Data System (ADS)

    Skenes, Kevin; Kumar, Arkadeep; Prasath, R. G. R.; Danyluk, Steven

    2018-02-01

    Near-infrared (NIR) polariscopy is a technique used for the non-destructive evaluation of the in-plane stresses in photovoltaic silicon wafers. Accurate evaluation of these stresses requires correct identification of the stress-optic coefficient, a material property which relates photoelastic parameters to physical stresses. The material stress-optic coefficient of silicon varies with crystallographic orientation. This variation poses a unique problem when measuring stresses in multicrystalline silicon (mc-Si) wafers. This paper concludes that the crystallographic orientation of silicon can be estimated by measuring the transmission of NIR light through the material. The transmission of NIR light through monocrystalline wafers of known orientation were compared with the transmission of NIR light through various grains in mc-Si wafers. X-ray diffraction was then used to verify the relationship by obtaining the crystallographic orientations of these assorted mc-Si grains. Variation of transmission intensity for different crystallographic orientations is further explained by using planar atomic density. The relationship between transmission intensity and planar atomic density appears to be linear.

  10. Accurate characterization of wafer bond toughness with the double cantilever specimen

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Spearing, S. Mark

    2008-01-01

    The displacement loaded double cantilever test, also referred to as the "Maszara test" and the "crack opening method" by the wafer bonding community, is a common technique used to evaluate the interface toughness or surface energy of direct wafer bonds. While the specimen is widely used, there has been a persistent question as to the accuracy of the method since the actual specimen geometry differs from the ideal beam geometry assumed in the expression used for data reduction. The effect of conducting the test on whole wafer pairs, in which the arms of cantilevers are wide plates rather than slender beams, is examined in this work using finite element analysis. A model is developed to predict the equilibrium shape of the crack front and to develop a corrected expression for calculating interface toughness from crack length measurements obtained in tests conducted on whole wafer pairs. The finite element model, which is validated through comparison to experiments, demonstrates that using the traditional beam theory-based expressions for data reduction can lead to errors of up to 25%.

  11. Chemical and biochemical study of industrially produced San Simón da Costa smoked semi-hard cow's milk cheeses: Effects of storage under vacuum and different modified atmospheres.

    PubMed

    Garabal, J I; Rodríguez-Alonso, P; Franco, D; Centeno, J A

    2010-05-01

    Two batches of smoked, semi-hard (ripened for 45 d) San Simón da Costa cow's milk cheeses with Protected Designation of Origin were used to investigate the chemical, biochemical, and sensorial parameters that may be affected by modified-atmosphere packaging. Cheeses were packaged for 45 d as follows: vacuum packaging, packaging in 100% N(2), packaging in a gas mixture of 20% CO(2)/80% N(2), and packaging in a gas mixture of 50% CO(2)/50% N(2). The San Simón da Costa cheeses were characterized by high contents of lactic, oxalic, and citric organic acids. The main free amino acids found were isoleucine, phenylalanine, serine, valine, lysine, and glutamic acid, and the most abundant volatile compounds included ethanol, diacetyl, 2-butanol, isopropyl alcohol, furfural, acetaldehyde, 2-butanone, acetone, and 2-methylfuran. Modified atmospheres appeared to alter the ripening processes by affecting lipolysis, as indicated by the lower concentrations of butyric and propionic acids compared with control cheeses. In addition, modified-atmosphere packaging altered the proteolysis processes, yielding higher amounts of branched-chain alcohols. The results revealed that storage under modified atmosphere contributes to the accumulation of several compounds probably derived from smoke, including aldehydes such as 2-furancarboxaldehyde (furfural), alcohols such as 2-methoxyphenol (guaiacol), ketones such as 2-cyclopenten-1-one, and esters such as methyl furancarboxylate, which were negatively correlated with flavor. Vacuum packaging was the most useful technique in terms of preserving the sensory quality of San Simón da Costa Protected Designation of Origin cheeses. Considering the current demands for packaged portions of food at the distribution and retail levels and the potential health risks associated with some smoke-derived compounds usually present in some smoked foods, the results obtained in this study may be of special interest to the cheese industry. Copyright 2010

  12. Impact of high pressure treatment and intramuscular fat content on colour changes and protein and lipid oxidation in sliced and vacuum-packaged Iberian dry-cured ham.

    PubMed

    Fuentes, Verónica; Utrera, Mariana; Estévez, Mario; Ventanas, Jesús; Ventanas, Sonia

    2014-08-01

    The effect of high hydrostatic pressure (HHP) (600MPa) and intramuscular fat content (IMF) on colour parameters and oxidative stability of lipids and proteins in sliced vacuum-packaged Iberian dry-cured ham during refrigerated storage (120 days at 2°C) was investigated. Several studies have investigated the influence of HHP on lipid oxidation of meat products. However, its effects on protein carbonylation, as also the influence of IMF content on this carbonylation are poorly understood. HHP treatment had a significant effect on lean lightness after 0 and 120 days of storage while IMF content increased lightness and yellowness over time. Regarding oxidative stability, the effect of HHP treatment depended on IMF content samples with a high IMF having greater lipid instability while samples with a low IMF underwent more protein carbonylation. Copyright © 2014 Elsevier Ltd. All rights reserved.

  13. First-time demonstration of measuring concrete prestress levels with metal packaged fibre optic sensors

    NASA Astrophysics Data System (ADS)

    Mckeeman, I.; Fusiek, G.; Perry, M.; Johnston, M.; Saafi, M.; Niewczas, P.; Walsh, M.; Khan, S.

    2016-09-01

    In this work we present the first large-scale demonstration of metal packaged fibre Bragg grating sensors developed to monitor prestress levels in prestressed concrete. To validate the technology, strain and temperature sensors were mounted on steel prestressing strands in concrete beams and stressed up to 60% of the ultimate tensile strength of the strand. We discuss the methods and calibration procedures used to fabricate and attach the temperature and strain sensors. The use of induction brazing for packaging the fibre Bragg gratings and welding the sensors to prestressing strands eliminates the use of epoxy, making the technique suitable for high-stress monitoring in an irradiated, harsh industrial environment. Initial results based on the first week of data after stressing the beams show the strain sensors are able to monitor prestress levels in ambient conditions.

  14. Scatterometry on pelliclized masks: an option for wafer fabs

    NASA Astrophysics Data System (ADS)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  15. Wafer plane inspection with soft resist thresholding

    NASA Astrophysics Data System (ADS)

    Hess, Carl; Shi, Rui-fang; Wihl, Mark; Xiong, Yalin; Pang, Song

    2008-10-01

    Wafer Plane Inspection (WPI) is an inspection mode on the KLA-Tencor TeraScaTM platform that uses the high signalto- noise ratio images from the high numerical aperture microscope, and then models the entire lithographic process to enable defect detection on the wafer plane[1]. This technology meets the needs of some advanced mask manufacturers to identify the lithographically-significant defects while ignoring the other non-lithographically-significant defects. WPI accomplishes this goal by performing defect detection based on a modeled image of how the mask features would actually print in the photoresist. There are several advantages to this approach: (1) the high fidelity of the images provide a sensitivity advantage over competing approaches; (2) the ability to perform defect detection on the wafer plane allows one to only see those defects that have a printing impact on the wafer; (3) the use of modeling on the lithographic portion of the flow enables unprecedented flexibility to support arbitrary illumination profiles, process-window inspection in unit time, and combination modes to find both printing and non-printing defects. WPI is proving to be a valuable addition to the KLA-Tencor detection algorithm suite. The modeling portion of WPI uses a single resist threshold as the final step in the processing. This has been shown to be adequate on several advanced customer layers, but is not ideal for all layers. Actual resist chemistry has complicated processes including acid and base-diffusion and quench that are not consistently well-modeled with a single resist threshold. We have considered the use of an advanced resist model for WPI, but rejected it because the burdensome requirements for the calibration of the model were not practical for reticle inspection. This paper describes an alternative approach that allows for a "soft" resist threshold to be applied that provides a more robust solution for the most challenging processes. This approach is just

  16. MiRNA-181d Expression Significantly Affects Treatment Responses to Carmustine Wafer Implantation.

    PubMed

    Sippl, Christoph; Ketter, Ralf; Bohr, Lisa; Kim, Yoo Jin; List, Markus; Oertel, Joachim; Urbschat, Steffi

    2018-05-26

    Standard therapeutic protocols for glioblastoma, the most aggressive type of brain cancer, include surgery followed by chemoradiotherapy. Additionally, carmustine-eluting wafers can be implanted locally into the resection cavity. To evaluate microRNA (miRNA)-181d as a prognostic marker of responses to carmustine wafer implantation. A total of 80 glioblastoma patients (40/group) were included in a matched pair analysis. One group (carmustine wafer group) received concomitant chemoradiotherapy with carmustine wafer implantation (Stupp protocol). The second group (control group) received only concomitant chemoradiotherapy. All tumor specimens were subjected to evaluations of miRNA-181d expression, results were correlated with further individual clinical data. The Cancer Genome Atlas (TCGA) dataset of 149 patients was used as an independent cohort to validate the results. Patients in the carmustine wafer group with low miRNA-181d expression had significantly longer overall (hazard ratio [HR], 35.03, [95% confidence interval (CI): 3.50-350.23], P = .002) and progression-free survival (HR, 20.23, [95% CI: 2.19-186.86], P = .008) than patients of the same group with a high miRNA-181d expression. These correlations were not observed in the control group. The nonsignificance in the control group was confirmed in the independent TCGA dataset. The carmustine wafer group patients with low miRNA-181d expression also had a significantly longer progression-free (P = .049) and overall survival (OS) (P = .034), compared with control group patients. Gross total resection correlated significantly with longer OS (P = .023). MiRNA-181d expression significantly affects treatment responses to carmustine wafer implantation.

  17. Grain-boundary type and distribution in silicon carbide coatings and wafers

    NASA Astrophysics Data System (ADS)

    Cancino-Trejo, Felix; López-Honorato, Eddie; Walker, Ross C.; Ferrer, Romelia Salomon

    2018-03-01

    Silicon carbide is the main diffusion barrier against metallic fission products in TRISO (tristructural isotropic) coated fuel particles. The explanation of the accelerated diffusion of silver through SiC has remained a challenge for more than four decades. Although, it is now well accepted that silver diffuse through SiC by grain boundary diffusion, little is known about the characteristics of the grain boundaries in SiC and how these change depending on the type of sample. In this work five different types (coatings and wafers) of SiC produced by chemical vapor deposition were characterized by electron backscatter diffraction (EBSD). The SiC in TRISO particles had a higher concentration of high angle grain boundaries (aprox. 70%) compared to SiC wafers, which ranged between 30 and 60%. Similarly, SiC wafers had a higher concentration of low angle grain boundaries ranging between 15 and 30%, whereas TRISO particles only reached values of around 7%. The same trend remained when comparing the content of coincidence site lattice (CSL) boundaries, since SiC wafers showed a concentration of more than 30%, whilst TRISO particles had contents of around 20%. In all samples the largest fractions of CSL boundaries (3 ≤ Σ ≤ 17) were the Σ3 boundaries. We show that there are important differences between the SiC in TRISO particles and SiC wafers which could explain some of the differences observed in diffusion experiments in the literature.

  18. Short communication: Effects of vacuum freeze-drying on inactivation of Cronobacter sakazakii ATCC29544 in liquid media with different initial inoculum levels.

    PubMed

    Jiao, Rui; Gao, Jina; Zhang, Xiyan; Zhang, Maofeng; Chen, Jiren; Wu, Qingping; Zhang, Jumei; Ye, Yingwang

    2017-03-01

    Vacuum freeze-drying is an important food-processing technology for valid retention of nutrients and bioactive compounds. Cronobacter sakazakii has been reported to be associated with severe infections in neonates through consumption of contaminated powdered infant formula. In this study, effects of vacuum freeze-drying treatment for 12, 24, and 36 h on inactivation of C. sakazakii with different initial inoculum levels in sterile water, tryptic soy broth (TSB), skim milk, and whole milk were determined. Results indicated that the lethality rate of C. sakazakii in each sample increased with the extension of vacuum freeze-drying time. With initial inoculum levels of 10 2 and 10 3 cfu/mL, the survival of C. sakazakii in different liquid media was significantly affected by vacuum freeze-drying for 12, 24, and 36 h. In addition, the lethality rates of C. sakazakii in whole milk, skim milk, and TSB was significantly reduced compared with those in sterile water. Furthermore, whole milk showed the strongest protective role for C. sakazakii cells, followed by skim milk and TSB medium. Using the scanning electron microscope, the intracellular damage and obvious distortion of C. sakazakii cells were observed after vacuum freeze-drying for 24 and 36 h compared with the untreated sample, and the injured cells increased with the extension of vacuum-drying time. We concluded that inactivation of vacuum freeze-drying on C. sakazakii cells is related to the food matrix, and a combination with other methods for inactivating C. sakazakii is required for ensuring microbial safety of powdered infant formula. Copyright © 2017 American Dairy Science Association. Published by Elsevier Inc. All rights reserved.

  19. HED-TIE: A wafer-scale approach for fabricating hybrid electronic devices with trench isolated electrodes

    NASA Astrophysics Data System (ADS)

    Banerjee, Sreetama; Bülz, Daniel; Solonenko, Dmytro; Reuter, Danny; Deibel, Carsten; Hiller, Karla; Zahn, Dietrich R. T.; Salvan, Georgeta

    2017-05-01

    Organic-inorganic hybrid electronic devices (HEDs) offer opportunities for functionalities that are not easily obtainable with either organic or inorganic materials individually. In the strive for down-scaling the channel length in planar geometry HEDs, the best results were achieved with electron beam lithography or nanoimprint lithography. Their application on the wafer level is, however, cost intensive and time consuming. Here, we propose trench isolated electrode (TIE) technology as a fast, cost effective, wafer-level approach for the fabrication of planar HEDs with electrode gaps in the range of 100 nm. We demonstrate that the formation of the organic channel can be realized by deposition from solution as well as by the thermal evaporation of organic molecules. To underline one key feature of planar HED-TIEs, namely full accessibility of the active area of the devices by external stimuli such as light, 6,13-bis (triisopropylsilylethynyl) (TIPS)-pentacene/Au HED-TIEs are successfully tested for possible application as hybrid photodetectors in the visible spectral range.

  20. Effect of nanoscale surface roughness on the bonding energy of direct-bonded silicon wafers

    NASA Astrophysics Data System (ADS)

    Miki, N.; Spearing, S. M.

    2003-11-01

    Direct wafer bonding of silicon wafers is a promising technology for manufacturing three-dimensional complex microelectromechanical systems as well as silicon-on-insulator substrates. Previous work has reported that the bond quality declines with increasing surface roughness, however, this relationship has not been quantified. This article explicitly correlates the bond quality, which is quantified by the apparent bonding energy, and the surface morphology via the bearing ratio, which describes the area of surface lying above a given depth. The apparent bonding energy is considered to be proportional to the real area of contact. The effective area of contact is defined as the area sufficiently close to contribute to the attractive force between the two bonding wafers. Experiments were conducted with silicon wafers whose surfaces were roughened by a buffered oxide etch solution (BOE, HF:NH4F=1:7) and/or a potassium hydroxide solution. The surface roughness was measured by atomic force microscopy. The wafers were direct bonded to polished "monitor" wafers following a standard RCA cleaning and the resulting bonding energy was measured by the crack-opening method. The experimental results revealed a clear correlation between the bonding energy and the bearing ratio. A bearing depth of ˜1.4 nm was found to be appropriate for the characterization of direct-bonded silicon at room temperature, which is consistent with the thickness of the water layer at the interface responsible for the hydrogen bonds that link the mating wafers.

  1. Fabrication of Total-Dose-Radiation-Hardened (TDRH) SOI wafer with embedded silicon nanoclusters

    NASA Astrophysics Data System (ADS)

    Wu, Aimin; Wang, Xi; Wei, Xing; Chen, Jing; Chen, Ming; Zhang, Zhengxuan

    2009-05-01

    Si ion-implantation and post annealing of silicon wafers prior to wafer bonding were used to radiation-harden the thermal oxide layer of Silicon on Insulator structures. After grinding and polishing, Total-Dose-Radiation-Hardened SOI (TDRH-SOI) wafers with several-micron-thick device layers were prepared. Electrical characterization before and after X-ray irradiation showed that the flatband voltage shift induced by irradiation was reduced by this preprocessing. Photoluminescence Spectroscopy (PL), Transmission Electron Microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) results indicated that the improvement of the total dose response of the TDRH-SOI wafer was associated with formation of Si nanoclusters in the implanted oxide layer, suggesting that these were the likely candidates for electron and proton trapping centers that reduce the positive charge buildup effect in the buried oxide.

  2. Thermal Hysteresis of MEMS Packaged Capacitive Pressure Sensor (CPS) Based 3C-SiC

    NASA Astrophysics Data System (ADS)

    Marsi, N.; Majlis, B. Y.; Mohd-Yasin, F.; Hamzah, A. A.; Mohd Rus, A. Z.

    2016-11-01

    Presented herein are the effects of thermal hysteresis analyses of the MEMS packaged capacitive pressure sensor (CPS). The MEMS CPS was employed on Si-on-3C-SiC wafer that was performed using the hot wall low-pressure chemical vapour deposition (LPCVD) reactors at the Queensland Micro and Nanotechnology Center (QMNC), Griffith University and fabricated using the bulk-micromachining process. The MEMS CPS was operated at an extreme temperature up to 500°C and high external pressure at 5.0 MPa. The thermal hysteresis phenomenon that causes the deflection, strain and stress on the 3C-SiC diaphragm spontaneously influence the MEMS CPS performances. The differences of temperature, hysteresis, and repeatability test were presented to demonstrate the functionality of the MEMS packaged CPS. As expected, the output hysteresis has a low hysteresis (less than 0.05%) which has the hardness greater than the traditional silicon. By utilizing this low hysteresis, it was revealed that the MEMS packaged CPS has high repeatability and stability of the sensor.

  3. Effect of packaging atmospheres on storage quality characteristics of heavily marbled beef longissimus steaks.

    PubMed

    Yang, Xiaoyin; Zhang, Yimin; Zhu, Lixian; Han, Mingshan; Gao, Shujuan; Luo, Xin

    2016-07-01

    The objective of this study was to investigate the effects of modified atmosphere packaging (MAP) systems on shelf-life and quality of beef steaks with high marbling. Four packaging types were used including 80% O2 MAP (80% O2+20% CO2), 50% O2 MAP (50% O2+30% CO2+20% N2), carbon monoxide MAP (0.4% CO+30% CO2+69.6% N2) and vacuum packaging (VP). Steaks were displayed under simulated retail conditions at 4°C for 12days. Purge loss, pH, color stability, oxidative stability and microbial counts were monitored. Aerobically packaged steaks exhibited a bright-red color at the first 4days. However, discoloration and oxidation became major factors limiting their shelf-life to 8days. Compared with aerobic packaging, anaerobic packaging extended shelf-life of heavily marbled beef steaks, due to better color stability, together with lower oxidation and microbial populations. Among all packaging methods, CO-MAP had the best preservation for steaks, with more red color than other packaging types. Copyright © 2016 Elsevier Ltd. All rights reserved.

  4. Effect of vacuum and modified atmosphere packaging on the microbiological, chemical and sensory properties of tropical red drum (Sciaenops ocellatus) fillets stored at 4°C.

    PubMed

    Silbande, Adèle; Adenet, Sandra; Chopin, Christine; Cornet, Josiane; Smith-Ravin, Juliette; Rochefort, Katia; Leroi, Françoise

    2018-02-02

    The effect of vacuum (VP - 4°C) and CO 2 /N 2 -atmosphere (MAP - 4°C) packaging on the quality of red drum fillets compared with whole gutted iced fish was investigated. A metagenomic approach, bacterial enumeration and isolation, biochemical and sensory analyses were carried out. The organoleptic rejection of whole fish was observed at day 15 whereas VP and MAP fillets appeared unacceptable only after 29days. At these dates, total mesophilic counts reached 10 7 -10 8 CFU g -1 . According to Illumina MiSeq sequencing, Arthrobacter, Chryseobacterium, Brevibacterium, Staphylococcus and Kocuria were the main genera of the fresh red drum fillets. At the sensory rejection time, lactic acid bacteria (LAB), particularly Carnobacterium sp., dominated the microbiota of both types of packaging. The pH value of fresh samples was between 5.96 and 6.37 and did not vary greatly in all trials. Total volatile basic nitrogen (TVBN) and trimethylamine (TMA) concentrations were low and not represent reliable indicators of the spoilage, contrary to some biogenic amines (cadaverine, putrescine and tyramine). Chilled packed fillets of red drum have an extended shelf-life compared to whole gutted iced fish. Overall, few differences in sensory and microbial quality were observed between the VP and MAP samples. Next-Generation Sequencing (NGS) provided data on the microbiota of a tropical fish. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Quality assessment of ice-stored tropical yellowfin tuna (Thunnus albacares) and influence of vacuum and modified atmosphere packaging.

    PubMed

    Silbande, Adèle; Adenet, Sandra; Smith-Ravin, Juliette; Joffraud, Jean-Jacques; Rochefort, Katia; Leroi, Françoise

    2016-12-01

    Metagenomic, microbial, chemical and sensory analyses of Thunnus albacares from Martinique stored in ice (AIR - 0 °C), vacuum (VP - 4/8 °C) and modified atmosphere packaging (MAP - 4/8 °C) (70% CO2 - 30% O2) were carried out. The organoleptic rejection of AIR tuna was observed at day 13 when total bacterial counts equaled 10(6)-10(7) CFU g(-1). No extension of shelf-life was provided by VP and MAP. According to 16S rRNA gene sequence analyzed by Illumina MiSeq and PCR-TTGE, Rhodanobacter terrae was the main species of the freshly caught tuna. At the sensory rejection time, Brochothrix thermosphacta and Pseudomonas dominated the AIR products while B. thermosphacta alone or a mix of B. thermosphacta, Enterobacteriaceae and lactic acid bacteria (LAB) dominated the microbiota of MAP and VP products, respectively. The pH value remained stable in all trials, ranging from 5.77 to 5.97. Total volatile basic nitrogen (TVBN) and trimethylamine (TMA-N) concentrations were weak and not significantly different between batches. Lipid oxidation increased in the samples containing O2 (MAP > AIR). The initial concentration of histamine was high (75-78 mg kg(-1)) and stable up to 8 days but then significantly decreased in all trials to reach 25-30 mg kg(-1), probably due to the presence of histamine-decomposing bacteria. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.

    PubMed

    Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih

    2013-11-22

    Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.

  7. SCIL nanoimprint solutions: high-volume soft NIL for wafer scale sub-10nm resolution

    NASA Astrophysics Data System (ADS)

    Voorkamp, R.; Verschuuren, M. A.; van Brakel, R.

    2016-10-01

    yield. Soft stamps, usually based on silicone rubber, behave fundamentally different compared to rigid stamps on the macro-, micro- and nanometer level. The main limitation of traditional silicones is that they are too soft to support sub-micron features against surface tension based stamp deformation and collapse [4] and handling a soft stamp to achieve accurate feature placement on wafer scales to allow overlay alignment with sub-100nm overlay accuracy.

  8. Comparison of Photoluminescence Imaging on Starting Multi-Crystalline Silicon Wafers to Finished Cell Performance: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnston, S.; Yan, F.; Dorn, D.

    2012-06-01

    Photoluminescence (PL) imaging techniques can be applied to multicrystalline silicon wafers throughout the manufacturing process. Both band-to-band PL and defect-band emissions, which are longer-wavelength emissions from sub-bandgap transitions, are used to characterize wafer quality and defect content on starting multicrystalline silicon wafers and neighboring wafers processed at each step through completion of finished cells. Both PL imaging techniques spatially highlight defect regions that represent dislocations and defect clusters. The relative intensities of these imaged defect regions change with processing. Band-to-band PL on wafers in the later steps of processing shows good correlation to cell quality and performance. The defect bandmore » images show regions that change relative intensity through processing, and better correlation to cell efficiency and reverse-bias breakdown is more evident at the starting wafer stage as opposed to later process steps. We show that thermal processing in the 200 degrees - 400 degrees C range causes impurities to diffuse to different defect regions, changing their relative defect band emissions.« less

  9. Effect of age of cook-in-bag delicatessen meats formulated with lactate-diacetate on the behavior of Listeria monocytogenes contamination introduced when opening the packages during storage.

    PubMed

    Geornaras, Ifigenia; Toczko, Darren; Sofos, John N

    2013-07-01

    This study evaluated the potential effect of age of cook-in-bag ham and turkey breast delicatessen meats formulated with lactate-diacetate on survival and/or growth of Listeria monocytogenes introduced after opening of packages and slicing of product. Commercially prepared cured ham and turkey breast products formulated with potassium lactate and sodium diacetate were stored at 1.7°C unsliced, in their original cook-in-bags, and without postlethality exposure. On days 5, 90, 120, and 180 of storage, product slices (10.2 by 7.6 cm) were surface inoculated (1 to 2 log CFU/cm²) with a 10-strain mixture of L. monocytogenes, vacuum packaged (seven slices per bag), and stored at 4°C for up to 13 weeks. Inoculated levels of L. monocytogenes on both products were 1.4 to 1.5 log CFU/cm². Irrespective of product age at slicing and inoculation, after 13 weeks of vacuum-packaged storage (4°C), pathogen counts on product slices were 1.5 to 2.3 (ham) and 2.3 to 2.5 (turkey) log CFU/cm². Overall, the results of the study showed that the age of the cook-in-bag products prior to slicing and inoculation with the pathogen did not (P ≥ 0.05) affect the behavior of L. monocytogenes during vacuum-packaged storage (4°C, up to 13 weeks) of ham and turkey slices. Mean counts of lactic acid bacteria and yeasts and molds, when detected, did not exceed approximately 1 and 2 log CFU/cm², respectively, among all stored samples. Findings of the study will be useful to the meat industry and risk assessors in their efforts to control L. monocytogenes in ready-to-eat meat products.

  10. Non-invasive thermal profiling of silicon wafer surface during RTP using acoustic and signal processing techniques

    NASA Astrophysics Data System (ADS)

    Syed, Ahmed Rashid

    Among the great physical challenges faced by the current front-end semiconductor equipment manufacturers is the accurate and repeatable surface temperature measurement of wafers during various fabrication steps. Close monitoring of temperature is essential in that it ensures desirable device characteristics to be reliably reproduced across various wafer lots. No where is the need to control temperature more pronounced than it is during Rapid Thermal Processing (RTP) which involves temperature ramp rates in excess of 200°C/s. This dissertation presents an elegant and practical approach to solve the wafer surface temperature estimation problem, in context of RTP, by deploying hardware that acquires the necessary data while preserving the integrity and purity of the wafer. In contrast to the widely used wafer-contacting (and hence contaminating) methods, such as bonded thermocouples, or environment sensitive schemes, such as light-pipes and infrared pyrometry, the proposed research explores the concept of utilizing Lamb (acoustic) waves to detect changes in wafer surface temperature, during RTP. Acoustic waves are transmitted to the wafer via an array of quartz rods that normally props the wafer inside an RTP chamber. These waves are generated using piezoelectric transducers affixed to the bases of the quartz rods. The group velocity of Lamb waves traversing the wafer surface undergoes a monotonic decrease with rise in wafer temperature. The correspondence of delay in phase of the received Lamb waves and the ambient temperature, along all direct paths between sending and receiving transducers, yields a psuedo real-time thermal image of the wafer. Although the custom built hardware-setup implements the above "proof-of-concept" scheme by transceiving acoustic signals at a single frequency, the real-world application will seek to enhance the data acquistion. rate (>1000 temperature measurements per seconds) by sending and receiving Lamb waves at multiple frequencies (by

  11. Smoother Scribing of Silicon Wafers

    NASA Technical Reports Server (NTRS)

    Danyluk, S.

    1986-01-01

    Proposed new tool used to scribe silicon wafers into chips more smoothly than before. New scriber produces surface that appears ductile. Scribed groove cuts have relatively smooth walls. Scriber consists of diamond pyramid point on rigid shaft. Ethanol flows through shaft and around point, like ink in ballpoint pen. Ethanol has significantly different effect for scribing silicon than water, used in conventional diamond scribers.

  12. Commercial production of QWIP wafers by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Fastenau, J. M.; Liu, W. K.; Fang, X. M.; Lubyshev, D. I.; Pelzel, R. I.; Yurasits, T. R.; Stewart, T. R.; Lee, J. H.; Li, S. S.; Tidrow, M. Z.

    2001-06-01

    As the performance of quantum well infrared photodetectors (QWIPs) and QWIP-based imaging systems continues to improve, their demand will undoubtedly grow. This points to the importance of a reliable commercial supplier of semiconductor QWIP material on three inch and, in the near future, four-inch substrates. Molecular beam epitaxy (MBE) is the preferred technique for growing the demanding QWIP structure, as tight control is required over the material composition and layer thickness. We report the current status of MBE-grown GaAs-based QWIP structures in a commercial production environment at IQE. Uniformity data and run-to-run reproducibility on both three-inch and four-inch GaAs substrates are quantified using alloy composition and QW thickness. Initial results on growth technology transfer to a multi-wafer MBE reactor are also presented. High-resolution X-ray diffraction measurements demonstrate GaAs QW thickness variations and AlGaAs barrier compositions changes to be less than 4% and 1% Al, respectively, across four-inch QWIP wafers from both single- and multiple-wafer MBE platforms.

  13. Design and development of wafer-level near-infrared micro-camera

    NASA Astrophysics Data System (ADS)

    Zeller, John W.; Rouse, Caitlin; Efstathiadis, Harry; Haldar, Pradeep; Dhar, Nibir K.; Lewis, Jay S.; Wijewarnasuriya, Priyalal; Puri, Yash R.; Sood, Ashok K.

    2015-08-01

    SiGe offers a low-cost alternative to conventional infrared sensor material systems such as InGaAs, InSb, and HgCdTe for developing near-infrared (NIR) photodetector devices that do not require cooling and can offer high bandwidths and responsivities. As a result of the significant difference in thermal expansion coefficients between germanium and silicon, tensile strain incorporated into Ge epitaxial layers deposited on Si utilizing specialized growth processes can extend the operational range of detection to 1600 nm and longer wavelengths. We have fabricated SiGe based PIN detector devices on 300 mm diameter Si wafers in order to take advantage of high throughput, large-area complementary metal-oxide semiconductor (CMOS) technology. This device fabrication process involves low temperature epitaxial deposition of Ge to form a thin p+ seed/buffer layer, followed by higher temperature deposition of a thicker Ge intrinsic layer. An n+-Ge layer formed by ion implantation of phosphorus, passivating oxide cap, and then top copper contacts complete the PIN photodetector design. Various techniques including transmission electron microscopy (TEM) and secondary ion mass spectrometry (SIMS) have been employed to characterize the material and structural properties of the epitaxial growth and fabricated detector devices. In addition, electrical characterization was performed to compare the I-V dark current vs. photocurrent response as well as the time and wavelength varying photoresponse properties of the fabricated devices, results of which are likewise presented.

  14. Wafer-scale fabrication of polymer-based microdevices via injection molding and photolithographic micropatterning protocols.

    PubMed

    Lee, Dae-Sik; Yang, Haesik; Chung, Kwang-Hyo; Pyo, Hyeon-Bong

    2005-08-15

    Because of their broad applications in biomedical analysis, integrated, polymer-based microdevices incorporating micropatterned metallic and insulating layers are significant in contemporary research. In this study, micropatterns for temperature sensing and microelectrode sets for electroanalysis have been implemented on an injection-molded thin polymer membrane by employing conventional semiconductor processing techniques (i.e., standard photolithographic methods). Cyclic olefin copolymer (COC) is chosen as the polymer substrate because of its high chemical and thermal stability. A COC 5-in. wafer (1-mm thickness) is manufactured using an injection molding method, in which polymer membranes (approximately 130 microm thick and 3 mm x 6 mm in area) are implemented simultaneously in order to reduce local thermal mass around micropatterned heaters and temperature sensors. The highly polished surface (approximately 4 nm within 40 microm x 40 microm area) of the fabricated COC wafer as well as its good resistance to typical process chemicals makes it possible to use the standard photolithographic and etching protocols on the COC wafer. Gold micropatterns with a minimum 5-microm line width are fabricated for making microheaters, temperature sensors, and microelectrodes. An insulating layer of aluminum oxide (Al2O3) is prepared at a COC-endurable low temperature (approximately 120 degrees C) by using atomic layer deposition and micropatterning for the electrode contacts. The fabricated microdevice for heating and temperature sensing shows improved performance of thermal isolation, and microelectrodes display good electrochemical performances for electrochemical sensors. Thus, this novel 5-in. wafer-level microfabrication method is a simple and cost-effective protocol to prepare polymer substrate and demonstrates good potential for application to highly integrated and miniaturized biomedical devices.

  15. Surface modification of silicon wafer by grafting zwitterionic polymers to improve its antifouling property

    NASA Astrophysics Data System (ADS)

    Sun, Yunlong; Chen, Changlin; Xu, Heng; Lei, Kun; Xu, Guanzhe; Zhao, Li; Lang, Meidong

    2017-10-01

    Silicon (111) wafer was modified by triethoxyvinylsilane containing double bond as an intermedium, and then P4VP (polymer 4-vinyl pyridine) brush was "grafted" onto the surface of silicon wafer containing reactive double bonds by adopting the "grafting from" way and Si-P4VP substrate (silicon wafer grafted by P4VP) was obtained. Finally, P4VP brush of Si-P4VP substrate was modified by 1,3-propanesulfonate fully to obtain P4VP-psl brush (zwitterionic polypyridinium salt) and the functional Si-P4VP-psl substrate (silicon wafer grafted by zwitterionic polypyridinium salt based on polymer 4-vinyl pyridine) was obtained successfully. The antifouling property of the silicon wafer, the Si-P4VP substrate and the Si-P4VP-psl substrate was investigated by using bovine serum albumin, mononuclear macrophages (RAW 264.7) and Escherichia coli (E. coli) ATTC25922 as model bacterium. The results showed that compared with the blank sample-silicon wafer, the Si-P4VP-psl substrate had excellent anti-adhesion ability against bovine serum albumin, cells and bacterium, due to zwitterionic P4VP-psl brush (polymer 4-vinyl pyridine salt) having special functionality like antifouling ability on biomaterial field.

  16. Yield impact for wafer shape misregistration-based binning for overlay APC diagnostic enhancement

    NASA Astrophysics Data System (ADS)

    Jayez, David; Jock, Kevin; Zhou, Yue; Govindarajulu, Venugopal; Zhang, Zhen; Anis, Fatima; Tijiwa-Birk, Felipe; Agarwal, Shivam

    2018-03-01

    The importance of traditionally acceptable sources of variation has started to become more critical as semiconductor technologies continue to push into smaller technology nodes. New metrology techniques are needed to pursue the process uniformity requirements needed for controllable lithography. Process control for lithography has the advantage of being able to adjust for cross-wafer variability, but this requires that all processes are close in matching between process tools/chambers for each process. When this is not the case, the cumulative line variability creates identifiable groups of wafers1 . This cumulative shape based effect is described as impacting overlay measurements and alignment by creating misregistration of the overlay marks. It is necessary to understand what requirements might go into developing a high volume manufacturing approach which leverages this grouping methodology, the key inputs and outputs, and what can be extracted from such an approach. It will be shown that this line variability can be quantified into a loss of electrical yield primarily at the edge of the wafer and proposes a methodology for root cause identification and improvement. This paper will cover the concept of wafer shape based grouping as a diagnostic tool for overlay control and containment, the challenges in implementing this in a manufacturing setting, and the limitations of this approach. This will be accomplished by showing that there are identifiable wafer shape based signatures. These shape based wafer signatures will be shown to be correlated to overlay misregistration, primarily at the edge. It will also be shown that by adjusting for this wafer shape signal, improvements can be made to both overlay as well as electrical yield. These improvements show an increase in edge yield, and a reduction in yield variability.

  17. MEMS for Practical Applications

    NASA Astrophysics Data System (ADS)

    Esashi, Masayoshi

    Silicon MEMS as electrostatically levitated rotational gyroscopes and 2D optical scanners, and wafer level packaged devices as integrated capacitive pressure sensors and MEMS switches are described. MEMS which use non-silicon materials as LTCC with electrical feedthrough, SiC and LiNbO3 for probe cards for wafer-level burn-in test, molds for glass press molding and SAW wireless passive sensors respectively are also described.

  18. Thermo-acousto-photonics for noncontact temperature measurement in silicon wafer processing

    NASA Astrophysics Data System (ADS)

    Suh, Chii-Der S.; Rabroker, G. Andrew; Chona, Ravinder; Burger, Christian P.

    1999-10-01

    A non-contact thermometry technique has been developed to characterize the thermal state of silicon wafers during rapid thermal processing. Information on thermal variations is obtained from the dispersion relations of the propagating waveguide mode excited in wafers using a non-contact, broadband optical system referred to as Thermal Acousto- Photonics for Non-Destructive Evaluation. Variations of thermo-mechanical properties in silicon wafers are correlated to temperature changes by performing simultaneous time-frequency analyses on Lamb waveforms acquired with a fiber-tip interferometer sensor. Experimental Lamb wave data collected for cases ranging from room temperature to 400 degrees C is presented. The results show that the temporal progressions of all spectral elements found in the fundamental antisymmetric mode are strong functions of temperature. This particular attribute is exploited to achieve a thermal resolution superior to the +/- 5 degrees C attainable through current pyrometric techniques. By analyzing the temperature-dependent group velocity of a specific frequency component over the temperature range considered and then comparing the results to an analytical model developed for silicon wafers undergoing annealing, excellent agreement was obtained. Presented results demonstrate the feasibility of applying laser-induced stress waves as a temperature diagnostic during rapid thermal processing.

  19. Method for wafer edge profile extraction using optical images obtained in edge defect inspection process

    NASA Astrophysics Data System (ADS)

    Okamoto, Hiroaki; Sakaguchi, Naoshi; Hayano, Fuminori

    2010-03-01

    It is becoming increasingly important to monitor wafer edge profiles in the immersion lithography era. A Nikon edge defect inspection tool acquires the circumferential optical images of the wafer edge during its inspection process. Nikon's unique illumination system and optics make it possible to then convert the brightness data of the captured images to quantifiable edge profile information. During this process the wafer's outer shape is also calculated. Test results show that even newly shipped bare wafers may not have a constant shape over 360 degree. In some cases repeated deformations with 90 degree pitch are observed.

  20. Validation of Direct Analysis Real Time source/Time-of-Flight Mass Spectrometry for organophosphate quantitation on wafer surface.

    PubMed

    Hayeck, Nathalie; Ravier, Sylvain; Gemayel, Rachel; Gligorovski, Sasho; Poulet, Irène; Maalouly, Jacqueline; Wortham, Henri

    2015-11-01

    Microelectronic wafers are exposed to airborne molecular contamination (AMC) during the fabrication process of microelectronic components. The organophosphate compounds belonging to the dopant group are one of the most harmful groups. Once adsorbed on the wafer surface these compounds hardly desorb and could diffuse in the bulk of the wafer and invert the wafer from p-type to n-type. The presence of these compounds on wafer surface could have electrical effect on the microelectronic components. For these reasons, it is of importance to control the amount of these compounds on the surface of the wafer. As a result, a fast quantitative and qualitative analytical method, nondestructive for the wafers, is needed to be able to adjust the process and avoid the loss of an important quantity of processed wafers due to the contamination by organophosphate compounds. Here we developed and validated an analytical method for the determination of organic compounds adsorbed on the surface of microelectronic wafers using the Direct Analysis in Real Time-Time of Flight-Mass Spectrometry (DART-ToF-MS) system. Specifically, the developed methodology concerns the organophosphate group. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Bacterial quality and safety of packaged fresh leafy vegetables at the retail level in Finland.

    PubMed

    Nousiainen, L-L; Joutsen, S; Lunden, J; Hänninen, M-L; Fredriksson-Ahomaa, M

    2016-09-02

    Consumption of packaged fresh leafy vegetables, which are convenient ready-to-eat products, has increased during the last decade. The number of foodborne outbreaks associated with these products has concurrently increased. In our study, (1) label information, (2) O2/CO2 composition, (3) bacterial quality and (4) safety of 100 fresh leafy vegetables at the retail level were studied in Finland during 2013. Bacterial quality was studied using aerobic bacteria (AB) and coliform bacteria (CB) counts, and searching for the presence of Escherichia coli, Listeria and Yersinia. The safety was studied by the presence of Salmonella, ail-positive Yersinia, stx-positive E. coli (STEC) and Listeria monocytogenes using PCR and culturing. Important label information was unavailable on several packages originating from different companies. The packaging date was missing on all packages and the date of durability on 83% of the packages. Storage temperature was declared on 62% of the packages and 73% of the packages contained information about prewashing. The batch/lot number was missing on 29% of the packages. Very low oxygen (O2) (<1%) and elevated carbon dioxide (CO2) (2-22%) concentrations were measured in all packages labelled to contain a protective atmosphere. O2 and CO2 concentrations varied widely in the rest of the packages. AB and CB counts were high in the leafy vegetable samples varying between 6.2 and 10.6 and 4.2-8.3logcfu/g, respectively. In most of the samples, the AB and CB counts exceeded 10(8) and 10(6)cfu/g, respectively. A positive correlation was observed between the AB and CB counts. E. coli was isolated from 15% of the samples and Yersinia from 33%. L. monocytogenes was isolated from two samples and ail-positive Y. enterocolitica in one. Using PCR, STEC was detected in seven samples, and Salmonella and ail-positive Y. enterocolitica in two samples each. The AB and CB mean values of products originating from different companies varied widely. High AB and CB

  2. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  3. Advances in process overlay on 300-mm wafers

    NASA Astrophysics Data System (ADS)

    Staecker, Jens; Arendt, Stefanie; Schumacher, Karl; Mos, Evert C.; van Haren, Richard J. F.; van der Schaar, Maurits; Edart, Remi; Demmerle, Wolfgang; Tolsma, Hoite

    2002-07-01

    Overlay budgets are getting tighter within 300 mm volume production and as a consequence the process effects on alignment and off-line metrology becomes more important. In a short loop experiment, with cleared reference marks in each image field, the isolated effect of processing was measured with a sub-nanometer accuracy. The examined processes are Shallow Trench Isolation (STI), Tungsten-Chemical Mechanical Processing (W-CMP) and resist spinning. The alignment measurements were done on an ASML TWINSCANT scanner and the off-line metrology measurements on a KLA Tencor. Mark type and mark position dependency of the process effects are analyzed. The mean plus 3 (sigma) of the maximum overlay after correcting batch average wafer parameters is used as an overlay performance indicator (OPI). 3 (sigma) residuals to the wafer-model are used as an indicator of the noise that is added by the process. The results are in agreement with existing knowledge of process effects on 200 mm wafers. The W-CMP process introduces an additional wafer rotation and scaling that is similar for alignment marks and metrology targets. The effects depend on the mark type; in general they get less severe for higher spatial frequencies. For a 7th order alignment mark, the OPI measured about 12 nm and the added noise about 12 nm. For the examined metrology targets the OPI is about 20 nm with an added noise of about 90 nm. Two different types of alignment marks were tested in the STI process, i.e., zero layer marks and marks that were exposed together with the STI product. The overlay contribution due to processing on both types of alignment marks is very low (smaller than 5 nm OPI) and independent on mark type. Some flyers are observed fot the zero layer marks. The flyers can be explained by the residues of oxide and nitride that is left behind in the spaces of the alignment marks. Resist spinning is examined on single layer resist and resist with an organic Bottom Anti-Reflective Coating (BARC

  4. Wafer-size free-standing single-crystalline graphene device arrays

    NASA Astrophysics Data System (ADS)

    Li, Peng; Jing, Gaoshan; Zhang, Bo; Sando, Shota; Cui, Tianhong

    2014-08-01

    We report an approach of wafer-scale addressable single-crystalline graphene (SCG) arrays growth by using pre-patterned seeds to control the nucleation. The growth mechanism and superb properties of SCG were studied. Large array of free-standing SCG devices were realized. Characterization of SCG as nano switches shows excellent performance with life time (>22 000 times) two orders longer than that of other graphene nano switches reported so far. This work not only shows the possibility of producing wafer-scale high quality SCG device arrays but also explores the superb performance of SCG as nano devices.

  5. Computational Modeling in Plasma Processing for 300 mm Wafers

    NASA Technical Reports Server (NTRS)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  6. Laser treatment of plasma-hydrogenated silicon wafers for thin layer exfoliation

    NASA Astrophysics Data System (ADS)

    Ghica, Corneliu; Nistor, Leona Cristina; Teodorescu, Valentin Serban; Maraloiu, Adrian; Vizireanu, Sorin; Scarisoreanu, Nae Doinel; Dinescu, Maria

    2011-03-01

    We have studied by transmission electron microscopy the microstructural effects induced by pulsed laser annealing in comparison with thermal treatments of RF plasma hydrogenated Si wafers aiming for further application in the smart-cut procedure. While thermal annealing mainly produces a slight decrease of the density of plasma-induced planar defects and an increase of the size and number of plasma-induced nanocavities in the Si matrix, pulsed laser annealing of RF plasma hydrogenated Si wafers with a 355 nm wavelength radiation results in both the healing of defects adjacent to the wafer surface and the formation of a well defined layer of nanometric cavities at a depth of 25-50 nm. In this way, a controlled fracture of single crystal layers of Si thinner than 50 nm is favored.

  7. Evaluation of HEPA vacuum cleaning and dry steam cleaning in reducing levels of polycyclic aromatic hydrocarbons and house dust mite allergens in carpets

    PubMed Central

    Yu, Chang Ho; Yiin, Lih-Ming; Fan, Zhi-Hua (Tina); Rhoads, George G.

    2014-01-01

    Dry steam cleaning, which has gained recent attention as an effective method to reduce house dust mite (HDM) allergen concentration and loading in carpets, was evaluated in this study for its efficacy in lowering levels of polycyclic aromatic hydrocarbons (PAHs) as well as HDM allergens. Fifty urban homes with wail-to-wall carpets, mostly low-income and with known lead contamination, were studied in 2003 and 2004. Two carpet-cleaning interventions were compared: Repeated HEPA (High Efficiency Particulate Air filtered) vacuuming alone and repeated HEPA vacuuming supplemented with dry steam cleaning. Vacuum samples were collected to measure carpet loading of dust and contaminants immediately before and after cleaning. Paired comparisons were conducted to evaluate the effectiveness of the cleaning protocols in reducing the levels of PAHs and HDM allergens in carpets. The results indicated that both cleaning methods substantially reduced the loading of PAHs and HDM allergens as well as dust in carpets (p < 0.0001). The reductions in loading of dust (64.4%), PAHs (69.1%), and HDM allergens (85.5%), by dry steam cleaning plus repetitive HEPA vacuuming were larger than the reductions by regular HEPA vacuuming alone: dust (55.5%), PAHs (58.6%), and HDM allergens (80.8%), although the difference was statistically significant only for dust and PAHs. We conclude that intensive HEPA vacuum cleaning substantially reduced the loading of PAHs and HDM allergens in carpets in these urban homes and that dry steam cleaning added modestly to cleaning effectiveness. PMID:19137159

  8. Infrared spectroscopy of wafer-scale graphene.

    PubMed

    Yan, Hugen; Xia, Fengnian; Zhu, Wenjuan; Freitag, Marcus; Dimitrakopoulos, Christos; Bol, Ageeth A; Tulevski, George; Avouris, Phaedon

    2011-12-27

    We report spectroscopy results from the mid- to far-infrared on wafer-scale graphene, grown either epitaxially on silicon carbide or by chemical vapor deposition. The free carrier absorption (Drude peak) is simultaneously obtained with the universal optical conductivity (due to interband transitions) and the wavelength at which Pauli blocking occurs due to band filling. From these, the graphene layer number, doping level, sheet resistivity, carrier mobility, and scattering rate can be inferred. The mid-IR absorption of epitaxial two-layer graphene shows a less pronounced peak at 0.37 ± 0.02 eV compared to that in exfoliated bilayer graphene. In heavily chemically doped single-layer graphene, a record high transmission reduction due to free carriers approaching 40% at 250 μm (40 cm(-1)) is measured in this atomically thin material, supporting the great potential of graphene in far-infrared and terahertz optoelectronics.

  9. Model-Based Infrared Metrology for Advanced Technology Nodes and 300 mm Wafer Processing

    NASA Astrophysics Data System (ADS)

    Rosenthal, Peter A.; Duran, Carlos; Tower, Josh; Mazurenko, Alex; Mantz, Ulrich; Weidner, Peter; Kasic, Alexander

    2005-09-01

    The use of infrared spectroscopy for production semiconductor process monitoring has evolved recently from primarily unpatterned, i.e. blanket test wafer measurements in a limited historical application space of blanket epitaxial, BPSG, and FSG layers to new applications involving patterned product wafer measurements, and new measurement capabilities. Over the last several years, the semiconductor industry has adopted a new set of materials associated with copper/low-k interconnects, and new structures incorporating exotic materials including silicon germanium, SOI substrates and high aspect ratio trenches. The new device architectures and more chemically sophisticated materials have raised new process control and metrology challenges that are not addressed by current measurement technology. To address the challenges we have developed a new infrared metrology tool designed for emerging semiconductor production processes, in a package compatible with modern production and R&D environments. The tool incorporates recent advances in reflectance instrumentation including highly accurate signal processing, optimized reflectometry optics, and model-based calibration and analysis algorithms. To meet the production requirements of the modern automated fab, the measurement hardware has been integrated with a fully automated 300 mm platform incorporating front opening unified pod (FOUP) interfaces, automated pattern recognition and high throughput ultra clean robotics. The tool employs a suite of automated dispersion-model analysis algorithms capable of extracting a variety of layer properties from measured spectra. The new tool provides excellent measurement precision, tool matching, and a platform for deploying many new production and development applications. In this paper we will explore the use of model based infrared analysis as a tool for characterizing novel bottle capacitor structures employed in high density dynamic random access memory (DRAM) chips. We will explore

  10. Method for making circular tubular channels with two silicon wafers

    DOEpatents

    Yu, C.M.; Hui, W.C.

    1996-11-19

    A two-wafer microcapillary structure is fabricated by depositing boron nitride (BN) or silicon nitride (Si{sub 3}N{sub 4}) on two separate silicon wafers (e.g., crystal-plane silicon with [100] or [110] crystal orientation). Photolithography is used with a photoresist to create exposed areas in the deposition for plasma etching. A slit entry through to the silicon is created along the path desired for the ultimate microcapillary. Acetone is used to remove the photoresist. An isotropic etch, e.g., such as HF/HNO{sub 3}/CH{sub 3}COOH, then erodes away the silicon through the trench opening in the deposition layer. A channel with a half-circular cross section is then formed in the silicon along the line of the trench in the deposition layer. Wet etching is then used to remove the deposition layer. The two silicon wafers are aligned and then bonded together face-to-face to complete the microcapillary. 11 figs.

  11. Method for making circular tubular channels with two silicon wafers

    DOEpatents

    Yu, Conrad M.; Hui, Wing C.

    1996-01-01

    A two-wafer microcapillary structure is fabricated by depositing boron nitride (BN) or silicon nitride (Si.sub.3 N.sub.4) on two separate silicon wafers (e.g., crystal-plane silicon with [100] or [110] crystal orientation). Photolithography is used with a photoresist to create exposed areas in the deposition for plasma etching. A slit entry through to the silicon is created along the path desired for the ultimate microcapillary. Acetone is used to remove the photoresist. An isotropic etch, e.g., such as HF/HNO.sub.3 /CH.sub.3 COOH, then erodes away the silicon through the trench opening in the deposition layer. A channel with a half-circular cross section is then formed in the silicon along the line of the trench in the deposition layer. Wet etching is then used to remove the deposition layer. The two silicon wafers are aligned and then bonded together face-to-face to complete the microcapillary.

  12. Resonance ultrasonic diagnostics of defects in full-size silicon wafers

    NASA Astrophysics Data System (ADS)

    Belyaev, A.; Ostapenko, S.

    2001-12-01

    A resonance acoustic effect was observed recently in full-size 200 mm Cz-Si wafers and applied to characterize as-grown and process-induced defects. Ultrasonic vibrations can be excited into wafers using an external ultrasonic transducer and their amplitude is recorded using a scanning air-coupled acoustic probe operated in a non-contact mode. By sweeping driving frequency, f, of the transducer, we observed an amplification of a specific acoustic mode referred to as ‘whistle’. In this paper, we performed theoretical modeling of the whistle which allowed in attributing this mode to resonant flexural vibrations in a thin circular plate. We calculated normal frequencies of the flexural vibrations of a circular plate of radius ρ in the case of the free edge. The model gives an excellent fit to experimental data with regard to whistle spatial distribution. The results of calculation allow the evaluation of resonance acoustic effect in wafers of different geometries employed in the industry.

  13. A multi-level, multi-jurisdictional strategy: Transnational tobacco companies' attempts to obstruct tobacco packaging restrictions.

    PubMed

    Hawkins, Benjamin; Holden, Chris; Mackinder, Sophie

    2018-03-09

    Despite the extensive literature on the tobacco industry, there has been little attempt to study how transnational tobacco companies (TTCs) coordinate their political activities globally, or to theorise TTC strategies within the context of global governance structures and policy processes. This article draws on three concepts from political science - policy transfer, multi-level governance and venue shifting - to analyse TTCs' integrated, global strategies to oppose augmented packaging requirements across multiple jurisdictions. Following Uruguay's introduction of extended labelling requirements, Australia became the first country in the world to require tobacco products to be sold in standardised ('plain') packaging in 2012. Governments in the European Union, including in the United Kingdom and Ireland, adopted similar laws, with other member states due to follow. TTCs vehemently opposed these measures and developed coordinated, global strategies to oppose their implementation, exploiting the complexity of contemporary global governance arrangements. These included a series of legal challenges in various jurisdictions, alongside political lobbying and public relations campaigns. This article draws on analysis of public documents and 32 semi-structured interviews with key policy actors. It finds that TTCs developed coordinated and highly integrated strategies to oppose packaging restrictions across multiple jurisdictions and levels of governance.

  14. Effects of self-carbon dioxide-generation material for active packaging on pH, water-holding capacity, meat color, lipid oxidation and microbial growth in beef during cold storage.

    PubMed

    Lee, Seung-Jae; Lee, Seung Yun; Kim, Gap-Don; Kim, Geun-Bae; Jin, Sang Keun; Hur, Sun Jin

    2017-08-01

    Active packaging refers to the mixing of additive agents into packaging materials with the purpose of maintaining or extending food product quality and shelf life. The aim of this study was to develop an easy and cheap active packaging for beef. Beef loin samples were divided into three packaging groups (C, ziplock bag packaging; T1, vacuum packaging; T2, active packaging) and stored at 4 °C for 21 days. The water-holding capacity was significantly (P < 0.05) higher in C and T2 than in T1 for up to 7 days of storage. The TBARS value was significantly (P < 0.05) lower in T1 and T2 after 7 days of storage. The counts of some microorganism were significantly (P < 0.05) lower in T1 and T2 after 7 days of storage; the total bacterial count and Escherichia coli count were lowest in T2 at the end of storage. These results indicate that active packaging using self-CO 2 -generation materials can extend the shelf life similarly to that observed with vacuum packaging, and that the active packaging method can improve the quality characteristics of beef during cold storage. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  15. Comparison of intraocular pressure during the application of a liquid patient interface (FEMTO LDV Z8) for femtosecond laser-assisted cataract surgery using two different vacuum levels.

    PubMed

    Ebner, Martina; Mariacher, Siegfried; Januschowski, Kai; Boden, Katrin; Seuthe, Anna-Maria; Szurman, Peter; Boden, Karl Thomas

    2017-08-01

    To evaluate intraocular pressure (IOP) using the application of a novel liquid patient interface for femtosecond laser-assisted cataract surgery with the FEMTO LDV Z8. IOP was evaluated in enucleated porcine eyes prior, during and after the application of the Femto LDV Z8 liquid patient interface (Ziemer Ophthalmic Systems, Switzerland) using intracameral cannulation (n=20), intravitreal cannulation (n=20), rebound tonometry (n=20) and indentation tonometry (n=20). Pressure was assessed prior vacuum, during vacuum (30 s, 1 min, 2 min, 3 min) and after releasing the vacuum (1 min and 2 min). Two groups with different predefined vacuum levels (350 mbar, 420 mbar) were investigated. Mean intracameral pressure (±SD) increased during vacuum application from 20 mm Hg to 52.00 mm Hg (±6.35mm Hg; p=0.005) and 45.18 mmHg (±4.34 mm Hg; p=0.005) for the 420 mbar and the 350 mbar vacuum levels, respectively. Mean intravitreal pressure increased from 20 mm Hg to 25.60 mm Hg (±9.85 mm Hg; p=0.058) and 28.10 mm Hg (±2.54 mm Hg; p=0.059) for the 420 mbar and the 350 mbar vacuum levels, respectively. Pressure values from indentation and rebound tonometry were in between intracameral and intravitreal values. Mean intracameral IOP was 18.1% higher (p=0.019) in the 420 mbar group compared with the 350 mbar group. During vacuum application of the liquid patient interface of the Femto LDV Z8 for femtosecond laser-assisted cataract surgery, IOP values were higher in the anterior chamber compared with the intravitreal pressure measurements. The higher predefined vacuum level (350 mbar vs 420 mbar) resulted in significant higher intracameral IOP. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  16. Characterization of silicon-on-insulator wafers

    NASA Astrophysics Data System (ADS)

    Park, Ki Hoon

    The silicon-on-insulator (SOI) is attracting more interest as it is being used for an advanced complementary-metal-oxide-semiconductor (CMOS) and a base substrate for novel devices to overcome present obstacles in bulk Si scaling. Furthermore, SOI fabrication technology has improved greatly in recent years and industries produce high quality wafers with high yield. This dissertation investigated SOI material properties with simple, yet accurate methods. The electrical properties of as-grown wafers such as electron and hole mobilities, buried oxide (BOX) charges, interface trap densities, and carrier lifetimes were mainly studied. For this, various electrical measurement techniques were utilized such as pseudo-metal-oxide-semiconductor field-effect-transistor (PseudoMOSFET) static current-voltage (I-V) and transient drain current (I-t), Hall effect, and MOS capacitance-voltage/capacitance-time (C-V/C-t). The electrical characterization, however, mainly depends on the pseudo-MOSFET method, which takes advantage of the intrinsic SOI structure. From the static current-voltage and pulsed measurement, carrier mobilities, lifetimes and interface trap densities were extracted. During the course of this study, a pseudo-MOSFET drain current hysteresis regarding different gate voltage sweeping directions was discovered and the cause was revealed through systematic experiments and simulations. In addition to characterization of normal SOI, strain relaxation of strained silicon-on-insulator (sSOI) was also measured. As sSOI takes advantage of wafer bonding in its fabrication process, the tenacity of bonding between the sSOI and the BOX layer was investigated by means of thermal treatment and high dose energetic gamma-ray irradiation. It was found that the strain did not relax with processes more severe than standard CMOS processes, such as anneals at temperature as high as 1350 degree Celsius.

  17. 7 CFR 58.217 - Evaporators and/or vacuum pans.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ....217 Evaporators and/or vacuum pans. Evaporators or vacuum pans or both, with open type condensers shall be equipped with an automatic condenser water level control, barometric leg, or so constructed so... Sanitary Standards for Milk and Milk Products Evaporators and Vacuum Pans. When enclosed type condensers...

  18. Degradation and Its Control of Ultraviolet Avalanche Photodiodes Using PEDOT:PSS/ZnSSe Organic-Inorganic Hybrid Structure

    NASA Astrophysics Data System (ADS)

    Abe, Tomoki; Uchida, Shigeto; Tanaka, Keita; Fujisawa, Takanobu; Kasada, Hirofumi; Ando, Koshi; Akaiwa, Kazuaki; Ichino, Kunio

    2018-05-01

    We investigated device degradation in PEDOT:PSS/ZnSSe organic-inorganic hybrid ultraviolet avalanche photodiodes (UV-APDs). ZnSSe/n-GaAs wafers were grown by molecular beam epitaxy, and PEDOT:PSS window layers were formed by inkjet technique. We observed rapid degradation with APD-mode stress (˜ 30 V) in the N2 (4 N) atmosphere, while we observed no marked change in forward bias current stress and photocurrent stress. In the case of a vacuum condition, we observed no detectable degradation in the dark avalanche current with APD-mode stress. Therefore, the degradation in the PEDOT:PSS/ZnSSe interface under the APD-mode stress was caused by the residual water vapor or oxygen in the N2 atmosphere and could be controlled by vacuum packaging.

  19. Screening of antibacterial activity of lactic acid bacteria against different pathogens found in vacuum-packaged meat products.

    PubMed

    Awaisheh, Saddam S; Ibrahim, Salam A

    2009-11-01

    The objective of this work was to screen the antibacterial activity of lactic acid bacteria (LAB) isolated from different sources against different pathogens found in ready-to-eat vacuum-packaged meat products (RTE-VPMP). LAB were isolated from human, RTE-VPMP, fermented vegetables, and dairy samples. These isolates were assessed for their antibacterial activity against Escherichia coli O157:H7, Salmonella spp., Listeria monocytogenes, and Staphylococcus aureus using spot on lawn technique. Six LAB isolates-three from a human source, two from a RTE-VPMP source, and one from a fermented vegetable source-were found to be effective against all pathogenic strains. Antibacterial activities of cell-free neutral supernatant broths of these isolates were assessed against the different pathogenic strains to confirm bacteriocin production. All six isolates were effective against all pathogenic strains. LAB isolates from the human source had the highest antibacterial activity and were significantly more effective than other LAB isolates, with the inhibition zone ranging from 14 to 22 mm. Inhibition zones of RTE-VPMP LAB isolates were lower than those of human origin (inhibition zone range, 11-17 mm). The lowest activities were for the fermented vegetable isolate, for which inhibition zones ranged from 11 to 15 mm. The three isolates of human origin were identified as L. acidophilus, L. casei, and L. reuteri; the two isolates from RTE-VPMP source were both L. sake; and the one isolate of fermented vegetable origin was L. plantarum. Our results showed that nonmeat product-sourced LAB were effective against several foodborne pathogens, which suggests that they could be used as natural biopreservatives in many RTE-VPMP produced in Jordan.

  20. Vacuum transfer system increases sugar maple sap yield

    Treesearch

    Russell S. Walters

    1978-01-01

    Yields of sugar maple sap collected from three plastic pipeline systems by gravity, vacuum pump, and a vacuum pump with a transfer tank were compared during 2 years in northern Vermont. The transfer system yielded 27 percent more sap one year and 17 percent more the next year. Higher vacuum levels at the tapholes were observed in the transfer system.

  1. Vapor-barrier Vacuum Isolation System

    NASA Technical Reports Server (NTRS)

    Weinstein, Leonard M. (Inventor); Taminger, Karen M. (Inventor)

    2014-01-01

    A system includes a collimated beam source within a vacuum chamber, a condensable barrier gas, cooling material, a pump, and isolation chambers cooled by the cooling material to condense the barrier gas. Pressure levels of each isolation chamber are substantially greater than in the vacuum chamber. Coaxially-aligned orifices connect a working chamber, the isolation chambers, and the vacuum chamber. The pump evacuates uncondensed barrier gas. The barrier gas blocks entry of atmospheric vapor from the working chamber into the isolation chambers, and undergoes supersonic flow expansion upon entering each isolation chamber. A method includes connecting the isolation chambers to the vacuum chamber, directing vapor to a boundary with the working chamber, and supersonically expanding the vapor as it enters the isolation chambers via the orifices. The vapor condenses in each isolation chamber using the cooling material, and uncondensed vapor is pumped out of the isolation chambers via the pump.

  2. "Performance Of A Wafer Stepper With Automatic Intra-Die Registration Correction."

    NASA Astrophysics Data System (ADS)

    van den Brink, M. A.; Wittekoek, S.; Linders, H. F. D.; van Hout, F. J.; George, R. A.

    1987-01-01

    An evaluation of a wafer stepper with the new improved Philips/ASM-L phase grating alignment system is reported. It is shown that an accurate alignment system needs an accurate X-Y-0 wafer stage and an accurate reticle Z stage to realize optimum overlay accuracy. This follows from a discussion of the overlay budget and an alignment procedure model. The accurate wafer stage permits high overlay accuracy using global alignment only, thus eliminating the throughput penalty of align-by-field schemes. The accurate reticle Z stage enables an intra-die magnification control with respect to the wafer scale. Various overlay data are reported, which have been measured with the automatic metrology program of the stepper. It is demonstrated that the new dual alignment system (with the external spatial filter) has improved the ability to align to weakly reflecting layers. The results are supported by a Fourier analysis of the alignment signal. Resolution data are given for the PAS 2500 projection lenses, which show that the high overlay accuracy of the system is properly matched with submicron linewidth control. The results of a recently introduced 20mm i-line lens with a numerical aperture of 0.4 (Zeiss 10-78-58) are included.

  3. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  4. Graphene-Decorated Nanocomposites for Printable Electrodes in Thin Wafer Devices

    NASA Astrophysics Data System (ADS)

    Bakhshizadeh, N.; Sivoththaman, S.

    2017-12-01

    Printable electrodes that induce less stress and require lower curing temperatures compared to traditional screen-printed metal pastes are needed in thin wafer devices such as future solar cells, and in flexible electronics. The synthesis of nanocomposites by incorporating graphene nanopowders as well as silver nanowires into epoxy-based electrically conductive adhesives (ECA) is examined to improve electrical conductivity and to develop alternate printable electrode materials that induce less stress on the wafer. For the synthesized graphene and Ag nanowire-decorated ECA nanocomposites, the curing kinetics were studied by dynamic and isothermal differential scanning calorimetry measurements. Thermogravimetric analysis on ECA, ECA-AG and ECA/graphene nanopowder nanocomposites showed that the temperatures for onset of decomposition are higher than their corresponding glass transition temperature ( T g) indicating an excellent thermal resistance. Printed ECA/Ag nanowire nanocomposites showed 90% higher electrical conductivity than ECA films, whereas the ECA/graphene nanocomposites increased the conductivity by over two orders of magnitude. Scanning electron microscopy results also revealed the effect of fillers morphology on the conductivity improvement and current transfer mechanisms in nanocomposites. Residual stress analysis performed on Si wafers showed that the ECA and nanocomposite printed wafers are subjected to much lower stress compared to those printed with metallic pastes. The observed parameters of low curing temperature, good thermal resistance, reasonably high conductivity, and low residual stress in the ECA/graphene nanocomposite makes this material a promising alternative in screen-printed electrode formation in thin substrates.

  5. Chemical method for producing smooth surfaces on silicon wafers

    DOEpatents

    Yu, Conrad

    2003-01-01

    An improved method for producing optically smooth surfaces in silicon wafers during wet chemical etching involves a pre-treatment rinse of the wafers before etching and a post-etching rinse. The pre-treatment with an organic solvent provides a well-wetted surface that ensures uniform mass transfer during etching, which results in optically smooth surfaces. The post-etching treatment with an acetic acid solution stops the etching instantly, preventing any uneven etching that leads to surface roughness. This method can be used to etch silicon surfaces to a depth of 200 .mu.m or more, while the finished surfaces have a surface roughness of only 15-50 .ANG. (RMS).

  6. Polarized Optical Scattering Measurements of Metallic Nanoparticles on a Thin Film Silicon Wafer

    NASA Astrophysics Data System (ADS)

    Liu, Cheng-Yang; Liu, Tze-An; Fu, Wei-En

    2009-09-01

    Light scattering has shown its powerful diagnostic capability to characterize optical quality surfaces. In this study, the theory of bidirectional reflectance distribution function (BRDF) was used to analyze the metallic nanoparticles' sizes on wafer surfaces. The BRDF of a surface is defined as the angular distribution of radiance scattered by the surface normalized by the irradiance incident on the surface. A goniometric optical scatter instrument has been developed to perform the BRDF measurements on polarized light scattering on wafer surfaces for the diameter and distribution measurements of metallic nanoparticles. The designed optical scatter instrument is capable of distinguishing various types of optical scattering characteristics, which are corresponding to the diameters of the metallic nanoparticles, near surfaces by using the Mueller matrix calculation. The metallic nanoparticle diameter of measurement is 60 nm on 2 inch thin film wafers. These measurement results demonstrate that the polarization of light scattered by metallic particles can be used to determine the size of metallic nanoparticles on silicon wafers.

  7. Running vacuum cosmological models: linear scalar perturbations

    NASA Astrophysics Data System (ADS)

    Perico, E. L. D.; Tamayo, D. A.

    2017-08-01

    In cosmology, phenomenologically motivated expressions for running vacuum are commonly parameterized as linear functions typically denoted by Λ(H2) or Λ(R). Such models assume an equation of state for the vacuum given by bar PΛ = - bar rhoΛ, relating its background pressure bar PΛ with its mean energy density bar rhoΛ ≡ Λ/8πG. This equation of state suggests that the vacuum dynamics is due to an interaction with the matter content of the universe. Most of the approaches studying the observational impact of these models only consider the interaction between the vacuum and the transient dominant matter component of the universe. We extend such models by assuming that the running vacuum is the sum of independent contributions, namely bar rhoΛ = Σibar rhoΛi. Each Λ i vacuum component is associated and interacting with one of the i matter components in both the background and perturbation levels. We derive the evolution equations for the linear scalar vacuum and matter perturbations in those two scenarios, and identify the running vacuum imprints on the cosmic microwave background anisotropies as well as on the matter power spectrum. In the Λ(H2) scenario the vacuum is coupled with every matter component, whereas the Λ(R) description only leads to a coupling between vacuum and non-relativistic matter, producing different effects on the matter power spectrum.

  8. Engineering report on the OAO-2 Wisconsin experiment package

    NASA Technical Reports Server (NTRS)

    Bendell, C. B.

    1972-01-01

    The continued useful operation of the OAO-2 Wisconsin Experiment Package (WEP) for almost three years after its December 1968 launch is evidence of a superior engineering accomplishment. Reliability features of the experiment concept and design which have contributed to its long life are presented. Data anomalies and partial failures are summarized along with conclusions regarding their causes. The thermal, vacuum and radiation effects of the space environment are shown to be minimal and quite localized within the WEP.

  9. Fabrication Characterization of Solar-Cell Silicon Wafers Using a Circular-Rhombus Tool

    NASA Astrophysics Data System (ADS)

    Pa, Pai-Shan

    2010-01-01

    A new recycling fabrication method using a custom-built designed circular-rhombus tool for a process combining of micro-electroetching and electrochemical machining for removal of the surface layers from silicon wafers of solar cells is demonstrated. The low yields of epoxy film and Si3N4 thin-film depositions are important factors in semiconductor production. The aim of the proposed recycling fabrication method is to replace the current approach, which uses strong acid and grinding and may damage the physical structure of silicon wafers and pollute to the environment. A precisely engineered clean production approach for removal of surface microstructure layers from silicon wafers is to develop a mass production system for recycling defective or discarded silicon wafers of solar cells that can reduce pollution and cost. A large diameter cathode of the circular-rhombus tool (with a small gap between the anode and the cathode) corresponds to a high rate of epoxy film removal. A high feed rate of the silicon wafers combined with a high continuous DC electric voltage results in a high removal rate. The high rotational speed of the circular-rhombus tool increases the discharge mobility and improves the removal effect associated with the high feed rate of the workpiece. A small port radius or large end angle of the rhombus anode provides a large discharge space and good removal effect only a short period of time is required to remove the Si3N4 layer and epoxy film easily and cleanly.

  10. Control over dark current densities and cutoff wavelengths of GaAs/AlGaAs QWIP grown by multi-wafer MBE reactor

    NASA Astrophysics Data System (ADS)

    Roodenko, K.; Choi, K. K.; Clark, K. P.; Fraser, E. D.; Vargason, K. W.; Kuo, J.-M.; Kao, Y.-C.; Pinsukanjana, P. R.

    2016-09-01

    Performance of quantum well infrared photodetector (QWIP) device parameters such as detector cutoff wavelength and the dark current density depend strongly on the quality and the control of the epitaxy material growth. In this work, we report on a methodology to precisely control these critical material parameters for long wavelength infrared (LWIR) GaAs/AlGaAs QWIP epi wafers grown by multi-wafer production Molecular beam epitaxy (MBE). Critical growth parameters such as quantum well (QW) thickness, AlGaAs composition and QW doping level are discussed.

  11. Correlation of 150-mm silicon wafer site flatness with stepper performance for deep submicron applications

    NASA Astrophysics Data System (ADS)

    Huff, Howard R.; Vigil, Joseph C.; Kuyel, Birol; Chan, David Y.; Nguyen, Long P.

    1992-06-01

    An experimental study was conducted to correlate wafer site flatness SFQD with stepper performance for half-micron lines and spaces. CD measurements were taken on wafers patterned on both GCA pre-production XLS i-line and SVGL Micrascan-90 DUV steppers as well as focus measurements on the Micrascan-90. Wafer site flatness SFQD less than 0.3 micrometers was observed to be a sufficiently small variable in CD non-uniformities for these initial half-micron stepper applications.

  12. Local interstitial delivery of z-butylidenephthalide by polymer wafers against malignant human gliomas

    PubMed Central

    Harn, Horng-Jyh; Lin, Shinn-Zong; Lin, Po-Cheng; Liu, Cyong-Yue; Liu, Po-Yen; Chang, Li-Fu; Yen, Ssu-Yin; Hsieh, Dean-Kuo; Liu, Fu-Chen; Tai, Dar-Fu; Chiou, Tzyy-Wen

    2011-01-01

    We have shown that the natural compound z-butylidenephthalide (Bdph), isolated from the chloroform extract of Angelica sinensis, has antitumor effects. Because of the limitation of the blood-brain barrier, the Bdph dosage required for treatment of glioma is relatively high. To solve this problem, we developed a local-release system with Bdph incorporated into a biodegradable polyanhydride material, p(CPP-SA; Bdph-Wafer), and investigated its antitumor effects. On the basis of in vitro release kinetics, we demonstrated that the Bdph-Wafer released 50% of the available Bdph by the sixth day, and the release reached a plateau phase (90% of Bdph) by the 30th day. To investigate the in situ antitumor effects of the Bdph-Wafer on glioblastoma multiforme (GBM), we used 2 xenograft animal models—F344 rats (for rat GBM) and nude mice (for human GBM)—which were injected with RG2 and DBTRG-05MG cells, respectively, for tumor formation and subsequently treated subcutaneously with Bdph-Wafers. We observed a significant inhibitory effect on tumor growth, with no significant adverse effects on the rodents. Moreover, we demonstrated that the antitumor effect of Bdph on RG2 cells was via the PKC pathway, which upregulated Nurr77 and promoted its translocation from the nucleus to the cytoplasm. Finally, to study the effect of the interstitial administration of Bdph in cranial brain tumor, Bdph-Wafers were surgically placed in FGF-SV40 transgenic mice. Our Bdph-Wafer significantly reduced tumor size in a dose-dependent manner. In summary, our study showed that p(CPP-SA) containing Bdph delivered a sufficient concentration of Bdph to the tumor site and effectively inhibited the tumor growth in the glioma. PMID:21565841

  13. Aerial image measurement technique for automated reticle defect disposition (ARDD) in wafer fabs

    NASA Astrophysics Data System (ADS)

    Zibold, Axel M.; Schmid, Rainer M.; Stegemann, B.; Scheruebl, Thomas; Harnisch, Wolfgang; Kobiyama, Yuji

    2004-08-01

    The Aerial Image Measurement System (AIMS)* for 193 nm lithography emulation has been brought into operation successfully worldwide. A second generation system comprising 193 nm AIMS capability, mini-environment and SMIF, the AIMS fab 193 plus is currently introduced into the market. By adjustment of numerical aperture (NA), illumination type and partial illumination coherence to match the conditions in 193 nm steppers or scanners, it can emulate the exposure tool for any type of reticles like binary, OPC and PSM down to the 65 nm node. The system allows a rapid prediction of wafer printability of defects or defect repairs, and critical features, like dense patterns or contacts on the masks without the need to perform expensive image qualification consisting of test wafer exposures followed by SEM measurements. Therefore, AIMS is a mask quality verification standard for high-end photo masks and established in mask shops worldwide. The progress on the AIMS technology described in this paper will highlight that besides mask shops there will be a very beneficial use of the AIMS in the wafer fab and we propose an Automated Reticle Defect Disposition (ARDD) process. With smaller nodes, where design rules are 65 nm or less, it is expected that smaller defects on reticles will occur in increasing numbers in the wafer fab. These smaller mask defects will matter more and more and become a serious yield limiting factor. With increasing mask prices and increasing number of defects and severability on reticles it will become cost beneficial to perform defect disposition on the reticles in wafer production. Currently ongoing studies demonstrate AIMS benefits for wafer fab applications. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node based on emulation of immersion scanners.

  14. Evaluation of the Technical Feasibility and Effective Cost of Various Wafer Thicknesses for the Manufacture of Solar Cells

    NASA Technical Reports Server (NTRS)

    1979-01-01

    Fourteen wafering characterization runs were completed on a wire saw. Wafer thickness/taper uniformity was excellent. Several alternations and design adjustments were made, facilitating saw operation. A wafering characterization cycle was initiated, and is close to completion. A cell characterization cycle was initiated.

  15. Evaluation of the technical feasibility and effective cost of various wafer thicknesses for the manufacture of solar cells

    NASA Technical Reports Server (NTRS)

    1978-01-01

    Three wafering demonstration runs were completed on the Yasunaga wire saw. Wafer thickness/taper uniformity is excellent. Many small problems were encountered with Yasunaga accessories, slowing the effort. A wafer characterization cycle was defined and will be initiated during the next period.

  16. Terahertz transmission properties of silicon wafers using continuous-wave terahertz spectroscopy

    NASA Astrophysics Data System (ADS)

    Kim, Chihoon; Ahn, Jae Sung; Ji, Taeksoo; Eom, Joo Beom

    2017-04-01

    We present the spectral properties of Si wafers using continuous-wave terahertz (CW-THz) spectroscopy. By using a tunable laser source and a fixed distributed-feedback laser diode (DFB-LD), a stably tunable beat source for CW-THz spectroscopy system can be implemented. THz radiation is generated in the frequency range of 100 GHz-800 GHz by photomixing in a photoconductive antenna. We also measured CW-THz waveforms by changing the beat frequency and confirmed repeatability through repeated measurement. We calculated the peaks of the THz frequency by taking fast Fourier transforms (FFTs) of measured THz waveforms. The feasibility of CW-THz spectroscopy is demonstrated by the THz spectra of Si wafers with different resistivities, mobilities, and carrier concentrations. The results show that Si wafers with a lower resistivity absorb more THz waves. Thus, we expect our CW-THz system to have the advantage of being able to perform fast non-destructive analysis.

  17. Wafer-scale pixelated detector system

    DOEpatents

    Fahim, Farah; Deptuch, Grzegorz; Zimmerman, Tom

    2017-10-17

    A large area, gapless, detection system comprises at least one sensor; an interposer operably connected to the at least one sensor; and at least one application specific integrated circuit operably connected to the sensor via the interposer wherein the detection system provides high dynamic range while maintaining small pixel area and low power dissipation. Thereby the invention provides methods and systems for a wafer-scale gapless and seamless detector systems with small pixels, which have both high dynamic range and low power dissipation.

  18. Texturization of diamond-wire-sawn multicrystalline silicon wafer using Cu, Ag, or Ag/Cu as a metal catalyst

    NASA Astrophysics Data System (ADS)

    Wang, Shing-Dar; Chen, Ting-Wei

    2018-06-01

    In this work, Cu, Ag, or Ag/Cu was used as a metal catalyst to study the surface texturization of diamond-wire-sawn (DWS) multi-crystalline silicon (mc-Si) wafer by a metal-assisted chemical etching (MACE) method. The DWS wafer was first etched by standard HF-HNO3 acidic etching, and it was labeled as AE-DWS wafer. The effects of ratios of Cu(NO3)2:HF, AgNO3:HF, and AgNO3:Cu(NO3)2 on the morphology of AE-DWS wafer were investigated. After the process of MACE, the wafer was treated with a NaF/H2O2 solution. In this process, H2O2 etched the nanostructure, and NaF removed the oxidation layer. The Si {1 1 1} plane was revealed by etching the wafer in a mixture of 0.03 M Cu(NO3)2 and 1 M HF at 55 °C for 2.5 min. These parallel Si {1 1 1} planes replaced some parallel saw marks on the surface of AE-DWS wafers without forming a positive pyramid or an inverted pyramid structure. The main topography of the wafer is comprised of silicon nanowires grown in <1 0 0> direction when Ag or Ag/Cu was used as a metal catalyst. When silicon is etched in a mixed solution of Cu(NO3)2, AgNO3, HF and H2O2 at 55 °C with a concentration ratio of [Cu2+]/[Ag+] of 50 or at 65 °C with a concentration ratio of [Cu2+]/[Ag+] of 33, a quasi-inverted pyramid structure can be obtained. The reflectivity of the AE-DWS wafers treated with MACE is lower than that of the multiwire-slurry-sawn (MWSS) mc-Si wafers treated with traditional HF + HNO3 etching.

  19. Cadmium telluride photovoltaic radiation detector

    DOEpatents

    Agouridis, D.C.; Fox, R.J.

    A dosimetry-type radiation detector is provided which employs a polycrystalline, chlorine-compensated cadmium telluride wafer fabricated to operate as a photovoltaic current generator used as the basic detecting element. A photovoltaic junction is formed in the wafer by painting one face of the cadmium telluride wafer with an n-type semi-conductive material. The opposite face of the wafer is painted with an electrically conductive material to serve as a current collector. The detector is mounted in a hermetically sealed vacuum containment. The detector is operated in a photovoltaic mode (zero bias) while DC coupled to a symmetrical differential current amplifier having a very low input impedance. The amplifier converts the current signal generated by radiation impinging upon the barrier surface face of the wafer to a voltage which is supplied to a voltmeter calibrated to read quantitatively the level of radiation incident upon the detecting wafer.

  20. Cadmium telluride photovoltaic radiation detector

    DOEpatents

    Agouridis, Dimitrios C.; Fox, Richard J.

    1981-01-01

    A dosimetry-type radiation detector is provided which employs a polycrystalline, chlorine-compensated cadmium telluride wafer fabricated to operate as a photovoltaic current generator used as the basic detecting element. A photovoltaic junction is formed in the wafer by painting one face of the cadmium telluride wafer with an n-type semiconductive material. The opposite face of the wafer is painted with an electrically conductive material to serve as a current collector. The detector is mounted in a hermetically sealed vacuum containment. The detector is operated in a photovoltaic mode (zero bias) while DC coupled to a symmetrical differential current amplifier having a very low input impedance. The amplifier converts the current signal generated by radiation impinging upon the barrier surface face of the wafer to a voltage which is supplied to a voltmeter calibrated to read quantitatively the level of radiation incident upon the detecting wafer.