Sample records for waste trenches ttr

  1. Corrective action investigation plan: Cactus Spring Waste Trenches. Revision 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    This Correction Action Investigation Plan (CAIP) contains environmental sample collection objectives and logic for the CAU No. 426, which includes the Cactus Spring Waste Trenches, CAS No. RG-08-001-RG-CS. The Cactus Spring Waste Trenches are located at the Tonopah Test Range (TTR) which is part of the Nellis Air Force Range, approximately 255 kilometers (km) (140 miles [mi]) northwest of Las Vegas, Nevada, by air. The purpose of this investigation is to generate sufficient data to establish the types of waste buried in the trenches, identify the presence and nature of contamination, determine the vertical extent of contaminant migration below themore » Cactus Spring Waste Trenches, and determine the appropriate course of action for the site. The potential courses of action for the site are clean closure, closure in place (with or without remediation), or no further action.« less

  2. Corrrective action decision document for the Cactus Spring Waste Trenches (Corrective Action Unit No. 426). Revision No. 1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    The Corrective Action Decision Document (CADD) for the Cactus Spring Waste Trenches (Corrective Action Unit [CAU] No. 426) has been prepared for the US Department of Energy`s (DOE) Nevada Environmental Restoration Project. This CADD has been developed to meet the requirements of the Federal Facility Agreement and Consent Order (FFACO) of 1996, stated in Appendix VI, {open_quotes}Corrective Action Strategy{close_quotes} (FFACO, 1996). The Cactus Spring Waste Trenches Corrective Action Site (CAS) No. RG-08-001-RG-CS is included in CAU No. 426 (also referred to as the {open_quotes}trenches{close_quotes}); it has been identified as one of three potential locations for buried, radioactively contaminated materials frommore » the Double Tracks Test. The trenches are located on the east flank of the Cactus Range in the eastern portion of the Cactus Spring Ranch at the Tonopah Test Range (TTR) in Nye County, Nevada, on the northern portion of Nellis Air Force Range. The TTR is approximately 225 kilometers (km) (140 miles [mi]) northwest of Las Vegas, Nevada, by air and approximately 56 km (35 mi) southeast of Tonopah, Nevada, by road. The trenches were dug for the purpose of receiving waste generated during Operation Roller Coaster, primarily the Double Tracks Test. This test, conducted in 1963, involved the use of live animals to assess the biological hazards associated with non-nuclear detonation of plutonium-bearing devices (i.e., inhalation uptake of plutonium aerosol). The CAS consists of four trenches that received solid waste and had an overall impacted area of approximately 36 meters (m) (120 feet [ft]) long x 24 m (80 ft) wide x 3 to 4.5 m (10 to 15 ft) deep. The average depressions at the trenches are approximately 0.3 m (1 ft) below land surface.« less

  3. Corrective Action Investigation Plan for Corrective Action Unit 410: Waste Disposal Trenches, Tonopah Test Range, Nevada, Revision 0 (includes ROTCs 1, 2, and 3)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NNSA /NV

    This Corrective Action Investigation Plan contains the U.S. Department of Energy, National Nuclear Security Administration Nevada Operations Office's approach to collect the data necessary to evaluate corrective action alternatives appropriate for the closure of Corrective Action Unit (CAU) 410 under the Federal Facility Agreement and Consent Order. Corrective Action Unit 410 is located on the Tonopah Test Range (TTR), which is included in the Nevada Test and Training Range (formerly the Nellis Air Force Range) approximately 140 miles northwest of Las Vegas, Nevada. This CAU is comprised of five Corrective Action Sites (CASs): TA-19-002-TAB2, Debris Mound; TA-21-003-TANL, Disposal Trench; TA-21-002-TAAL,more » Disposal Trench; 09-21-001-TA09, Disposal Trenches; 03-19-001, Waste Disposal Site. This CAU is being investigated because contaminants may be present in concentrations that could potentially pose a threat to human health and/or the environment, and waste may have been disposed of with out appropriate controls. Four out of five of these CASs are the result of weapons testing and disposal activities at the TTR, and they are grouped together for site closure based on the similarity of the sites (waste disposal sites and trenches). The fifth CAS, CAS 03-19-001, is a hydrocarbon spill related to activities in the area. This site is grouped with this CAU because of the location (TTR). Based on historical documentation and process know-ledge, vertical and lateral migration routes are possible for all CASs. Migration of contaminants may have occurred through transport by infiltration of precipitation through surface soil which serves as a driving force for downward migration of contaminants. Land-use scenarios limit future use of these CASs to industrial activities. The suspected contaminants of potential concern which have been identified are volatile organic compounds; semivolatile organic compounds; high explosives; radiological constituents including depleted

  4. Corrective action investigation plan: Cactus Spring Waste Trenches. Revision 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    This Correction Action Investigation Plan (CAIP) contains environmental sample collection objectives and logic for the Corrective Action Unit No. 426, which includes the Cactus Spring Waste Trenches, located at the Tonopah Test Range. The purpose of this investigation is to generate sufficient data to establish the types of waste buried in the trenches, identify the presence and nature of contamination, determine the vertical extent of contaminant migration below the Cactus Spring Waste Trenches, and determine the appropriate course of action for the site. The potential courses of action for the site are clean closure, closure in place (with or withoutmore » remediation), or no further action. The scope of this investigation will include drilling and collecting subsurface samples from within and below the trenches. Sampling locations will be biased toward the areas most likely to be contaminated. The Cactus Spring Waste Trenches Site is identified as one of three potential locations for buried, radioactively contaminated materials from the Double Tracks Test. This test was the first of four storage-transportation tests conducted in 1963 as part of Operation Roller Coaster. The experiment involved the use of live animals to assess the inhalation intake of a plutonium aerosol.« less

  5. Seepage through a hazardous-waste trench cover

    USGS Publications Warehouse

    Healy, R.W.

    1989-01-01

    Water movement through a waste-trench cover under natural conditions at a low-level radioactive waste disposal site in northwestern Illinois was studied from July 1982 to June 1984, using tensiometers, a moisture probe, and meteorological instruments. Four methods were used to estimate seepage: the Darcy, zero-flux plane, surface-based water-budget, and groundwater-based water-budget methods. Annual seepage estimates ranged from 48 to 216 mm (5-23% of total precipitation), with most seepage occurring in spring. The Darcy method, although limited in accuracy by uncertainty in hydraulic conductivity, was capable of discretizing seepage in space and time and indicated that seepage varied by almost an order of magnitude across the width of the trench. Lowest seepage rates occurred near the center of the cover, where seepage was gradual. Highest rates occurred along the edge of the cover, where seepage was highly episodic, with 84% of the total there being traced to wetting fronts from 28 individual storms. Limitations of the zero-flux-plane method were severe enough for the method to be judged inappropriate for use in this study.Water movement through a waste-trench cover under natural conditions at a low-level radioactive waste disposal site in northwestern Illinois was studied from July 1982 to June 1984, using tensiometers, a moisture probe, and meteorological instruments. Four methods were used to estimate seepage: the Darcy, zero-flux plane, surface-based water-budget, and groundwater-based water-budget methods. Annual seepage estimates ranged from 48 to 216mm (5-23% of total precipitation), with most seepage occurring in spring. The Darcy method, although limited in accuracy by uncertainty in hydraulic conductivity, was capable of discretizing seepage in space and time and indicated that seepage varied by almost an order of magnitude across the width of the trench. Lowest seepage rates occurred near the center of the cover, where seepage was gradual. Highest

  6. Trench 'bathtubbing' and surface plutonium contamination at a legacy radioactive waste site.

    PubMed

    Payne, Timothy E; Harrison, Jennifer J; Hughes, Catherine E; Johansen, Mathew P; Thiruvoth, Sangeeth; Wilsher, Kerry L; Cendón, Dioni I; Hankin, Stuart I; Rowling, Brett; Zawadzki, Atun

    2013-01-01

    Radioactive waste containing a few grams of plutonium (Pu) was disposed between 1960 and 1968 in trenches at the Little Forest Burial Ground (LFBG), near Sydney, Australia. A water sampling point installed in a former trench has enabled the radionuclide content of trench water and the response of the water level to rainfall to be studied. The trench water contains readily measurable Pu activity (~12 Bq/L of (239+240)Pu in 0.45 μm-filtered water), and there is an associated contamination of Pu in surface soils. The highest (239+240)Pu soil activity was 829 Bq/kg in a shallow sample (0-1 cm depth) near the trench sampling point. Away from the trenches, the elevated concentrations of Pu in surface soils extend for tens of meters down-slope. The broader contamination may be partly attributable to dispersion events in the first decade after disposal, after which a layer of soil was added above the trenched area. Since this time, further Pu contamination has occurred near the trench-sampler within this added layer. The water level in the trench-sampler responds quickly to rainfall and intermittently reaches the surface, hence the Pu dispersion is attributed to saturation and overflow of the trenches during extreme rainfall events, referred to as the 'bathtub' effect.

  7. Biological intrusion of low-level-waste trench covers

    NASA Astrophysics Data System (ADS)

    Hakonson, T. E.; Gladney, E. S.

    The long-term integrity of low-level waste shallow land burialsites is dependent on the interaction of physical, chemical, and biological factors that modify the waste containment system. The need to consider biological processes as being potentially important in reducing the integrity of waste burial site cover treatment is demonstrated. One approach to limiting biological intrusion through the waste cover is to apply a barrier within the profile to limit root and animal penetration with depth. Experiments in the Los Alamos Experimental Engineered Test Facility were initiated to develop and evaluate biological barriers that are effective in minimizing intrusion into waste trenches. The experiments that are described employ four different candidate barrier materials of geologic origin. Experimental variables that will be evaluated, in addition to barrier type, are barrier depth and sil overburden depth.

  8. Microbial activity of trench leachates from shallow-land, low-level radioactive waste disposal sites.

    PubMed Central

    Francis, A J; Dobbs, S; Nine, B J

    1980-01-01

    Trench leachate samples collected anoxically from shallow-land, low-level radioactive waste disposal sites were analyzed for total aerobic and anaerobic populations, sulfate reducers, denitrifiers, and methanogens. Among the several aerobic and anaerobic bacteria isolated, only Bacillus sp., Pseudomonas sp., Citrobacter sp., and Clostridium sp. were identified. Mixed bacterial cultures isolated from the trench leachates were able to grow anaerobically in trench leachates, which indicates that the radionuclides and organic chemicals present were not toxic to these bacteria. Changes in concentrations of several of the organic constituents of the waste leachate samples were observed due to anaerobic microbial activity. Growth of a mixed culture of trench-water bacteria in media containing a mixture of radionuclides, 60Co, 85Sr, and 134,137Cs, was not affected at total activity concentrations of 2.6 X 10(2) and 2.7 X 10(3) pCi/ml. PMID:7406490

  9. Closure Report for Corrective Action Unit 426: Cactus Spring Waste Trenches, Tonopah Test Range, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dave Madsen

    This Closure Report provides the documentation for closure of the Cactus Spring Waste Trenches Corrective Action Unit (CAU) 426. The site is located on the Tonopah Test Range, approximately 225 kilometers northwest of Las Vegas, NV. CAU 426 consists of one corrective action site (CAS) which is comprised of four waste trenches. The trenches were excavated to receive solid waste generated in support of Operation Roller Coaster, primary the Double Tracks Test in 1963, and were subsequently backfilled. The Double Tracks Test involved use of live animals to assess the biological hazards associated with the nonnuclear detonation of plutonium-bearing devices.more » The Nevada Division of Environmental Protection approved Corrective Action Plan (CAP)which proposed ''capping'' methodology. The closure activities were completed in accordance with the approved CAP and consisted of constructing an engineered cover in the area of the trenches, constructing/planting a vegetative cover, installing a perimeter fence and signs, implementing restrictions on future use, and preparing a Post-Closure Monitoring Plan.« less

  10. Changes in soil hydraulic properties caused by construction of a simulated waste trench at the Idaho National Engineering Laboratory, Idaho

    USGS Publications Warehouse

    Shakofsky, S.M.

    1995-01-01

    In order to assess the effect of filled waste disposal trenches on transport-governing soil properties, comparisons were made between profiles of undisturbed soil and disturbed soil in a simulated waste trench. The changes in soil properties induced by the construction of a simulated waste trench were measured near the Radioactive Waste Management Complex at the Idaho National Engineering Laboratory (INEL) in the semi-arid southeast region of Idaho. The soil samples were collected, using a hydraulically- driven sampler to minimize sample disruption, from both a simulated waste trench and an undisturbed area nearby. Results show that the undisturbed profile has distinct layers whose properties differ significantly, whereas the soil profile in the simulated waste trench is. by comparison, homogeneous. Porosity was increased in the disturbed cores, and, correspondingly, saturated hydraulic conductivities were on average three times higher. With higher soil-moisture contents (greater than 0.32), unsaturated hydraulic conductivities for the undisturbed cores were typically greater than those for the disturbed cores. With lower moisture contents, most of the disturbed cores had greater hydraulic conductivities. The observed differences in hydraulic conductivities are interpreted and discussed as changes in the soil pore geometry.

  11. Trench ‘Bathtubbing’ and Surface Plutonium Contamination at a Legacy Radioactive Waste Site

    PubMed Central

    2013-01-01

    Radioactive waste containing a few grams of plutonium (Pu) was disposed between 1960 and 1968 in trenches at the Little Forest Burial Ground (LFBG), near Sydney, Australia. A water sampling point installed in a former trench has enabled the radionuclide content of trench water and the response of the water level to rainfall to be studied. The trench water contains readily measurable Pu activity (∼12 Bq/L of 239+240Pu in 0.45 μm-filtered water), and there is an associated contamination of Pu in surface soils. The highest 239+240Pu soil activity was 829 Bq/kg in a shallow sample (0–1 cm depth) near the trench sampling point. Away from the trenches, the elevated concentrations of Pu in surface soils extend for tens of meters down-slope. The broader contamination may be partly attributable to dispersion events in the first decade after disposal, after which a layer of soil was added above the trenched area. Since this time, further Pu contamination has occurred near the trench-sampler within this added layer. The water level in the trench-sampler responds quickly to rainfall and intermittently reaches the surface, hence the Pu dispersion is attributed to saturation and overflow of the trenches during extreme rainfall events, referred to as the ‘bathtub’ effect. PMID:24256473

  12. Trial coring in LLRW trenches at Chalk River

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Donders, R.E.; Killey, R.W.D.; Franklin, K.J.

    1996-12-31

    As part of a program to better characterize the low-hazard radioactive waste managed by AECL at Chalk River, coring techniques in waste trenches are being assessed. Trial coring has demonstrated that sampling in waste regions is possible, and that boreholes can be placed through the waste trenches. Such coring provides a valuable information gathering technique. Information available from trench coring includes: (1) trench cover depth, waste region depth, waste compaction level, and detailed stratigraphic data; (2) soil moisture content and facility drainage performance; (3) borehole gamma logs that indicate radiation levels in the region of the borehole; (4) biochemical conditionsmore » in the waste regions, vadose zone, and groundwater; (5) site specific information relevant to contaminant migration modelling or remedial actions; (6) information on contaminant releases and inventories. Boreholes through the trenches can also provide a means for early detection of potential contaminant releases.« less

  13. Therapeutic Oligonucleotides Targeting Liver Disease: TTR Amyloidosis.

    PubMed

    Niemietz, Christoph; Chandhok, Gursimran; Schmidt, Hartmut

    2015-09-30

    The liver has become an increasingly interesting target for oligonucleotide therapy. Mutations of the gene encoding transthyretin (TTR), expressed in vast amounts by the liver, result in a complex degenerative disease, termed familial amyloid polyneuropathy (FAP). Misfolded variants of TTR are linked to the establishment of extracellular protein deposition in various tissues, including the heart and the peripheral nervous system. Recent progress in the chemistry and formulation of antisense (ASO) and small interfering RNA (siRNA) designed for a knockdown of TTR mRNA in the liver has allowed to address the issue of gene-specific molecular therapy in a clinical setting of FAP. The two therapeutic oligonucleotides bind to RNA in a sequence specific manner but exploit different mechanisms. Here we describe major developments that have led to the advent of therapeutic oligonucleotides for treatment of TTR-related disease.

  14. Permeability of covers over low-level radioactive-waste burial trenches, West Valley, Cattaraugus County, New York

    USGS Publications Warehouse

    Prudic, David E.

    1980-01-01

    Among the facilities at the Western New York Nuclear Service Center, near the hamlet of West Valley in the northern part of Cattaraugus County, N.Y., is a State-licensed burial ground for commercial low-level radioactive wastes. The 11-acre burial ground contains a series of trenches excavated in a silty-clay till of low permeability that contains scattered pods of silt, sand, and gravel. Gas pressure in the unsaturated parts of radioactive waste burial trenches responds to fluctuations in atmospheric pressure. Measurements of atmospheric pressure and the differential pressure between the trench gas and the atmosphere on several dates in 1977-78 were used to calculate hydraulic conductivity of the reworked silty-clay till that covers the trenches. Generally the hydraulic conductivity of covers over trenches that had a history of rapidly rising water levels are higher, at least seasonally, than covers over trenches in which the water level remained low. This supports the hypothesis that recharge occurs through the cover, presumably through fractures caused by desiccation and (or) subsidence. Hydraulic conductivities of the cover as calculated from gas- and air-pressure measurements at several trenches were 100 to 1,000 times greater than those calculated from the increase in water levels in the trenches. This difference suggests that the values obtained from the air- and gas-pressure measurements need to be adjusted and at present are not directly usable in ground-water flux calculations. The difference in magnitude of values may be caused by rapidly decreasing hydraulic conductivity during periods of recharge or by the clogging of fractures with sediment washed in by runoff. (USGS)

  15. Properties and variability of soil and trench fill at an arid waste-burial site

    USGS Publications Warehouse

    Andraski, Brian J.

    1996-01-01

    Arid sites commonly are assumed to be ideal for long-term isolation of wastes. Information on properties and variability of desert soils is limited, however, and little is known about how the natural site environment is altered by installation of a waste facility. During fall construction of two test trenches next to the waste facility on the Amargosa Desert near Beatty, NV, samples were collected to: (i) characterize physical and hydraulic properties of native soil (upper 5 m) and trench fill, (ii) determine effects of trench construction on selected properties and vertical variability of these properties, and (iii) develop conceptual models of vertical variation within the soil profile and trench fill. Water retention was measured to air dryness (ψ = 2 × 106 cm water suction). The 15 300-cm pressure-plate data were omitted from the analysis because water-activity measurements showed the actual suction values were significantly less than the expected 15 300-cm value (avg. difference = 8550 ± 2460 cm water). Trench construction significantly altered properties and variability of the natural site environment. For example, water content ranged from 0.029 to 0.041 m3 m-3 for fill vs. 0.030 to 0.095 m3 m-3 for soil; saturated hydraulic conductivity was ≈ 10-4 cm s-1 for fill vs. 10-2 to ≈ 10-4 cm s-1 for soil. Statistical analyses showed that the native soil may be represented by three major horizontal components and the fill by a single component. Under initial conditions, calculated liquid conductivity (Kl) plus isothermal vapor conductivity (Kv) for the upper two soil layers and the trench fill was ≈ 10-13 cm s-1, and Kl was ≤ Kv. For the deeper (2–5 m) soil, total conductivity was ≈ 10-10 cm s-1, and Kl was >Kv. This study quantitatively describes hydraulic characteristics of a site using data measured across a water-content range that is representative of arid conditions, but is seldom studied.

  16. Non-coding variants contribute to the clinical heterogeneity of TTR amyloidosis.

    PubMed

    Iorio, Andrea; De Lillo, Antonella; De Angelis, Flavio; Di Girolamo, Marco; Luigetti, Marco; Sabatelli, Mario; Pradotto, Luca; Mauro, Alessandro; Mazzeo, Anna; Stancanelli, Claudia; Perfetto, Federico; Frusconi, Sabrina; My, Filomena; Manfellotto, Dario; Fuciarelli, Maria; Polimanti, Renato

    2017-09-01

    Coding mutations in TTR gene cause a rare hereditary form of systemic amyloidosis, which has a complex genotype-phenotype correlation. We investigated the role of non-coding variants in regulating TTR gene expression and consequently amyloidosis symptoms. We evaluated the genotype-phenotype correlation considering the clinical information of 129 Italian patients with TTR amyloidosis. Then, we conducted a re-sequencing of TTR gene to investigate how non-coding variants affect TTR expression and, consequently, phenotypic presentation in carriers of amyloidogenic mutations. Polygenic scores for genetically determined TTR expression were constructed using data from our re-sequencing analysis and the GTEx (Genotype-Tissue Expression) project. We confirmed a strong phenotypic heterogeneity across coding mutations causing TTR amyloidosis. Considering the effects of non-coding variants on TTR expression, we identified three patient clusters with specific expression patterns associated with certain phenotypic presentations, including late onset, autonomic neurological involvement, and gastrointestinal symptoms. This study provides novel data regarding the role of non-coding variation and the gene expression profiles in patients affected by TTR amyloidosis, also putting forth an approach that could be used to investigate the mechanisms at the basis of the genotype-phenotype correlation of the disease.

  17. Installation of water and gas-sampling wells in low-level radioactive-waste burial trenches, West Valley, New York

    USGS Publications Warehouse

    Prudic, David E.

    1978-01-01

    A low-level radioactive-waste burial site, West Valley, N.Y., operated from 1963 to 1975, contains 12 refuse-filled trenches about 20 feet deep in till. Twenty-eight wells, 1.25 inch in diameter, were driven to selected depths in 11 of the 12 trenches to obtain gas and water samples for chemical and radiochemical analysis, water-level measurements for evaluation of trench-cover permeability. Gas from unsaturated refuse above the trench water level was detected in nearly all wells. Rapid water-level response in most wells to pumping of water from trench sumps 20 to 275 feet distant showed the refuse to be highly permeable. Described in detail are the methods and equipment used to (1) install the wells, (2) collect gas and water samples, and (3) monitor radiation and methane concentrations while driving wells into trenches. A record of each well driven into the burial trenches is included. (Woodard-USGS)

  18. Special Analysis: Disposal of ETF Activated Carbon Vessels in Slit Trenches at the E-Area Low-Level Waste Facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Collard, L.B.

    2003-08-25

    This Special Analysis (SA) addresses two contaminants of concern, H-3 and I-129, in three Effluent Treatment Facility (ETF) Activated Carbon Vessels awaiting disposal as solid waste. The Unreviewed Disposal Question (UDQ) evaluation listed two options for disposal of this waste, disposal as Components-in-Grout (CIG) or disposal in Slit Trenches with sealed openings to restrict release of H-3 form the vessels. Consumption of the CIG inventory limit and consumption of CIG facility volume are shown for the ETF vessels to allow easy comparison with the consumption of Slit Trench inventory limit and consumption of the Slit Trench facility volume . Themore » inventory projections are based on doubling the inventory of the three ETF vessels in the E-Area to account for the unknown inventory of three ETF vessels in the ETF. When the grout ultimately is assumed to degrade hydraulically, the water movement is not impeded as much as the release is accelerated by the presence of the grout. Under these conditions for the CIG trenches relative to the Slit Trenches, the well concentrations are higher, the inventory limit is lower and for a given inventory the inventory limit consumption is higher.« less

  19. Transthyretin familial amyloid polyneuropathy (TTR-FAP): Parameters for early diagnosis.

    PubMed

    Escolano-Lozano, Fabiola; Barreiros, Ana Paula; Birklein, Frank; Geber, Christian

    2018-01-01

    Familial transthyretin amyloidosis is a life-threatening disease presenting with sensorimotor and autonomic polyneuropathy. Delayed diagnosis has a detrimental effect on treatment and prognosis. To facilitate diagnosis, we analyzed data patterns of patients with transthyretin familial amyloid polyneuropathy (TTR-FAP) and compared them to polyneuropathies of different etiology for clinical and electrophysiological discriminators. Twenty-four patients with TTR-FAP and 48 patients with diabetic polyneuropathy (dPNP) were investigated (neurological impairment score NIS; neurological disability score NDS) in a cross-sectional design. Both groups were matched for gender and presence of pain. Quantitative sensory testing (QST), sympathetic skin response (SSR), heart rate variability (HRV), and nerve conduction studies (NCV) were performed. Both groups were compared using univariate analysis. In a stepwise discriminant analysis, discriminators between both neuropathies were identified. These discriminators were validated comparing TTR-FAP patients with a cohort of patients with chemotherapy-induced polyneuropathy (CIN) and chronic inflammatory demyelinating neuropathy (CIDP). TTR-FAP patients scored higher in NDS and NIS and had impaired cold detection (CDT, p  = .024), cold-warm discrimination (TSL, p  = .019) and mechanical hyperalgesia (MPT, p  = .029) at the hands, SSR (upper limb, p  = .022) HRV and ulnar and sural NCS (all p  < .05) were more affected in TTR-FAP. Ulnar nerve sensory NCV, CDT, and the MPT but not the other parameters discriminated TTR-FAP from dPNP (82% of cases), from CIN (86.7%) and from CIDP (68%; only ulnar sNCV). Low ulnar SNCV, impaired cold perception, and mechanical hyperalgesia at the hands seem to characterize TTR-FAP and might help to differentiate from other polyneuropathies.

  20. Sixty years of transthyretin familial amyloid polyneuropathy (TTR-FAP) in Europe: where are we now? A European network approach to defining the epidemiology and management patterns for TTR-FAP.

    PubMed

    Parman, Yesim; Adams, David; Obici, Laura; Galán, Lucía; Guergueltcheva, Velina; Suhr, Ole B; Coelho, Teresa

    2016-02-01

    Transthyretin familial amyloid polyneuropathy (TTR-FAP) is a highly disabling, life-threatening disease characterized by progressive sensorimotor and autonomic neuropathy. The profile of the disease across Europe is inadequately understood at present. The incidence and clinical presentation of TTR-FAP varies widely within Europe, with early and late-onset disease subtypes. In those regions in which the disease is endemic (Portugal, Sweden, Cyprus, and Majorca), a Val30Met substitution in the TTR gene is the predominant genetic cause, whereas in the rest of Europe, cases of TTR-FAP are mainly sporadic with genetic heterogeneity. Current management strategies lack cohesion and patients can experience years of misdiagnosis and suboptimal treatment. The article aims to disseminate the findings and recommendations from two recent meetings of the European Network for TTR-FAP (ATTReuNET), a panel comprising representatives from 10 European countries (Bulgaria, Cyprus, France, Germany, Italy, the Netherlands, Portugal, Spain, Sweden, and Turkey) with expertise in the diagnosis and management of TTR-FAP. We explore the epidemiology and genetic mark of TTR-FAP across Europe and assess current management strategies, with a view to developing an alternative framework - a networked approach to disease management with an emphasis on collaboration and sharing of best practice.

  1. Transthyretin-Related Familial Amyloid Polyneuropathy (TTR-FAP): A Single-Center Experience in Sicily, an Italian Endemic Area.

    PubMed

    Mazzeo, Anna; Russo, Massimo; Di Bella, Gianluca; Minutoli, Fabio; Stancanelli, Claudia; Gentile, Luca; Baldari, Sergio; Carerj, Scipione; Toscano, Antonio; Vita, Giuseppe

    2015-07-22

    Familial amyloid polyneuropathy related to transthyretin gene (TTR-FAP) is a life-threatening disease transmitted as an autosomal dominant trait. Val30Met mutation accounts for the majority of the patients with large endemic foci especially in Portugal, Sweden and Japan. However, more than one hundred other mutations have been described worldwide. A great phenotypic variability among patients with late- and early-onset has been reported. To present a detailed report of TTR-FAP patients diagnosed in our tertiary neuromuscular center, in a 20-year period. Clinical informations were gathered through the database of our center. The study involved 76 individuals carrying a TTR-FAP mutation. Three phenotypes were identified, each corresponding to a different TTR variant, homogeneous within and heterogeneous between each other: i) Glu89Gln mutation, characterised by 5th - 6th decade onset, neuropathy as presenting symptoms, early heart dysfunction, cardiomyopathy as major cause of mortality followed by dysautonomia and cachexia; ii) Phe64Leu mutation, marked by familiarity reported in one-half of cases, late onset, severe peripheral neuropathy, moderate dysautonomia and mild cardiomyopathy, death for wasting syndrome; iii) Thr49Ala mutation, distinguished by onset in the 5th decade, autonomic disturbances as inaugural symptoms which may remain isolated for many years, moderate polyneuropathy, cachexia as major cause of mortality followed by cardiomyopathy. This survey highlighted a prevalence of 8.8/1,000,000 in Sicily Island. Good knowledge of the natural history of the disease according to different TTR mutations allow clinicians to optimise multiprofessional care for patients and to offer carriers a personalized follow-up to reveal first signs of the disease.

  2. Human TTR conformation altered by rhenium tris-carbonyl derivatives.

    PubMed

    Ciccone, Lidia; Policar, Clotilde; Stura, Enrico A; Shepard, William

    2016-09-01

    Transthyretin (TTR) is a 54 kDa homotetrameric serum protein that transports thyroxine (T4) and retinol. TTR is potentially amyloidogenic due to homotetramer dissociation into monomeric intermediates that self-assemble as amyloid deposits and insoluble fibrils. Most crystallographic structures, including those of amyloidogenic variants show the same tetramer without major variations in the monomer-monomer interface nor in the volume of the interdimeric cavity. Soaking TTR crystals in a solution containing rhenium tris-carbonyl derivatives yields a TTR conformer never observed before. Only one of the two monomers of the crystallographic dimer is significantly altered, and the inner part of the T4 binding cavity is expanded at one end and shrunk at the other. The result redefines the mechanism of allosteric communication between the two sites, suggesting that negative cooperativity is a function of dimer asymmetry, which can be induced through internal or external binding. An aspect that remains unexplained is why the conformational changes are ubiquitous throughout the crystal although the heavy metal content of the derivatized crystals is relatively low. The conformational changes observed, which include Leu(82), may represent a form of TTR better at scavenging β-Amyloid. At a resolution of 1.69Å, with excellent refinement statistics and well defined electron density for all parts of the structure, it is possible to envisage answering important questions that range from protein cooperative behavior to heavy atom induced protein conformational modifications that can result in crystallographic non-isomorphism. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. Soil prokaryotic communities in Chernobyl waste disposal trench T22 are modulated by organic matter and radionuclide contamination.

    PubMed

    Theodorakopoulos, Nicolas; Février, Laureline; Barakat, Mohamed; Ortet, Philippe; Christen, Richard; Piette, Laurie; Levchuk, Sviatoslav; Beaugelin-Seiller, Karine; Sergeant, Claire; Berthomieu, Catherine; Chapon, Virginie

    2017-08-01

    After the Chernobyl nuclear power plant accident in 1986, contaminated soils, vegetation from the Red Forest and other radioactive debris were buried within trenches. In this area, trench T22 has long been a pilot site for the study of radionuclide migration in soil. Here, we used 454 pyrosequencing of 16S rRNA genes to obtain a comprehensive view of the bacterial and archaeal diversity in soils collected inside and in the vicinity of the trench T22 and to investigate the impact of radioactive waste disposal on prokaryotic communities. A remarkably high abundance of Chloroflexi and AD3 was detected in all soil samples from this area. Our statistical analysis revealed profound changes in community composition at the phylum and OTUs levels and higher diversity in the trench soils as compared to the outside. Our results demonstrate that the total absorbed dose rate by cell and, to a lesser extent the organic matter content of the trench, are the principal variables influencing prokaryotic assemblages. We identified specific phylotypes affiliated to the phyla Crenarchaeota, Acidobacteria, AD3, Chloroflexi, Proteobacteria, Verrucomicrobia and WPS-2, which were unique for the trench soils. © FEMS 2017. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  4. Inhibition of TTR Aggregation-Induced Cell Death – A New Role for Serum Amyloid P Component

    PubMed Central

    Dacklin, Ingrid; Lundgren, Erik

    2013-01-01

    Background Serum amyloid P component (SAP) is a glycoprotein that is universally found associated with different types of amyloid deposits. It has been suggested that it stabilizes amyloid fibrils and therefore protects them from proteolytic degradation. Methodology/Principal Findings In this paper, we show that SAP binds not only to mature amyloid fibrils but also to early aggregates of amyloidogenic mutants of the plasma protein transthyretin (TTR). It does not inhibit fibril formation of TTR mutants, which spontaneously form amyloid in vitro at physiological pH. We found that SAP prevents cell death induced by mutant TTR, while several other molecules that are also known to decorate amyloid fibrils do not have such effect. Using a Drosophila model for TTR-associated amyloidosis, we found a new role for SAP as a protective factor in inhibition of TTR-induced toxicity. Overexpression of mutated TTR leads to a neurological phenotype with changes in wing posture. SAP-transgenic flies were crossed with mutated TTR-expressing flies and the results clearly confirmed a protective effect of SAP on TTR-induced phenotype, with an almost complete reduction in abnormal wing posture. Furthermore, we found in vivo that binding of SAP to mutated TTR counteracts the otherwise detrimental effects of aggregation of amyloidogenic TTR on retinal structure. Conclusions/Significance Together, these two approaches firmly establish the protective effect of SAP on TTR-induced cell death and degenerative phenotypes, and suggest a novel role for SAP through which the toxicity of early amyloidogenic aggregates is attenuated. PMID:23390551

  5. Photogrammetric Deflection Measurements for the Tiltrotor Test Rig (TTR) Multi-Component Rotor Balance Calibration

    NASA Technical Reports Server (NTRS)

    Solis, Eduardo; Meyn, Larry

    2016-01-01

    Calibrating the internal, multi-component balance mounted in the Tiltrotor Test Rig (TTR) required photogrammetric measurements to determine the location and orientation of forces applied to the balance. The TTR, with the balance and calibration hardware attached, was mounted in a custom calibration stand. Calibration loads were applied using eleven hydraulic actuators, operating in tension only, that were attached to the forward frame of the calibration stand and the TTR calibration hardware via linkages with in-line load cells. Before the linkages were installed, photogrammetry was used to determine the location of the linkage attachment points on the forward frame and on the TTR calibration hardware. Photogrammetric measurements were used to determine the displacement of the linkage attachment points on the TTR due to deflection of the hardware under applied loads. These measurements represent the first photogrammetric deflection measurements to be made to support 6-component rotor balance calibration. This paper describes the design of the TTR and the calibration hardware, and presents the development, set-up and use of the photogrammetry system, along with some selected measurement results.

  6. Special Analysis of Transuranic Waste in Trench T04C at the Area 5 Radioactive Waste Management Site, Nevada Test Site, Nye County, Nevada, Revision 1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greg Shott, Vefa Yucel, Lloyd Desotell

    2008-05-01

    This Special Analysis (SA) was prepared to assess the potential impact of inadvertent disposal of a limited quantity of transuranic (TRU) waste in classified Trench 4 (T04C) within the Area 5 Radioactive Waste Management Site (RWMS) at the Nevada Test Site (NTS). The Area 5 RWMS is a low-level radioactive waste disposal site in northern Frenchman Flat on the Nevada Test Site (NTS). The Area 5 RWMS is regulated by the U.S. Department of Energy (DOE) under DOE Order 435.1 and DOE Manual (DOE M) 435.1-1. The primary objective of the SA is to evaluate if inadvertent disposal of limitedmore » quantities of TRU waste in a shallow land burial trench at the Area 5 RWMS is in compliance with the existing, approved Disposal Authorization Statement (DAS) issued under DOE M 435.1-1. In addition, supplemental analyses are performed to determine if there is reasonable assurance that the requirements of Title 40, Code of Federal Regulations (CFR), Part 191, Environmental Radiation Protection Standards for Management and Disposal of Spent Nuclear Fuel, High-Level, and Transuranic Radioactive Wastes, can be met. The 40 CFR 191 analyses provide supplemental information regarding the risk to human health and the environment of leaving the TRU waste in T04C. In 1989, waste management personnel reviewing classified materials records discovered that classified materials buried in trench T04C at the Area 5 RWMS contained TRU waste. Subsequent investigations determined that a total of 102 55-gallon drums of TRU waste from Rocky Flats were buried in trench T04C in 1986. The disposal was inadvertent because unclassified records accompanying the shipment indicated that the waste was low-level. The exact location of the TRU waste in T04C was not recorded and is currently unknown. Under DOE M 435.1-1, Chapter IV, Section P.5, low-level waste disposal facilities must obtain a DAS. The DAS specifies conditions that must be met to operate within the radioactive waste management basis

  7. Interim-status groundwater monitoring plan for the 216-B-63 trench. Revision 1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweeney, M.D.

    1995-06-13

    This document outlines the groundwater monitoring plan for interim-status detection-level monitoring of the 216-B-63 Trench. This is a revision of the initial groundwater monitoring plan prepared for Westinghouse Hanford Company (WHC) by Bjornstad and Dudziak (1989). The 216-B-63 Trench, located at the Hanford Site in south-central Washington State, is an open, unlined, earthern trench approximately 1.2 m (4 ft) wide at the bottom, 427 m (1400 ft) long, and 3 m (10 ft) deep that received wastewater containing hazardous waste and radioactive materials from B Plant, located in the 200 East Area. Liquid effluent discharge to the 216-B-63 Trench beganmore » in March 1970 and ceased in February 1992. The trench is now managed by Waste Tank Operations.« less

  8. In Situ Grouting of Liquid Waste Disposal Trenches and Experimental Reactor Fuel Disposal Wells at Oak Ridge National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Ch.; Cange, J.; Lambert, R.

    In the early to mid-1960's, liquid low-level wastes (LLLW) generated at Oak Ridge National Laboratory were disposed of in specially-constructed, gravel-filled trenches within the Melton Valley watershed at the lab. The initial selected remedy for Trenches 5 and 7 was in situ vitrification; however, an amendment to the record of decision changed the remedy to in situ grouting of the trenches. The work was accomplished by filling the void space within the crushed stone section of each trench with cementitious grout. The contaminated soil surrounding the trenches (1-m perimeter) was then grouted with acrylamide grout. At the HRE fuel wells,more » a 1-m ring of soil surrounding the fuel wells was grouted with acrylamide. The results of the hydraulic conductivity tests ranged from 4.74 x 10{sup -6} to 3.60 x 10{sup -7} cm/sec, values that were well below the 1 x 10{sup -5} cm/sec design criterion. In summary: The ISG Project was conducted to decrease hydraulic conductivity and thereby decrease water flow and contaminate migration from the area of the trenches. The initial remedy for Trenches 5 and 7 in the Melton Valley ROD was for in situ vitrification of the trench matrix. The remedy was changed to in situ grouting of the trenches and HRE fuel wells through an amendment to the ROD after moisture was found in the trenches. The grouting of the trenches was accomplished by filling the void space within the crushed stone section of each trench with cementitious grout. The contaminated soil surrounding the trenches (1-m perimeter) was then grouted with acrylamide grout to further reduce water infiltration. Soil backfill above each of the seven HRE fuel wells was removed to a depth of approximately 1 m by augering, and the soils were replaced with a cement plug to prevent water infiltration from migrating down the original borehole. Soil surrounding the fuel wells was then grouted with acrylamide to ensure water infiltration through the HRE fuel wells is prevented. A

  9. DOSE ASSESSMENT OF THE FINAL INVENTORIES IN CENTER SLIT TRENCHES ONE THROUGH FIVE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Collard, L.; Hamm, L.; Smith, F.

    2011-05-02

    In response to a request from Solid Waste Management (SWM), this study evaluates the performance of waste disposed in Slit Trenches 1-5 by calculating exposure doses and concentrations. As of 8/19/2010, Slit Trenches 1-5 have been filled and are closed to future waste disposal in support of an ARRA-funded interim operational cover project. Slit Trenches 6 and 7 are currently in operation and are not addressed within this analysis. Their current inventory limits are based on the 2008 SA and are not being impacted by this study. This analysis considers the location and the timing of waste disposal in Slitmore » Trenches 1-5 throughout their operational life. In addition, the following improvements to the modeling approach have been incorporated into this analysis: (1) Final waste inventories from WITS are used for the base case analysis where variance in the reported final disposal inventories is addressed through a sensitivity analysis; (2) Updated K{sub d} values are used; (3) Area percentages of non-crushable containers are used in the analysis to determine expected infiltration flows for cases that consider collapse of these containers; (4) An updated representation of ETF carbon column vessels disposed in SLIT3-Unit F is used. Preliminary analyses indicated a problem meeting the groundwater beta-gamma dose limit because of high H-3 and I-129 release from the ETF vessels. The updated model uses results from a recent structural analysis of the ETF vessels indicating that water does not penetrate the vessels for about 130 years and that the vessels remain structurally intact throughout the 1130-year period of assessment; and (5) Operational covers are included with revised installation dates and sets of Slit Trenches that have a common cover. With the exception of the modeling enhancements noted above, the analysis follows the same methodology used in the 2008 PA (WSRC, 2008) and the 2008 SA (Collard and Hamm, 2008). Infiltration flows through the vadose zone are

  10. Evaluating potential chlorinated methanes degradation mechanisms and treatments in interception trenches filled with concrete-based construction wastes

    NASA Astrophysics Data System (ADS)

    Rodríguez-Fernandez, Diana; Torrentó, Clara; Rosell, Mònica; Audí-Miró, Carme; Soler, Albert

    2014-05-01

    A complex mixture of chlorinated organic compounds is located in an unconfined carbonated bedrock aquifer with low permeability in a former industrial area next to Barcelona (NE Spain). The site exhibited an especially high complexity due to the presence of multiple contaminant sources, wide variety of pollutants (mainly chlorinated ethenes but also chlorinated methanes) and unknown system of fractures (Palau et al., 2014). Interception trenches were installed in the place of the removed pollution sources and were filled with construction wastes with the aim of retaining and treating the accumulated contaminated recharge water before reaching the aquifer. Recycled concrete-based aggregates from a construction and demolition waste recycling plant were used to maintain alkaline conditions in the water accumulated in the trenches (pH 11.6±0.3) and thus induce chloroform (CF) degradation by alkaline hydrolysis. An efficacy of around 30-40% CF degradation in the interception trenches was calculated from the significant and reproducible CF carbon isotopic fractionation (-53±3o obtained in batch experiments (Torrentó et al., 2014). Surprisingly, although hydrolysis of carbon tetrachloride (CT) is extremely slow, a significant CT carbon isotopic enrichment was also observed in the trenches. The laboratory experiments verified the low capability of concrete to hydrolyze the CT and showed the high adsorption of CT on the concrete particles (73% after 50 days) with invariability in its δ13C values. Therefore, the significant CT isotopic fractionation observed in the interception trenches could point out the occurrence of other degradation processes distinct than alkaline hydrolysis. Geochemical speciation modelling using the code PHREEQC showed that water collected at the trenches is supersaturated with respect to several iron oxy-hydroxides and therefore, CT degradation processes related to these iron minerals cannot be discarded. In addition, the combination of alkaline

  11. Nuclear criticality safety assessment of the low level radioactive waste disposal facility trenches

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kahook, S.D.

    1994-04-01

    Results of the analyses performed to evaluate the possibility of nuclear criticality in the Low Level Radioactive Waste Disposal Facility (LLRWDF) trenches are documented in this report. The studies presented in this document are limited to assessment of the possibility of criticality due to existing conditions in the LLRWDF. This document does not propose nor set limits for enriched uranium (EU) burial in the LLRWDF and is not a nuclear criticality safety evaluation nor analysis. The calculations presented in the report are Level 2 calculations as defined by the E7 Procedure 2.31, Engineering Calculations.

  12. Impairment of autophagy by TTR V30M aggregates: in vivo reversal by TUDCA and curcumin.

    PubMed

    Teixeira, Cristina A; Almeida, Maria do Rosário; Saraiva, Maria João

    2016-09-01

    Transthyretin (TTR)-related amyloidoses are diseases characterized by extracellular deposition of amyloid fibrils and aggregates in tissues composed of insoluble misfolded TTR that becomes toxic. Previous studies have demonstrated the ability of small compounds in preventing and reversing TTR V30M deposition in transgenic mice gastrointestinal (GI) tract as well as lowering biomarkers associated with cellular stress and apoptotic mechanisms. In the present study we aimed to study TTR V30M aggregates effect in autophagy, a cellular mechanism crucial for cell survival that has been implicated in the development of several neurodegenerative diseases. We were able to demonstrate in cell culture that TTR V30M aggregates cause a partial impairment of the autophagic machinery as shown by p62 accumulation, whereas early steps of the autophagic flux remain unaffected as shown by autophagosome number evaluation and LC3 turnover assay. Our studies performed in TTR V30M transgenic animals demonstrated that tauroursodeoxycholic acid (TUDCA) and curcumin effectively reverse p62 accumulation in the GI tract pointing to the ability of both compounds to modulate autophagy additionally to mitigate apoptosis. Overall, our in vitro and in vivo studies establish an association between TTR V30M aggregates and autophagy impairment and suggest the use of autophagy modulators as an additional and alternative therapeutic approach for the treatment of TTR V30M-related amyloidosis. © 2016 The Author(s). published by Portland Press Limited on behalf of the Biochemical Society.

  13. EARTHSAWtm IN-SITU CONTAINMENT OF PITS AND TRENCHES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ernest E. Carter, P.E.

    2002-09-20

    EarthSaw{trademark} is a proposed technology for construction of uniform high quality barriers under and around pits and trenches containing buried radioactive waste without excavating or disturbing the waste. The method works by digging a deep vertical trench around the perimeter of a site, filling that trench with high specific gravity grout sealant, and then cutting a horizontal bottom pathway at the base of the trench with a simple cable saw mechanism. The severed block of earth becomes buoyant in the grout and floats on a thick layer of grout, which then cures into an impermeable barrier. The ''Interim Report onmore » task 1 and 2'' which is incorporated into this report as appendix A, provided theoretical derivations, field validation of formulas, a detailed quantitative engineering description of the technique, engineering drawings of the hardware, and a computer model of how the process would perform in a wide variety of soil conditions common to DOE waste burial sites. The accomplishments of task 1 and 2 are also summarized herein Task 3 work product provides a comprehensive field test plan in Appendix B and a health and safety plan in Appendix C and proposal for a field-scale demonstration of the EarthSaw barrier technology. The final report on the subcontracted stress analysis is provided in Appendix D. A copy of the unified computer model is provided as individual non-functional images of each sheet of the spreadsheet and separately as a Microsoft Excel 2000 file.« less

  14. Geodetic positioning of the Aerospace Electronics Research Lab (ERL) Osborne Time Transfer Receiver (TTR) using the GPS NAVSTAR Block I satellites

    NASA Technical Reports Server (NTRS)

    Liu, Anthony S.

    1990-01-01

    Aerospace has routinely processed the Osborne Time Transfer Receiver (TTR) data for the purpose of monitoring the performance of ground and GPS atomic clocks in near real-time with on-line residual displays and characterizing clock stability with Allan Variance calculations. Recently, Aerospace added the ability to estimate the TTR's location by differentially correcting the TTR's location in the WGS84 reference system. This new feature is exercised on a set of TTR clock phase data and Sub-meter accurate station location estimates of the TTR at the Aerospace Electronic Research Lab (ERL) are obtained.

  15. Epigallocatechin-3-gallate as a potential therapeutic drug for TTR-related amyloidosis: "in vivo" evidence from FAP mice models.

    PubMed

    Ferreira, Nelson; Saraiva, Maria João; Almeida, Maria Rosário

    2012-01-01

    Familial amyloidotic polyneuropathy (FAP) is a neurodegenerative disease caused by the extracellular deposition of mutant transthyretin (TTR), with special involvement of the peripheral nervous system (PNS). Currently, hepatic transplantation is considered the most efficient therapy to halt the progression of clinical symptoms in FAP since more than 95% of TTR is produced by the liver. However, less invasive and more reliable therapeutic approaches have been proposed for FAP therapy, namely based on drugs acting as inhibitors of amyloid formation or as amyloid disruptors. We have recently reported that epigallocatechin-3-gallate (EGCG), the most abundant catechin in green tea, is able to inhibit TTR aggregation and fibril formation, "in vitro" and in a cellular system, and is also able to disrupt pre-formed amyloid fibrils "in vitro". In the present study, we assessed the effect of EGCG subchronic administration on TTR amyloidogenesis "in vivo", using well characterized animal models for FAP. Semiquantitative immunohistochemistry (SQ-IHC) and Western blot analysis of mice tissues after treatment demonstrated that EGCG inhibits TTR toxic aggregates deposition in about 50% along the gastrointestinal tract (GI) and peripheral nervous system (PNS). Moreover EGCG treatment considerably lowered levels of several biomarkers associated with non-fibrillar TTR deposition, namely endoplasmic reticulum (ER)-stress, protein oxidation and apoptosis markers. Treatment of old FAP mice with EGCG resulted not only in the decrease of non-fibrillar TTR deposition but also in disaggregation of amyloid deposits. Consistently, matrix metalloproteinase (MMP)-9 and serum amyloid P component (SAP), both markers of amyloid deposition, were also found reduced in treated old FAP mice. The dual effect of EGCG both as TTR aggregation inhibitor and amyloid fibril disruptor together with the high tolerability and low toxicity of EGCG in humans, point towards the potential use of this compound, or

  16. Diagnostic pitfalls in sporadic transthyretin familial amyloid polyneuropathy (TTR-FAP).

    PubMed

    Planté-Bordeneuve, V; Ferreira, A; Lalu, T; Zaros, C; Lacroix, C; Adams, D; Said, G

    2007-08-14

    Transthyretin familial amyloid polyneuropathies (TTR-FAPs) are autosomal dominant neuropathies of fatal outcome within 10 years after inaugural symptoms. Late diagnosis in patients who present as nonfamilial cases delays adequate management and genetic counseling. Clinical data of the 90 patients who presented as nonfamilial cases of the 300 patients of our cohort of patients with TTR-FAP were reviewed. They were 21 women and 69 men with a mean age at onset of 61 (extremes: 38 to 78 years) and 17 different mutations of the TTR gene including Val30Met (38 cases), Ser77Tyr (16 cases), Ile107Val (15 cases), and Ser77Phe (5 cases). Initial manifestations included mainly limb paresthesias (49 patients) or pain (17 patients). Walking difficulty and weakness (five patients) and cardiac or gastrointestinal manifestations (five patients), were less common at onset. Mean interval to diagnosis was 4 years (range 1 to 10 years); 18 cases were mistaken for chronic inflammatory demyelinating polyneuropathy, which was the most common diagnostic error. At referral a length-dependent sensory loss affected the lower limbs in 2, all four limbs in 20, and four limbs and anterior trunk in 77 patients. All sensations were affected in 60 patients (67%), while small fiber dysfunction predominated in the others. Severe dysautonomia affected 80 patients (90%), with postural hypotension in 52, gastrointestinal dysfunction in 50, impotence in 58 of 69 men, and sphincter disturbance in 31. Twelve patients required a cardiac pacemaker. Nerve biopsy was diagnostic in 54 of 65 patients and salivary gland biopsy in 20 of 30. Decreased nerve conduction velocity, increased CSF protein, negative biopsy findings, and false immunolabeling of amyloid deposits were the main causes of diagnostic errors. We conclude that DNA testing, which is the most reliable test for TTR-FAP, should be performed in patients with a progressive length-dependent small fiber polyneuropathy of unknown origin, especially when

  17. Retrospective study of a TTR FAP cohort to modify NIS+7 for therapeutic trials.

    PubMed

    Suanprasert, N; Berk, J L; Benson, M D; Dyck, P J B; Klein, C J; Gollob, J A; Bettencourt, B R; Karsten, V; Dyck, P J

    2014-09-15

    Protein stabilization and oligonucleotide therapies are being tested in transthyretin amyloid polyneuropathy (TTR FAP) trials. From retrospective analysis of 97 untreated TTR FAP patients, we test the adequacy of Neuropathy Impairment Score+7 tests (NIS+7) and modifications to comprehensively score impairments for use in such therapeutic trials. Our data confirms that TTR FAP usually is a sensorimotor polyneuropathy with autonomic features which usually is symmetric, length dependent, lower limb predominant and progressive. NIS+7 adequately assesses weakness and muscle stretch reflexes without ceiling effects but not sensation loss, autonomic dysfunction or nerve conduction abnormalities. Three modifications of NIS+7 are suggested: 1) use of Smart Somatotopic Quantitative Sensation Testing (S ST QSTing); 2) choice of new autonomic assessments, e.g., sudomotor testing of distributed anatomical sites; and 3) use of only compound muscle action potential amplitudes (of ulnar, peroneal and tibial nerves) and sensory nerve action potentials of ulnar and sural nerve - than the previously recommended attributes suggested for the sensitive detection of diabetic sensorimotor polyneuropathy. These modifications of NIS+7 if used in therapeutic trials should improve characterization and quantification of sensation and autonomic impairment in TTR FAP and provide better nerve conduction tests. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. Gene therapy approach to FAP: in vivo influence of T119M in TTR deposition in a transgenic V30M mouse model.

    PubMed

    Batista, A R; Gianni, D; Ventosa, M; Coelho, A V; Almeida, M R; Sena-Esteves, M; Saraiva, M J

    2014-12-01

    Familial amyloidotic polyneuropathy (FAP) is a neurodegenerative disorder characterized by extracellular deposition of amyloid fibrils composed by mutated transthyretin (TTR) mainly in the peripheral nervous system. At present, liver transplantation is still the standard treatment to halt the progression of clinical symptoms in FAP, but new therapeutic strategies are emerging, including the use of TTR stabilizers. Here we propose to establish a new gene therapy approach using adeno-associated virus (AAV) vectors to deliver the trans-suppressor TTR T119M variant to the liver of transgenic TTR V30M mice at different ages. This TTR variant is known for its ability to stabilize the tetrameric protein. Analysis of the gastrointestinal tract of AAV-treated animals revealed a significant reduction in deposition of TTR non-fibrillar aggregates in as much as 34% in stomach and 30% in colon, as well as decreased levels of biomarkers associated with TTR deposition, namely the endoplasmic reticulum stress marker BiP and the extracellular matrix protein MMP-9. Moreover, we showed with different studies that our approach leads to an increase in tetrameric and more stable forms of TTR, in favor of destabilized monomers. Altogether our data suggest the possibility to use this gene therapy approach in a prophylactic manner to prevent FAP pathology.

  19. [Comparison of TTR and CMV promoters in vivo and in vitro via a secreted luciferase reporter system].

    PubMed

    Luo, Shun-Tao; Tian, Wen-Hong; Wang, Gang; Dong, Xiao-Yan; Yang, Li; Wu, Xiao-Bing

    2009-11-01

    GLuc (Gaussia luciferase) is a secreted luciferase with high sensitivity. In this study, we primarily compared expression character of PTTR with that of PCMV, relied on easy secretion, high sensitivity and simple and fast detection of GLuc. We firstly constructed two plasmids pAAV2-neo-TTR-GLuc and pAAV2-neo-CMV-GLuc. Then, 4 cell lines were transfected with the two plasmids in aid of Lipofectamine 2000, including Huh7 and HepG2, which are derived from liver cells, as well as HEK293 and HeLaS3 cells, which are non-liver cell lines. We monitored the expression of GLuc in the supernatant of these cell cultures at different time points post-transfection. Furthermore, we injected the two plasmids with different doses into BALB/c mice by the means of hydrodynamic delivery and monitored the GLuc expression in vivo with 2.5 microl tail tip blood since 2 h post-injection. The cell assay results suggested that the expression of GLuc driven by CMV promoter was significantly higher than that of GLuc driven by TTR promoter. And, the luciferase activity of GLuc driven by CMV promoter was 50-300 times higher than that of GLuc driven by TTR promoter in HEK293 and HeLaS3 cell lines, but less than 10 times higher than that of GLuc driven by TTR promoter in the HepG2 and Huh7 cell lines, indicating the relative liver-specificity of TTR promoter. In the animal assay, the higher luciferase activity was determined in CMV promoter group than in TTR promoter group at different doses of the two plasmids. But the expression patterns for the two promoters differed obviously. The expression of GLuc driven by CMV promoter reached the maximum 10 hours post-injection and declined rapidly; while the expression of GLuc driven by TTR promoter reached the maximum 48 hours after delivery, and declined very slowly. These results implied that PTTR could keep expression of driven gene in a long time although its expression intensity is lower than PCMV's. Thus, it is more suitable for maintaining longer

  20. Cleanup Verification Package for the 116-K-2 Effluent Trench

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    J. M. Capron

    2006-04-04

    This cleanup verification package documents completion of remedial action for the 116-K-2 effluent trench, also referred to as the 116-K-2 mile-long trench and the 116-K-2 site. During its period of operation, the 116-K-2 site was used to dispose of cooling water effluent from the 105-KE and 105-KW Reactors by percolation into the soil. This site also received mixed liquid wastes from the 105-KW and 105-KE fuel storage basins, reactor floor drains, and miscellaneous decontamination activities.

  1. Response of Microbial Community Function to Fluctuating Geochemical Conditions within a Legacy Radioactive Waste Trench Environment

    PubMed Central

    Kinsela, Andrew S.; Bligh, Mark W.; Harrison, Jennifer J.; Payne, Timothy E.

    2017-01-01

    ABSTRACT During the 1960s, small quantities of radioactive materials were codisposed with chemical waste at the Little Forest Legacy Site (Sydney, Australia) in 3-meter-deep, unlined trenches. Chemical and microbial analyses, including functional and taxonomic information derived from shotgun metagenomics, were collected across a 6-week period immediately after a prolonged rainfall event to assess the impact of changing water levels upon the microbial ecology and contaminant mobility. Collectively, results demonstrated that oxygen-laden rainwater rapidly altered the redox balance in the trench water, strongly impacting microbial functioning as well as the radiochemistry. Two contaminants of concern, plutonium and americium, were shown to transition from solid-iron-associated species immediately after the initial rainwater pulse to progressively more soluble moieties as reducing conditions were enhanced. Functional metagenomics revealed the potentially important role that the taxonomically diverse microbial community played in this transition. In particular, aerobes dominated in the first day, followed by an increase of facultative anaerobes/denitrifiers at day 4. Toward the mid-end of the sampling period, the functional and taxonomic profiles depicted an anaerobic community distinguished by a higher representation of dissimilatory sulfate reduction and methanogenesis pathways. Our results have important implications to similar near-surface environmental systems in which redox cycling occurs. IMPORTANCE The role of chemical and microbiological factors in mediating the biogeochemistry of groundwaters from trenches used to dispose of radioactive materials during the 1960s is examined in this study. Specifically, chemical and microbial analyses, including functional and taxonomic information derived from shotgun metagenomics, were collected across a 6-week period immediately after a prolonged rainfall event to assess how changing water levels influence microbial

  2. Response of Microbial Community Function to Fluctuating Geochemical Conditions within a Legacy Radioactive Waste Trench Environment.

    PubMed

    Vázquez-Campos, Xabier; Kinsela, Andrew S; Bligh, Mark W; Harrison, Jennifer J; Payne, Timothy E; Waite, T David

    2017-09-01

    During the 1960s, small quantities of radioactive materials were codisposed with chemical waste at the Little Forest Legacy Site (Sydney, Australia) in 3-meter-deep, unlined trenches. Chemical and microbial analyses, including functional and taxonomic information derived from shotgun metagenomics, were collected across a 6-week period immediately after a prolonged rainfall event to assess the impact of changing water levels upon the microbial ecology and contaminant mobility. Collectively, results demonstrated that oxygen-laden rainwater rapidly altered the redox balance in the trench water, strongly impacting microbial functioning as well as the radiochemistry. Two contaminants of concern, plutonium and americium, were shown to transition from solid-iron-associated species immediately after the initial rainwater pulse to progressively more soluble moieties as reducing conditions were enhanced. Functional metagenomics revealed the potentially important role that the taxonomically diverse microbial community played in this transition. In particular, aerobes dominated in the first day, followed by an increase of facultative anaerobes/denitrifiers at day 4. Toward the mid-end of the sampling period, the functional and taxonomic profiles depicted an anaerobic community distinguished by a higher representation of dissimilatory sulfate reduction and methanogenesis pathways. Our results have important implications to similar near-surface environmental systems in which redox cycling occurs. IMPORTANCE The role of chemical and microbiological factors in mediating the biogeochemistry of groundwaters from trenches used to dispose of radioactive materials during the 1960s is examined in this study. Specifically, chemical and microbial analyses, including functional and taxonomic information derived from shotgun metagenomics, were collected across a 6-week period immediately after a prolonged rainfall event to assess how changing water levels influence microbial ecology and

  3. SPECIAL ANALYSIS OF OPERATIONAL STORMWATER RUNOFF COVERS OVER SLIT TRENCHES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Collard, L; Luther Hamm, L

    2008-12-18

    Solid Waste Management (SWM) commissioned this Special Analysis (SA) to determine the effects of placing operational stormwater runoff covers (referred to as covers in the remainder of this document) over slit trench (ST) disposal units ST1 through ST7 (the center set of slit trenches). Previously the United States Department of Energy (DOE) entered into an agreement with the United States Environmental Protection Agency (EPA) and the South Carolina Department of Health and Environmental Control (SCDHEC) to place covers over Slit Trenches 1 and 2 to be able to continue disposing Comprehensive Environmental Response, Compensation, and Liability Act (CERCLA) solid wastemore » (see USDOE 2008). Because the covers changed the operating conditions, DOE Order 435.1 (DOE 1999) required that an SA be performed to assess the impact. This Special Analysis has been prepared to determine the effects of placing covers over slit trenches at about years 5, 10 and 15 of the 30-year operational period. Because some slit trenches have already been operational for about 15 years, results from analyzing covers at 5 years and 10 years provide trend analysis information only. This SA also examined alternatives of covering Slit Trenches 1 and 2 with one cover and Slit Trenches 3 and 4 with a second cover versus covering them all with a single cover. Based on modeling results, minimal differences exist between covering Slit Trench groups 1-2 and 3-4 with two covers or one large cover. This SA demonstrates that placement of covers over slit trenches will slow the subsequent release and transport of radionuclides in the vadose zone in the early time periods (from time of placement until about 100 years). Release and transport of some radionuclides in the vadose zone beyond 100 years were somewhat higher than for the case without covers. The sums-of-fractions (SOFs) were examined for the current waste inventory in ST1 and ST2 and for estimated inventories at closure for ST3 through ST7. In

  4. A decision tool for selecting trench cap designs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paige, G.B.; Stone, J.J.; Lane, L.J.

    1995-12-31

    A computer based prototype decision support system (PDSS) is being developed to assist the risk manager in selecting an appropriate trench cap design for waste disposal sites. The selection of the {open_quote}best{close_quote} design among feasible alternatives requires consideration of multiple and often conflicting objectives. The methodology used in the selection process consists of: selecting and parameterizing decision variables using data, simulation models, or expert opinion; selecting feasible trench cap design alternatives; ordering the decision variables and ranking the design alternatives. The decision model is based on multi-objective decision theory and uses a unique approach to order the decision variables andmore » rank the design alternatives. Trench cap designs are evaluated based on federal regulations, hydrologic performance, cover stability and cost. Four trench cap designs, which were monitored for a four year period at Hill Air Force Base in Utah, are used to demonstrate the application of the PDSS and evaluate the results of the decision model. The results of the PDSS, using both data and simulations, illustrate the relative advantages of each of the cap designs and which cap is the {open_quotes}best{close_quotes} alternative for a given set of criteria and a particular importance order of those decision criteria.« less

  5. Sustainable sanitary landfills for neglected small cities in developing countries: The semi-mechanized trench method from Villanueva, Honduras

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oakley, Stewart M., E-mail: soakley@csuchico.edu; Jimenez, Ramon, E-mail: rjimenez1958@yahoo.com

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Open dumping is the most common form of waste disposal in neglected small cities. Black-Right-Pointing-Pointer Semi-mechanized landfills can be a sustainable option for small cities. Black-Right-Pointing-Pointer We present the theory of design and operation of semi-mechanized landfills. Black-Right-Pointing-Pointer Villanueva, Honduras has operated its semi-mechanized landfill for 15 years. Black-Right-Pointing-Pointer The cost of operation is USmore » $$4.60/ton with a land requirement of 0.2m{sup 2}/person-year. - Abstract: Open dumping is the most common practice for the disposal of urban solid wastes in the least developed regions of Africa, Asia and Latin America. Sanitary landfill design and operation has traditionally focused on large cities, but cities with fewer than 50,000 in population can comprise from 6% to 45% of a given country's total population. These thousands of small cities cannot afford to operate a sanitary landfill in the way it is proposed for large cities, where heavy equipment is used to spread and compact the waste in daily cells, and then to excavate, transport and apply daily cover, and leachate is managed with collection and treatment systems. This paper presents an alternative approach for small cities, known as the semi-mechanized trench method, which was developed in Villanueva, Honduras. In the semi-mechanized trench method a hydraulic excavator is used for 1-3 days to dig a trench that will last at least a month before it is filled with waste. Trucks can easily unload their wastes into the trench, and the wastes compact naturally due to semi-aerobic biodegradation, after which the trenches are refilled and covered. The exposed surface area is minimal since only the top surface of the wastes is exposed, the remainder being covered by the sides and bottom of the trench. The surplus material from trench excavation can be valorized for use as engineering fill onsite or off. The landfill in

  6. Ecological studies of small vertebrates in Pu-contaminated study areas of NTS and TTR

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bradley, W.G.; Moor, K.S.; White, M.G.

    Ecological studies of vertebrates in plutonium-contaminated areas of the Nevada Test Site (NTS) were initiated in March 1972, and have continued to date. In September 1973, standard census methods were also employed to derive a qualitative and quantitative inventory of vertebrate biota of four Nevada Applied Ecology Group (NAEG) study areas of the Tonopah Test Range (TTR). A checklist of vertebrates of NAEG study areas of NTS and TTR is presented. Data are presented on vertebrate composition, relative abundance, and seasonal status in the study areas. Concentrations of $sup 239$Pu and $sup 241$Am were determined in pelt or skin, GImore » tract, and carcass of 13 lizards and 16 mammals resident on Clean Slate 2, TTR, and Area 11, NTS. A total of 71 animals were collected for radioanalysis. However, the data were not available at the time this report was written. Pu tissue burdens were highest in lizards from Area 11 GZ. Maximum values obtained in nCi/g ash were 30.9, 42.2, and 0.43 for the pelt, GI tract, and carcass, respectively. Maximum $sup 239$Pu values in tissues of small rodents from Area 11 (not from GZ) were 11.4, 6.49, and 0.20 nCi/g ash for pelt, GI tract, and carcass, respectively. Pu/Am ratios were relatively consistent in tissue samples of lizards and small mammals from Area 11 (approximately 6:1, Pu/Am). Pu/Am ratios were not consistent in vertebrates of Clean Slate 2, TTR, and appeared to be lower in carcass (28:1, Pu/Am in mammals) than GI tract (9:1, Pu/Am in mammals). Although this trend was more conspicuous in mammals, it was also evident in reptiles. (auth)« less

  7. Characterization of 618-11 solid waste burial ground, disposed waste, and description of the waste generating facilities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hladek, K.L.

    1997-10-07

    The 618-11 (Wye or 318-11) burial ground received transuranic (TRTJ) and mixed fission solid waste from March 9, 1962, through October 2, 1962. It was then closed for 11 months so additional burial facilities could be added. The burial ground was reopened on September 16, 1963, and continued operating until it was closed permanently on December 31, 1967. The burial ground received wastes from all of the 300 Area radioactive material handling facilities. The purpose of this document is to characterize the 618-11 solid waste burial ground by describing the site, burial practices, the disposed wastes, and the waste generatingmore » facilities. This document provides information showing that kilogram quantities of plutonium were disposed to the drum storage units and caissons, making them transuranic (TRU). Also, kilogram quantities of plutonium and other TRU wastes were disposed to the three trenches, which were previously thought to contain non-TRU wastes. The site burial facilities (trenches, caissons, and drum storage units) should be classified as TRU and the site plutonium inventory maintained at five kilograms. Other fissile wastes were also disposed to the site. Additionally, thousands of curies of mixed fission products were also disposed to the trenches, caissons, and drum storage units. Most of the fission products have decayed over several half-lives, and are at more tolerable levels. Of greater concern, because of their release potential, are TRU radionuclides, Pu-238, Pu-240, and Np-237. TRU radionuclides also included slightly enriched 0.95 and 1.25% U-231 from N-Reactor fuel, which add to the fissile content. The 618-11 burial ground is located approximately 100 meters due west of Washington Nuclear Plant No. 2. The burial ground consists of three trenches, approximately 900 feet long, 25 feet deep, and 50 feet wide, running east-west. The trenches constitute 75% of the site area. There are 50 drum storage units (five 55-gallon steel drums welded

  8. Modeling of leachate recirculation using combined drainage blanket-horizontal trench systems in bioreactor landfills.

    PubMed

    Feng, Shi-Jin; Cao, Ben-Yi; Xie, Hai-Jian

    2017-10-01

    Leachate recirculation in municipal solid waste (MSW) landfills operated as bioreactors offers significant economic and environmental benefits. Combined drainage blanket (DB)-horizontal trench (HT) systems can be an alternative to single conventional recirculation approaches and can have competitive advantages. The key objectives of this study are to investigate combined drainage blanket -horizontal trench systems, to analyze the effects of applying two recirculation systems on the leachate migration in landfills, and to estimate some key design parameters (e.g., the steady-state flow rate, the influence width, and the cumulative leachate volume). It was determined that an effective recirculation model should consist of a moderate horizontal trench injection pressure head and supplementary leachate recirculated through drainage blanket, with an objective of increasing the horizontal unsaturated hydraulic conductivity and thereby allowing more leachate to flow from the horizontal trench system in a horizontal direction. In addition, design charts for engineering application were established using a dimensionless variable formulation.

  9. Bleeding complications and mortality in warfarin-treated VTE patients, dependence of INR variability and iTTR.

    PubMed

    Sandén, Per; Renlund, Henrik; Svensson, Peter J; Själander, Anders

    2017-01-05

    High quality of warfarin treatment is important to prevent recurrence of venous thromboembolism (VTE) without bleeding complications. The aim of this study was to examine the effect of individual time in therapeutic range (iTTR) and International Normalised Ratio (INR) variability on bleeding risk and mortality in a large cohort of well-managed patients with warfarin due to VTE. A cohort of 16612 patients corresponding to 19502 treatment periods with warfarin due to VTE between January 1, 2006 and December 31, 2011 was retrieved from the Swedish national quality register AuriculA and matched with the Swedish National Patient Register for bleeding complications and background characteristics and the Cause of death register for occurrence and date of death. The rate of bleeding was 1.79 (confidence interval (CI) 95 % 1.66-1.93) per 100 treatment years among all patients. Those with poor warfarin treatment quality had a higher rate of clinically relevant bleeding, both when measured as iTTR below 70 %, 2.91 (CI 95 % 2.61-3.21) or as INR variability over the mean value 0.85, 2.61 (CI 95 % 2.36-2.86). Among those with both high INR variability and low iTTR the risk of clinically relevant bleeding was clearly increased hazard ratio (HR) 3.47 (CI 95 % 2.89-4.17). A similar result was found for all-cause mortality with a HR of 3.67 (CI 95 % 3.02-4.47). Both a low iTTR and a high INR variability increase the risk of bleeding complications or mortality. When combining the two treatment quality indicators patients at particular high risk of bleeding or death can be identified.

  10. Novel trench gate field stop IGBT with trench shorted anode

    NASA Astrophysics Data System (ADS)

    Xudong, Chen; Jianbing, Cheng; Guobing, Teng; Houdong, Guo

    2016-05-01

    A novel trench field stop (FS) insulated gate bipolar transistor (IGBT) with a trench shorted anode (TSA) is proposed. By introducing a trench shorted anode, the TSA-FS-IGBT can obviously improve the breakdown voltage. As the simulation results show, the breakdown voltage is improved by a factor of 19.5% with a lower leakage current compared with the conventional FS-IGBT. The turn off time of the proposed structure is 50% lower than the conventional one with less than 9% voltage drop increased at a current density of 150 A/cm2. Additionally, there is no snapback observed. As a result, the TSA-FS-IGBT has a better trade-off relationship between the turn off loss and forward drop. Project supported by the National Natural Science Foundation of China (No. 61274080) and the Postdoctoral Science Foundation of China (No. 2013M541585).

  11. Benchmarking Exercises To Validate The Updated ELLWF GoldSim Slit Trench Model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taylor, G. A.; Hiergesell, R. A.

    2013-11-12

    The Savannah River National Laboratory (SRNL) results of the 2008 Performance Assessment (PA) (WSRC, 2008) sensitivity/uncertainty analyses conducted for the trenches located in the EArea LowLevel Waste Facility (ELLWF) were subject to review by the United States Department of Energy (U.S. DOE) Low-Level Waste Disposal Facility Federal Review Group (LFRG) (LFRG, 2008). LFRG comments were generally approving of the use of probabilistic modeling in GoldSim to support the quantitative sensitivity analysis. A recommendation was made, however, that the probabilistic models be revised and updated to bolster their defensibility. SRS committed to addressing those comments and, in response, contracted with Neptunemore » and Company to rewrite the three GoldSim models. The initial portion of this work, development of Slit Trench (ST), Engineered Trench (ET) and Components-in-Grout (CIG) trench GoldSim models, has been completed. The work described in this report utilizes these revised models to test and evaluate the results against the 2008 PORFLOW model results. This was accomplished by first performing a rigorous code-to-code comparison of the PORFLOW and GoldSim codes and then performing a deterministic comparison of the two-dimensional (2D) unsaturated zone and three-dimensional (3D) saturated zone PORFLOW Slit Trench models against results from the one-dimensional (1D) GoldSim Slit Trench model. The results of the code-to-code comparison indicate that when the mechanisms of radioactive decay, partitioning of contaminants between solid and fluid, implementation of specific boundary conditions and the imposition of solubility controls were all tested using identical flow fields, that GoldSim and PORFLOW produce nearly identical results. It is also noted that GoldSim has an advantage over PORFLOW in that it simulates all radionuclides simultaneously - thus avoiding a potential problem as demonstrated in the Case Study (see Section 2.6). Hence, it was concluded that the

  12. The Japan Trench and its juncture with the Kuril Trench: cruise results of the Kaiko project, Leg 3

    USGS Publications Warehouse

    Cadet, J.-P.; Kobayashi, K.; Aubouin, J.; Boulegue, J.; Deplus, C.; Dubois, J.; von Huene, Roland E.; Jolivet, L.; Kanazawa, T.; Kasahara, J.; Koizumi, K.; Lallemand, S.; Nakamura, Y.; Pautot, G.; Suyehiro, K.; Tani, S.; Tokuyama, H.; Yamazaki, T.

    1987-01-01

    This paper presents the results of a detailed survey combining Seabeam mapping, gravity and geomagnetic measurements as well as single-channel seismic reflection observations in the Japan Trench and the juncture with the Kuril Trench during the French-Japanese Kaiko project (northern sector of the Leg 3) on the R/V "Jean Charcot". The main data acquired during the cruise, such as the Seabeam maps, magnetic anomalies pattern, and preliminary interpretations are discussed. These new data cover an area of 18,000 km2 and provide for the first time a detailed three-dimensional image of the Japan Trench. Combined with the previous results, the data indicate new structural interpretations. A comparative study of Seabeam morphology, single-channel and reprocessed multichannel records lead to the conclusion that along the northern Japan Trench there is little evidence of accretion but, instead, a tectonic erosion of the overriding plate. The tectonic pattern on the oceanic side of the trench is controlled by the creation of new normal faults parallel to the Japan Trench axis, which is a direct consequence of the downward flexure of the Pacific plate. In addition to these new faults, ancient normal faults trending parallel to the N65?? oceanic magnetic anomalies and oblique to the Japan trench axis are reactivated, so that two directions of normal faulting are observed seaward of the Japan Trench. Only one direction of faulting is observed seaward of the Kuril Trench because of the parallelism between the trench axis and the magnetic anomalies. The convergent front of the Kuril Trench is offset left-laterally by 20 km relative to those of the Japan Trench. This transform fault and the lower slope of the southernmost Kuril Trench are represented by very steep scarps more than 2 km high. Slightly south of the juncture, the Erimo Seamount riding on the Pacific plate, is now entering the subduction zone. It has been preceded by at least another seamount as revealed by magnetic

  13. ENDEAVOUR: Phase 3 Multicenter Study of Revusiran (ALN-TTRSC) in Patients With Transthyretin (TTR) Mediated Familial Amyloidotic Cardiomyopathy (FAC)

    ClinicalTrials.gov

    2017-12-08

    Transthyretin (TTR) Mediated Familial Amyloidotic Cardiomyopathy (FAC); Amyloidosis, Hereditary; Amyloid Neuropathies, Familial; Amyloid Neuropathies; Amyloidosis, Hereditary, Transthyretin-Related; Familial Transthyretin Cardiac Amyloidosis

  14. The Relationships of Upper Plate Ridge-Trench-Trench and Ridge-Trench-Transform Triple Junction Evolution to Arc Lengthening, Subduction Zone initiation and Ophiolitic Forearc Obduction

    NASA Astrophysics Data System (ADS)

    Casey, J.; Dewey, J. F.

    2013-12-01

    The principal enigma of large obducted ophiolite slabs is that they clearly must have been generated by some form of organized sea-floor spreading/plate-accretion, such as may be envisioned for the oceanic ridges, yet the volcanics commonly have arc affinity (Miyashiro) with boninites (high-temperature/low-pressure, high Mg and Si andesites), which are suggestive of a forearc origin. PT conditions under which boninites and metamorphic soles form and observations of modern forearc systems lead us to the conclusion that ophiolite formation is associated with overriding plate spreading centers that intersect the trench to form ridge-trench-trench of ridge-trench-tranform triple junctions. The spreading centers extend and lengthen the forearc parallel to the trench and by definition are in supra-subduction zone (SSZ) settings. Many ophiolites likewise have complexly-deformed associated mafic-ultramafic assemblages that suggest fracture zone/transform along their frontal edges, which in turn has led to models involving the nucleation of subduction zones on fracture zones or transpressional transforms. Hitherto, arc-related sea-floor-spreading has been considered to be either pre-arc (fore-arc boninites) or post-arc (classic Karig-style back arc basins that trench-parallel split arcs). Syn-arc boninites and forearc oceanic spreading centers that involve a stable ridge/trench/trench triple or a ridge-trench-transform triple junction, the ridge being between the two upper plates, are consistent with large slab ophiolite formation in an obduction-ready settting. The direction of subduction must be oblique with a different sense in the two subduction zones and the oblique subduction cannot be partitioned into trench orthogonal and parallel strike-slip components. As the ridge spreads, new oceanic lithosphere is created within the forearc, the arc and fore-arc lengthen significantly, and a syn-arc ophiolite forearc complex is generated by this mechanism. The ophiolite ages

  15. 'Dodo' and 'Baby Bear' Trenches

    NASA Technical Reports Server (NTRS)

    2008-01-01

    NASA's Phoenix Mars Lander's Surface Stereo Imager took this image on Sol 11 (June 5, 2008), the eleventh day after landing. It shows the trenches dug by Phoenix's Robotic Arm. The trench on the left is informally called 'Dodo' and was dug as a test. The trench on the right is informally called 'Baby Bear.' The sample dug from Baby Bear will be delivered to the Phoenix's Thermal and Evolved-Gas Analyzer, or TEGA. The Baby Bear trench is 9 centimeters (3.1 inches) wide and 4 centimeters (1.6 inches) deep.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  16. KSC Launch Pad Flame Trench Environment Assessment

    NASA Technical Reports Server (NTRS)

    Calle, Luz Marina; Hintze, Paul E.; Parlier, Christopher R.; Curran, Jerome P.; Kolody, Mark R.; Sampson, Jeffrey W.

    2010-01-01

    This report summarizes conditions in the Launch Complex 39 (LC-39) flame trenches during a Space Shuttle Launch, as they have been measured to date. Instrumentation of the flame trench has been carried out by NASA and United Space Alliance for four Shuttle launches. Measurements in the flame trench are planned to continue for the duration of the Shuttle Program. The assessment of the launch environment is intended to provide guidance in selecting appropriate test methods for refractory materials used in the flame trench and to provide data used to improve models of the launch environment in the flame trench.

  17. 'Snow White' Trench

    NASA Technical Reports Server (NTRS)

    2008-01-01

    This image was acquired by NASA's Phoenix Mars Lander's Surface Stereo Imager on Sol 43, the 43rd Martian day after landing (July 8, 2008). This image shows the trench informally called 'Snow White.'

    Two samples were delivered to the Wet Chemistry Laboratory, which is part of Phoenix's Microscopy, Electrochemistry, and Conductivity Analyzer (MECA). The first sample was taken from the surface area just left of the trench and informally named 'Rosy Red.' It was delivered to the Wet Chemistry Laboratory on Sol 30 (June 25, 2008). The second sample, informally named 'Sorceress,' was taken from the center of the 'Snow White' trench and delivered to the Wet Chemistry Laboratory on Sol 41 (July 6, 2008).

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  18. Phoenix Deepens Trenches on Mars

    NASA Technical Reports Server (NTRS)

    2008-01-01

    The Surface Stereo Imager on NASA's Phoenix Mars Lander took this false color image on Oct. 21, 2008, during the 145th Martian day, or sol, since landing. The bluish-white areas seen in these trenches are part of an ice layer beneath the soil.

    The trench on the upper left, called 'Dodo-Goldilocks,' is about 38 centimeters (15 inches) long and 4 centimeters (1.5 inches) deep. The trench on the right, called 'Upper Cupboard,' is about 60 centimeters (24 inches) long and 3 centimeters (1 inch) deep. The trench in the lower middle is called 'Stone Soup.'

    The Phoenix mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  19. Evapotranspiration Cover for the 92-Acre Area Retired Mixed Waste Pits, Area 5 Waste Management Division, Nevada National Security Site, Final CQA Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NSTec Environmental Management; The Delphi Groupe, Inc.; J. A. Cesare and Associates, Inc.

    The report is the Final Construction Quality Assurance (CQA) Report for the 92-Acrew Evapotranspiration Cover, Area 5 Waste Management Division Retired Mixed Waste Pits, Nevada National Security Site, Nevada, for the period of January 20, 2011, to January 31, 2012 The Area 5 RWMS uses engineered shallow-land burial cells to dispose of packaged waste. The 92-Acre Area encompasses the southern portion of the Area 5 RWMS, which has been designated for the first final closure operations. This area contains 13 Greater Confinement Disposal (GCD) boreholes, 16 narrow trenches, and 9 broader pits. With the exception of two active pits (P03more » and P06), all trenches and pits in the 92-Acre Area had operational covers approximately 2.4 meters thick, at a minimum, in most areas when this project began. The units within the 92-Acre Area are grouped into the following six informal categories based on physical location, waste types and regulatory requirements: (1) Pit 3 Mixed Waste Disposal Unit (MWDU); (2) Corrective Action Unit (CAU) 111; (3) CAU 207; (4) Low-level waste disposal units; (5) Asbestiform low-level waste disposal units; and (6) One transuranic (TRU) waste trench.« less

  20. 3-D visualisation of palaeoseismic trench stratigraphy and trench logging using terrestrial remote sensing and GPR - a multiparametric interpretation

    NASA Astrophysics Data System (ADS)

    Schneiderwind, Sascha; Mason, Jack; Wiatr, Thomas; Papanikolaou, Ioannis; Reicherter, Klaus

    2016-03-01

    Two normal faults on the island of Crete and mainland Greece were studied to test an innovative workflow with the goal of obtaining a more objective palaeoseismic trench log, and a 3-D view of the sedimentary architecture within the trench walls. Sedimentary feature geometries in palaeoseismic trenches are related to palaeoearthquake magnitudes which are used in seismic hazard assessments. If the geometry of these sedimentary features can be more representatively measured, seismic hazard assessments can be improved. In this study more representative measurements of sedimentary features are achieved by combining classical palaeoseismic trenching techniques with multispectral approaches. A conventional trench log was firstly compared to results of ISO (iterative self-organising) cluster analysis of a true colour photomosaic representing the spectrum of visible light. Photomosaic acquisition disadvantages (e.g. illumination) were addressed by complementing the data set with active near-infrared backscatter signal image from t-LiDAR measurements. The multispectral analysis shows that distinct layers can be identified and it compares well with the conventional trench log. According to this, a distinction of adjacent stratigraphic units was enabled by their particular multispectral composition signature. Based on the trench log, a 3-D interpretation of attached 2-D ground-penetrating radar (GPR) profiles collected on the vertical trench wall was then possible. This is highly beneficial for measuring representative layer thicknesses, displacements, and geometries at depth within the trench wall. Thus, misinterpretation due to cutting effects is minimised. This manuscript combines multiparametric approaches and shows (i) how a 3-D visualisation of palaeoseismic trench stratigraphy and logging can be accomplished by combining t-LiDAR and GPR techniques, and (ii) how a multispectral digital analysis can offer additional advantages to interpret palaeoseismic and stratigraphic

  1. Subduction zones: Not relevant to present-day problems of waste disposal

    USGS Publications Warehouse

    Silver, E.A.

    1972-01-01

    SUBDUCTION zones are considered to be sites of disposal for vast areas of the Earth's surface1, while new surface is generated simultaneously at rise crests2. Bostrom and Sherif3 suggest that the world's industrial and domestic waste be dumped into subduction zones at deep sea trenches to allow nature to complete the recycling process at geologically rapid rates of 5 to 10 cm/yr. They also point out that trenches are often sites of rapid rates of deposition and suggest that the dumped wastes would, speaking geologically, soon be buried. Francis4 suggests that canisters of toxic chemical and radioactive wastes could be dumped onto trench sediments and be expected to sink at rates of 20 m/yr, assuming that the mass of turbidites in the trench fill often spontaneously liquefies on shaking by earthquakes. The assumption is based on the supposed lack of evidence for deformed sediment in trenches. I will argue that the suggestion of Bostrom and Sherif3 is not useful for the next few dozen generations of human populations and will point out observational evidence to show that Francis's4 assumption is incorrectly founded. ?? 1972 Nature Publishing Group.

  2. Deep 'Stone Soup' Trenching by Phoenix

    NASA Technical Reports Server (NTRS)

    2008-01-01

    Digging by NASA's Phoenix Mars Lander on Aug. 23, 2008, during the 88th sol (Martian day) since landing, reached a depth about three times greater than in any trench Phoenix has excavated. The deep trench, informally called 'Stone Soup' is at the borderline between two of the polygon-shaped hummocks that characterize the arctic plain where Phoenix landed.

    The lander's Surface Stereo Imager took this picture of Stone Soup trench on Sol 88 after the day's digging. The trench is about 25 centimeters (10 inches) wide and about 18 centimeters (7 inches) deep.

    When digging trenches near polygon centers, Phoenix has hit a layer of icy soil, as hard as concrete, about 5 centimeters or 2 inches beneath the ground surface. In the Stone Soup trench at a polygon margin, the digging has not yet hit an icy layer like that.

    Stone Soup is toward the left, or west, end of the robotic arm's work area on the north side of the lander.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  3. Phoenix Trenches

    NASA Technical Reports Server (NTRS)

    2008-01-01

    [figure removed for brevity, see original site] Annotated Version

    [figure removed for brevity, see original site] Left-eye view of a stereo pair [figure removed for brevity, see original site] Right-eye view of a stereo pair

    This image is a stereo, panoramic view of various trenches dug by NASA's Phoenix Mars Lander. The images that make up this panorama were taken by Phoenix's Surface Stereo Imager at about 4 p.m., local solar time at the landing site, on the 131st, Martian day, or sol, of the mission (Oct. 7, 2008).

    In figure 1, the trenches are labeled in orange and other features are labeled in blue. Figures 2 and 3 are the left- and right-eye members of a stereo pair.

    For scale, the 'Pet Donkey' trench just to the right of center is approximately 38 centimeters (15 inches) long and 31 to 34 centimeters (12 to 13 inches) wide. In addition, the rock in front of it, 'Headless,' is about 11.5 by 8.5 centimeters (4.5 by 3.3 inches), and about 5 centimeters (2 inches) tall.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  4. Measurement of plasma sheath overlap above a trench

    NASA Astrophysics Data System (ADS)

    Sheridan, T. E.; Steinberger, Thomas E.

    2017-06-01

    The plasma sheath above a rectangular trench has been experimentally characterized as the trench width is varied in a radio frequency (rf) plasma discharge for two different rf powers giving two different sets of plasma parameters. Measurements were made using the positions and all six normal mode frequencies of two dust particles floating just inside the sheath edge above the center of the trench. We find that sheath overlap occurs when the trench width ≲ 3 s 0 for a trench depth ≈0.7s0, where s0 is the planar sheath width. The electric field gradient inside the sheath edge increases with rf power.

  5. Intra- and intertrench variations in flexural bending of the Manila, Mariana and global trenches: implications on plate weakening in controlling trench dynamics

    NASA Astrophysics Data System (ADS)

    Zhang, Fan; Lin, Jian; Zhou, Zhiyuan; Yang, Hongfeng; Zhan, Wenhuan

    2018-02-01

    We conducted detailed analyses of a global array of trenches, revealing systematic intra- and intertrench variations in plate bending characteristics. The intratrench variations of the Manila and Mariana Trenches were analysed in detail as end-member cases of the relatively young (16-36 Ma) and old (140-160 Ma) subducting plates, respectively. Meanwhile, the intertrench variability was investigated for a global array of additional trenches including the Philippine, Kuril, Japan, Izu-Bonin, Aleutian, Tonga-Kermadec, Middle America, Peru, Chile, Sumatra and Java Trenches. Results of the analysis show that the trench relief (W0) and width (X0) of all systems are controlled primarily by the faulting-reduced elastic thickness near the trench axis (Tem) and affected only slightly by the initial unfaulted thickness (TeM) of the incoming plate. The reduction in Te has caused significant deepening and narrowing of trench valleys. For the cases of relatively young or old plates, the plate age could be a dominant factor in controlling the trench bending shape, regardless the variations in axial loadings. Our calculations also show that the axial loading and stresses of old subducting plates can vary significantly along the trench axis. In contrast, the young subducting plates show much smaller values and variations in axial loading and stresses.

  6. Trench Safety–Using a Qualitative Approach to Understand Barriers and Develop Strategies to Improve Trenching Practices

    PubMed Central

    FLYNN, MICHAEL A.; SAMPSON, JULIE M.

    2015-01-01

    Despite efforts to ensure workplace safety and health, injuries and fatalities related to trenching and excavation remain alarmingly high in the construction industry. Because properly installed trenching protective systems can potentially reduce the significant number of trenching fatalities, there is clearly a need to identify the barriers to the use of these systems and to develop strategies to ensure these systems are utilized consistently. The current study reports on the results of focus groups with construction workers and safety management personnel to better understand these barriers and to identify solutions. The results suggest several factors, from poor planning to pressures from experienced workers and supervisors, which present barriers to safe trenching practices. Based on the results, it is recommended that safety trainings incorporate unique messages for new workers, experienced workers and management in an effort to motivate each group to work safely as well as provide them with solutions to overcome the identified barriers. PMID:26550006

  7. Closure Report for Corrective Action Unit 412: Clean Slate I Plutonium Dispersion (TTR) Tonopah Test Range, Nevada, Revision 0

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matthews, Patrick

    This Closure Report (CR) presents information supporting the clean closure of Corrective Action Unit (CAU) 412: Clean Slate I Plutonium Dispersion (TTR), located on the Tonopah Test Range, Nevada. CAU 412 consists of a release of radionuclides to the surrounding soil from a storage–transportation test conducted on May 25, 1963. Corrective action investigation (CAI) activities were performed in April and May 2015, as set forth in the Streamlined Approach for Environmental Restoration (SAFER) Plan for Corrective Action Unit 412: Clean Slate I Plutonium Dispersion (TTR), Tonopah Test Range, Nevada; and in accordance with the Soils Activity Quality Assurance Plan. Themore » purpose of the CAI was to fulfill data needs as defined during the data quality objectives process. The CAU 412 dataset of investigation results was evaluated based on a data quality assessment. This assessment demonstrated the dataset is complete and acceptable for use in fulfilling the data needs identified by the data quality objectives process. This CR provides documentation and justification for the clean closure of CAU 412 under the FFACO without further corrective action. This justification is based on historical knowledge of the site, previous site investigations, implementation of the 1997 interim corrective action, and the results of the CAI. The corrective action of clean closure was confirmed as appropriate for closure of CAU 412 based on achievement of the following closure objectives: Radiological contamination at the site is less than the final action level using the ground troops exposure scenario (i.e., the radiological dose is less than the final action level): Removable alpha contamination is less than the high contamination area criterion: No potential source material is present at the site, and any impacted soil associated with potential source material has been removed so that remaining soil contains contaminants at concentrations less than the final action levels: and

  8. Trenching in the New Madrid seismic zone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Not Available

    1990-01-01

    Trenching studies of the San Andreas fault have been of great value to geologists in California for determining not only the prehistoric occurrences of earthquakes on the fault but also the age of these movements. In the New Madrid seismic zone, US Geological Survey scientists have been trenching across suspected faults to try to assess earthquake frequency in the Central US. The following photographs document these trenching studies.

  9. Ocean mixing in deep-sea trenches: New insights from the Challenger Deep, Mariana Trench

    NASA Astrophysics Data System (ADS)

    van Haren, Hans; Berndt, Christian; Klaucke, Ingo

    2017-11-01

    Reliable very deep shipborne SBE 911plus Conductivity Temperature Depth (CTD) data to within 60 m from the bottom and Kongsberg EM122 0.5° × 1° multibeam echosounder data are collected in the Challenger Deep, Mariana Trench. A new position and depth are given for the deepest point in the world's ocean. The data provide insight into the interplay between topography and internal waves in the ocean that lead to mixing of the lowermost water masses on Earth. Below 5000 m, the vertical density stratification is weak, with a minimum buoyancy frequency N = 1.0 ± 0.6 cpd, cycles per day, between 6500 and 8500 m. In that depth range, the average turbulence is coarsely estimated from Thorpe-overturning scales, with limited statistics to be ten times higher than the mean values of dissipation rate εT = 3 ± 2 × 10-11 m2 s-3 and eddy diffusivity KzT = 2 ± 1.5 × 10-4 m2 s-1 estimated for the depth range between 10,300 and 10,850 m, where N = 2.5 ± 0.6 cpd. Inertial and meridionally directed tidal inertio-gravity waves can propagate between the differently stratified layers. These waves are suggested to be responsible for the observed turbulence. The turbulence values are similar to those recently estimated from CTD and moored observations in the Puerto Rico Trench. Yet, in contrast to the Puerto Rico Trench, seafloor morphology in the Mariana Trench shows up to 500 m-high fault scarps on the incoming tectonic plate and a very narrow trench, suggesting that seafloor topography does not play a crucial role for mixing.

  10. Trench mouth

    MedlinePlus

    ... fever. Good oral hygiene is vital to the treatment of trench mouth. Brush and floss your teeth thoroughly at least twice a day, or after each meal and at bedtime, if possible. Salt-water rinses (one half teaspoon or 3 grams of ...

  11. Phoenix Dodo Trench

    NASA Image and Video Library

    2008-06-04

    This image was taken by NASA's Phoenix Mars Lander's Robotic Arm Camera (RAC) on the ninth Martian day of the mission, or Sol 9 (June 3, 2008). The center of the image shows a trench informally called "Dodo" after the second dig. "Dodo" is located within the previously determined digging area, informally called "Knave of Hearts." The light square to the right of the trench is the Robotic Arm's Thermal and Electrical Conductivity Probe (TECP). The Robotic Arm has scraped to a bright surface which indicated the Arm has reached a solid structure underneath the surface, which has been seen in other images as well. http://photojournal.jpl.nasa.gov/catalog/PIA10763

  12. Partitioning soil respiration: examining the artifacts of the trenching method.

    NASA Astrophysics Data System (ADS)

    Savage, K. E.; Davidson, E. A.; Finzi, A.; Giasson, M. A.; Wehr, R. A.

    2014-12-01

    Soil respiration (Rs) is a combination of autotrophic (Ra) and heterotrophic respiration (Rh). Several methods have been developed to tease out the components of Rs, such as isotopic analyses, and removing Ra input through tree girdling and root exclusion experiments. Trenching involves severing the rooting system surrounding a plot to remove the Ra component within the plot. This method has some potential limitations. Reduced water uptake in trenched plots could change soil water content, which is one of the environmental controllers of Rs in many ecosystems. Eliminating root inputs could reduce heterotrophic decomposition of SOM via lack of priming. On the other hand, the severed dead roots may temporarily increase available carbon substrate for Rh. At the Harvard Forest, MA, we used the trenching method to partition Rs into its components Ra and Rh. Pre-trenched Rs was measured from spring to fall of 2012. In late fall of 2012, a trench was excavated to 1m depth around a 5x5m area, severing all roots. Plastic tarp was placed along the trench walls and then backfilled. Four automated Rs chambers were placed in the trenched plot and four in an un-trenched plot. Respiration was measured hourly for each chamber along with soil temperature and moisture from spring through fall of 2013 and 2014. Eighty root decomposition bags were placed in the organic soil horizon of the trenched (40) and un-trenched (40) plots at the time of trenching in 2012 and measured in 2013 and 2014. These data are being used to estimate the size and duration of any artifact due to root death. As expected, Rs was lower in the trenched plot (Rh only) than in the un-trenched plot (Rh + Ra) in 2013, but the reverse was unexpectedly observed during a period of low precipitation in 2014. High rates of ET combined with below-average precipitation dried the un-trenched plot to a point where Rh was inhibited, whereas less ET allowed the un-trenched plots to remain measurably wetter.

  13. Topic I: Induced changes in hydrology at low-level radioactive waste repository sites: A section in Safe disposal of radionuclides in low-level radioactive-waste repository sites; Low-level radioactive-waste disposal workshop, U.S. Geological Survey, July 11-16, 1987, Big Bear Lake, Calif., Proceedings (Circular 1036)

    USGS Publications Warehouse

    Prudic, David E.; Dennehy, Kevin F.; Bedinger, Marion S.; Stevens, Peter R.

    1990-01-01

    Engineering practices, including the excavation of trenches, placement of waste, nature of waste forms, backfilling procedures and materials, and trench-cover construction and materials at low-level radioactive-waste repository sites greatly affect the geohydrology of the sites. Engineering practices are dominant factors in eventual stability and isolation of the waste. The papers presented relating to Topic I were discussions of the hydrogeologic setting at existing low-level radioactive-waste repository sites and changes in the hydrology induced by site operations. Papers summarizing detailed studies presented at this workshop include those at sites near Sheffield, Ill.; Oak Ridge National Laboratory, Tenn.; West Valley, N.Y.; Maxey Flats, Ky.; Barnwell, S.C.; and Beatty, Nev. 

  14. Launch Pad Flame Trench Refractory Materials

    NASA Technical Reports Server (NTRS)

    Calle, Luz M.; Hintze, Paul E.; Parlier, Christopher R.; Bucherl, Cori; Sampson, Jeffrey W.; Curran, Jerome P.; Kolody, Mark; Perusich, Steve; Whitten, Mary

    2010-01-01

    The launch complexes at NASA's John F. Kennedy Space Center (KSC) are critical support facilities for the successful launch of space-based vehicles. These facilities include a flame trench that bisects the pad at ground level. This trench includes a flame deflector system that consists of an inverted, V-shaped steel structure covered with a high temperature concrete material five inches thick that extends across the center of the flame trench. One side of the "V11 receives and deflects the flames from the orbiter main engines; the opposite side deflects the flames from the solid rocket boosters. There are also two movable deflectors at the top of the trench to provide additional protection to shuttle hardware from the solid rocket booster flames. These facilities are over 40 years old and are experiencing constant deterioration from launch heat/blast effects and environmental exposure. The refractory material currently used in launch pad flame deflectors has become susceptible to failure, resulting in large sections of the material breaking away from the steel base structure and creating high-speed projectiles during launch. These projectiles jeopardize the safety of the launch complex, crew, and vehicle. Post launch inspections have revealed that the number and frequency of repairs, as well as the area and size of the damage, is increasing with the number of launches. The Space Shuttle Program has accepted the extensive ground processing costs for post launch repair of damaged areas and investigations of future launch related failures for the remainder of the program. There currently are no long term solutions available for Constellation Program ground operations to address the poor performance and subsequent failures of the refractory materials. Over the last three years, significant liberation of refractory material in the flame trench and fire bricks along the adjacent trench walls following Space Shuttle launches have resulted in extensive investigations of

  15. Temperature-Dependent Adhesion of Graphene Suspended on a Trench

    PubMed Central

    2015-01-01

    Graphene deposited over a trench has been studied in the context of nanomechanical resonators, where experiments indicate adhesion of the graphene sheet to the trench boundary and sidewalls leads to self-tensioning; however, this adhesion is not well understood. We use molecular dynamics to simulate graphene deposited on a trench and study how adhesion to the sidewalls depends on substrate interaction, temperature, and curvature of the edge of the trench. Over the range of parameters we study, the depth at the center of the sheet is approximately linear in substrate interaction strength and temperature but not trench width, and we explain this using a one-dimensional model for the sheet configuration. PMID:26652939

  16. Flame trench analysis of NLS vehicles

    NASA Technical Reports Server (NTRS)

    Zeytinoglu, Nuri

    1993-01-01

    The present study takes the initial steps of establishing a better flame trench design criteria for future National Launch System vehicles. A three-dimensional finite element computer model for predicting the transient thermal and structural behavior of the flame trench walls was developed using both I-DEAS and MSC/NASTRAN software packages. The results of JANNAF Standardized Plume flowfield calculations of sea-level exhaust plume of the Space Shuttle Main Engine (SSME), Space Transportation Main Engine (STME), and Advanced Solid Rocket Motors (ASRM) were analyzed for different axial distances. The results of sample calculations, using the developed finite element model, are included. The further suggestions are also reported for enhancing the overall analysis of the flame trench model.

  17. Closure Report for Corrective Action Unit 408: Bomblet Target Area Tonopah Test Range (TTR), Nevada, Revision 0

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mark Krauss

    2010-09-01

    This Closure Report (CR) presents information supporting the closure of Corrective Action Unit (CAU) 408: Bomblet Target Area (TTR), Tonopah Test Range, Nevada. This CR complies with the requirements of the Federal Facility Agreement and Consent Order that was agreed to by the State of Nevada; U.S. Department of Energy (DOE), Environmental Management; U.S. Department of Defense; and DOE, Legacy Management. Corrective Action Unit 408 is located at the Tonopah Test Range, Nevada, and consists of Corrective Action Site (CAS) TA-55-002-TAB2, Bomblet Target Areas. This CAS includes the following seven target areas: • Mid Target • Flightline Bomblet Location •more » Strategic Air Command (SAC) Target Location 1 • SAC Target Location 2 • South Antelope Lake • Tomahawk Location 1 • Tomahawk Location 2 The purpose of this CR is to provide documentation supporting the completed corrective actions and data confirming that the closure objectives for the CAS within CAU 408 were met. To achieve this, the following actions were performed: • Review the current site conditions, including the concentration and extent of contamination. • Implement any corrective actions necessary to protect human health and the environment. • Properly dispose of corrective action and investigation wastes. • Document Notice of Completion and closure of CAU 408 issued by the Nevada Division of Environmental Protection. From July 2009 through August 2010, closure activities were performed as set forth in the Streamlined Approach for Environmental Restoration Plan for CAU 408: Bomblet Target Area, Tonopah Test Range (TTR), Nevada. The purposes of the activities as defined during the data quality objectives process were as follows: • Identify and remove munitions of explosive concern (MEC) associated with DOE activities. • Investigate potential disposal pit locations. • Remove depleted uranium-contaminated fragments and soil. • Determine whether contaminants of concern

  18. Trench dynamics: Effects of dynamically migrating trench on subducting slab morphology and characteristics of subduction zones systems

    NASA Astrophysics Data System (ADS)

    Yoshida, Masaki

    2017-07-01

    Understanding the mechanisms of trench migration (retreat or advance) is crucial to characterizing the driving forces of Earth's tectonics plates, the origins of subducting slab morphologies in the deep mantle, and identifying the characteristics of subduction zones systems, which are among the fundamental issues of solid Earth science. A series of numerical simulations of mantle convection, focusing on plate subduction in a three-dimensional (3-D) regional spherical shell coordinate system, was performed to examine subduction zone characteristics, including geodynamic relationships among trench migration, back-arc stress, and slab morphology. The results show that a subducting slab tends to deflect around the base of the mantle transition zone and form a sub-horizontal slab because its front edge (its 'toe') is subject to resistance from the highly viscous lower mantle. As the sub-horizontal slab starts to penetrate into the lower mantle from its 'heel,' the toe of the slab is drawn into the lower mantle. The results for models with dynamically migrating trenches suggest that trench retreat is the dynamically self-consistent phenomenon in trench migration. The reason for this is that the strong lateral mantle flow that is generated as a sequence of events leading from corner flow at the subduction initiation to return flow of the formation of a sub-horizontal slab in the shallower part of mantle wedge produces the retreat of the subducting slab. In fact, a 'mantle suction force,' which is generated in the mantle wedge to fill space left by the retreating subducting plate, is enhanced by the subsequent trench retreat. Even when upwelling flow with significant positive buoyancy originates just above a mantle phase boundary at a depth of 410 km (as inferred from independent seismic tomographic, geodynamic, geochemical, and mineral physics), reaches the base of the overriding plate, and the overriding plate is slightly thinned, lithospheric stress tends to be

  19. 3-D visualisation of palaeoseismic trench stratigraphy and trench logging using terrestrial remote sensing and GPR - combining techniques towards an objective multiparametric interpretation

    NASA Astrophysics Data System (ADS)

    Schneiderwind, S.; Mason, J.; Wiatr, T.; Papanikolaou, I.; Reicherter, K.

    2015-09-01

    Two normal faults on the Island of Crete and mainland Greece were studied to create and test an innovative workflow to make palaeoseismic trench logging more objective, and visualise the sedimentary architecture within the trench wall in 3-D. This is achieved by combining classical palaeoseismic trenching techniques with multispectral approaches. A conventional trench log was firstly compared to results of iso cluster analysis of a true colour photomosaic representing the spectrum of visible light. Passive data collection disadvantages (e.g. illumination) were addressed by complementing the dataset with active near-infrared backscatter signal image from t-LiDAR measurements. The multispectral analysis shows that distinct layers can be identified and it compares well with the conventional trench log. According to this, a distinction of adjacent stratigraphic units was enabled by their particular multispectral composition signature. Based on the trench log, a 3-D-interpretation of GPR data collected on the vertical trench wall was then possible. This is highly beneficial for measuring representative layer thicknesses, displacements and geometries at depth within the trench wall. Thus, misinterpretation due to cutting effects is minimised. Sedimentary feature geometries related to earthquake magnitude can be used to improve the accuracy of seismic hazard assessments. Therefore, this manuscript combines multiparametric approaches and shows: (i) how a 3-D visualisation of palaeoseismic trench stratigraphy and logging can be accomplished by combining t-LiDAR and GRP techniques, and (ii) how a multispectral digital analysis can offer additional advantages and a higher objectivity in the interpretation of palaeoseismic and stratigraphic information. The multispectral datasets are stored allowing unbiased input for future (re-)investigations.

  20. Remote sensing investigations at a hazardous-waste landfill

    USGS Publications Warehouse

    Stohr, C.; Su, W.-J.; DuMontelle, P.B.; Griffin, R.A.

    1987-01-01

    In 1976 state licensed landfilling of industrial chemicals was begun above an abandoned, underground coal mine in Illinois. Five years later organic chemical pollutants were discovered in a monitoring well, suggesting migration 100 to 1000 times faster than predicted by laboratory tests. Remote sensing contributed to the determination of the causes of faster-than-predicted pollutant migration at the hazardous-waste landfill. Aerial and satellite imagery were employed to supplement field studies of local surface and groundwater hydrology, and to chronicle site history. Drainage impediments and depressions in the trench covers collected runoff, allowing rapid recharge of surface waters to some burial trenches. These features can be more effectively identified by photointerpretation than by conventional field reconnaissance. A ground-based, post-sunset survey of the trench covers that showed that a distinction between depressions which hold moisture at the surface from freely-draining depressions which permit rapid recharge to the burial trenches could be made using thermal infrared imagery.In 1976 state licensed landfilling of industrial chemicals was begun above an abandoned, underground coal mine in Illinois. Five years later organic chemical pollutants were discovered in a monitoring well, suggesting migration 100 to 1000 times faster than predicted by laboratory tests. Remote sensing contributed to the determination of the causes of faster-than-predicted pollutant migration at the hazardous-waste landfill. Aerial and satellite imagery were employed to supplement field studies of local surface and groundwater hydrology, and to chronicle site history. Drainage impediments and depressions in the trench covers collected runoff, allowing rapid recharge of surface waters to some burial trenches.

  1. The New Britain trench and 149° embayment, Western Solomon Sea

    NASA Astrophysics Data System (ADS)

    Tiffin, D. L.; Davies, H. L.; Honza, E.; Lock, J.; Okuda, Y.

    1987-09-01

    The western New Britain Trench contains relatively thin sediment fill in the east, compared to the west where a sequence of thick turbidites is ponded behind a basement high in the trench axis, The trench trends toward Huon Gulf, but intersects the Trobriand Trench at an acute angle at the 149° Embayment, where both trenches end. Seismic structure west of the trench is incoherent, related to incipient collision of the Indian-Australia Plate and the South Bismarck Plate. The collision suture is marked by the Markham Canyon, continuous in its upper reaches with the Ramu-Markham Fault Zone on shore.

  2. Sediment Pathways Across Trench Slopes: Results From Numerical Modeling

    NASA Astrophysics Data System (ADS)

    Cormier, M. H.; Seeber, L.; McHugh, C. M.; Fujiwara, T.; Kanamatsu, T.; King, J. W.

    2015-12-01

    Until the 2011 Mw9.0 Tohoku earthquake, the role of earthquakes as agents of sediment dispersal and deposition at erosional trenches was largely under-appreciated. A series of cruises carried out after the 2011 event has revealed a variety of unsuspected sediment transport mechanisms, such as tsunami-triggered sheet turbidites, suggesting that great earthquakes may in fact be important agents for dispersing sediments across trench slopes. To complement these observational data, we have modeled the pathways of sediments across the trench slope based on bathymetric grids. Our approach assumes that transport direction is controlled by slope azimuth only, and ignores obstacles smaller than 0.6-1 km; these constraints are meant to approximate the behavior of turbidites. Results indicate that (1) most pathways issued from the upper slope terminate near the top of the small frontal wedge, and thus do not reach the trench axis; (2) in turn, sediments transported to the trench axis are likely derived from the small frontal wedge or from the subducting Pacific plate. These results are consistent with the stratigraphy imaged in seismic profiles, which reveals that the slope apron does not extend as far as the frontal wedge, and that the thickness of sediments at the trench axis is similar to that of the incoming Pacific plate. We further applied this modeling technique to the Cascadia, Nankai, Middle-America, and Sumatra trenches. Where well-defined canyons carve the trench slopes, sediments from the upper slope may routinely reach the trench axis (e.g., off Costa Rica and Cascadia). In turn, slope basins that are isolated from the canyons drainage systems must mainly accumulate locally-derived sediments. Therefore, their turbiditic infill may be diagnostic of seismic activity only - and not from storm or flood activity. If correct, this would make isolated slope basins ideal targets for paleoseismological investigation.

  3. Surface Modulation of Graphene Field Effect Transistors on Periodic Trench Structure.

    PubMed

    Jin, Jun Eon; Choi, Jun Hee; Yun, Hoyeol; Jang, Ho-Kyun; Lee, Byung Chul; Choi, Ajeong; Joo, Min-Kyu; Dettlaff-Weglikowska, Urszula; Roth, Siegmar; Lee, Sang Wook; Lee, Jae Woo; Kim, Gyu Tae

    2016-07-20

    In this work, graphene field effect transistors (FETs) were fabricated on a trench structure made by carbonized poly(methylmethacrylate) to modify the graphene surface. The trench-structured devices showed different characteristics depending on the channel orientation and the pitch size of the trenches as well as channel area in the FETs. Periodic corrugations and barriers of suspended graphene on the trench structure were measured by atomic force microscopy and electrostatic force microscopy. Regular barriers of 160 mV were observed for the trench structure with graphene. To confirm the transfer mechanism in the FETs depending on the channel orientation, the ratio of experimental mobility (3.6-3.74) was extracted from the current-voltage characteristics using equivalent circuit simulation. It is shown that the number of barriers increases as the pitch size decreases because the number of corrugations increases from different trench pitches. The noise for the 140 nm pitch trench is 1 order of magnitude higher than that for the 200 nm pitch trench.

  4. Neglecting safety precautions may lead to trenching fatalities.

    PubMed

    Deatherage, J Harold; Furches, Lisa K; Radcliffe, Mike; Schriver, William R; Wagner, John P

    2004-06-01

    Trench collapses ranked as the seventh leading cause of the possible twenty-nine causes of OSHA-inspected fatal construction events during the period 1991-2001. This study aims to examine why these fatalities occurred. Forty-four case files from OSHA inspections of fatal trench collapses were reviewed. Improper protection of the excavation site where work was taking place was the leading fatality cause. Several organizational or physical conditions were present at many fatal sites; the most frequent was that no training had been provided for trenching. Presence of a competent, diligent person at the site would have prohibited most fatalities. The top cited violation was lack of protection, that is, benching, shoring, sloping, trench boxes, etc. (29 CFR 1926.652 (a) (1)). Copyright 2004 Wiley-Liss, Inc.

  5. Test-trench studies in the Amargosa Desert, southern Nevada: Results and application of information to landfill covers in arid environments

    USGS Publications Warehouse

    Andraski, Brian J.; Reynolds, Timothy D.; Morris, Randall C.

    1997-01-01

    As arid sites in the western United States are increasingly sought for disposal of the Nation's hazardous wastes and as volumes of locally generated wastes increase, concern about the potential effect of contaminants on environmental quality is being raised. Studies at the U.S. Geological Survey's Amargosa Desert research site near Beatty, Nevada are being done to evaluate mechanisms that can affect waste isolation in an arid environment. Precipitation at the site averages about 108 mm yr-1. Results have shown that, under undisturbed conditions, the naturally stratified soils in combination with native plants are effective in limiting the potential for percolation of precipitation. Under nonvegetated waste-site conditions, data indicated the accumulation and shallow, but continued, penetration of infiltrated water, However, water potentials below the test trenches and below the 2-m depth for nonvegetated soil indicated the persistence of an upward driving force for water flow during the 5-yr test period. General trends in trench-cover subsidence suggested a positive relation with cumulative precipitation, but subsidence did not appear to have a measurable effect on the water balance. Erosion rates were inversely related to near-surface rock-fragment content. Results suggest that the ultimate fate of contaminants buried at properly managed solid-waste sites may be determined largely by the interactions among climate and the surface-cover features of the disposal facility, and how these factors change with time.

  6. Vertically distinct microbial communities in the Mariana and Kermadec trenches

    PubMed Central

    Donaldson, Sierra; Osuntokun, Oladayo; Xia, Qing; Nelson, Alex; Blanton, Jessica; Allen, Eric E.; Church, Matthew J.; Bartlett, Douglas H.

    2018-01-01

    Hadal trenches, oceanic locations deeper than 6,000 m, are thought to have distinct microbial communities compared to those at shallower depths due to high hydrostatic pressures, topographical funneling of organic matter, and biogeographical isolation. Here we evaluate the hypothesis that hadal trenches contain unique microbial biodiversity through analyses of the communities present in the bottom waters of the Kermadec and Mariana trenches. Estimates of microbial protein production indicate active populations under in situ hydrostatic pressures and increasing adaptation to pressure with depth. Depth, trench of collection, and size fraction are important drivers of microbial community structure. Many putative hadal bathytypes, such as members related to the Marinimicrobia, Rhodobacteraceae, Rhodospirilliceae, and Aquibacter, are similar to members identified in other trenches. Most of the differences between the two trench microbiomes consists of taxa belonging to the Gammaproteobacteria whose distributions extend throughout the water column. Growth and survival estimates of representative isolates of these taxa under deep-sea conditions suggest that some members may descend from shallower depths and exist as a potentially inactive fraction of the hadal zone. We conclude that the distinct pelagic communities residing in these two trenches, and perhaps by extension other trenches, reflect both cosmopolitan hadal bathytypes and ubiquitous genera found throughout the water column. PMID:29621268

  7. Nematode communities in sediments of the Kermadec Trench, Southwest Pacific Ocean

    NASA Astrophysics Data System (ADS)

    Leduc, Daniel; Rowden, Ashley A.

    2018-04-01

    Hadal trenches are characterized by environmental conditions not found in any other deep-sea environment, such as steep topography and periodic disturbance by turbidity flows, which are likely responsible for the distinct nature of benthic communities of hadal trenches relative to those of the abyssal plain. Nematodes are the most abundant metazoans in the deep-sea benthos, but it is not yet clear if different trenches host distinct nematode communities, and no data are yet available on the communities of most trenches, including the Kermadec Trench in the Southwest Pacific. Quantitative core samples from the seafloor of the Kermadec Trench were recently obtained from four sites at 6000-9000 m depth which allowed for analyses of meiofauna, and nematodes in particular, for the first time. Nematode community and trophic structure was also compared with other trenches using published data. There was a bathymetric gradient in meiofauna abundance, biomass, and community structure within the Kermadec Trench, but patterns for species richness were ambiguous depending on which metric was used. There was a change in community structure from shallow to deep sites, as well as a consistent change in community structure from the upper sediment layers to the deeper sediment layers across the four sites. These patterns are most likely explained by variation in food availability within the trench, and related to trench topography. Together, deposit and microbial feeders represented 48-92% of total nematode abundance in the samples, which suggests that fine organic detritus and bacteria are major food sources. The relatively high abundance of epigrowth feeders at the 6000 and 9000 m sites (38% and 31%, respectively) indicates that relatively freshly settled microalgal cells represent another important food source at these sites. We found a significant difference in species community structure between the Kermadec and Tonga trenches, which was due to both the presence/absence of

  8. Habitat heterogeneity of hadal trenches: Considerations and implications for future studies

    NASA Astrophysics Data System (ADS)

    Stewart, Heather A.; Jamieson, Alan J.

    2018-02-01

    The hadal zone largely comprises a series of subduction trenches that do not form part of the continental shelf-slope rise to abyssal plain continuum. Instead they form geographically isolated clusters of deep-sea (6000-11,000 m water depth) environments. There is a growing realization in hadal science that ecological patterns and processes are not driven solely by responses to hydrostatic pressure, with comparable levels of habitat heterogeneity as observed in other marine biozones. Furthermore, this heterogeneity can be expressed at multiple scales from inter-trench levels (degrees of geographical isolation, and biochemical province), to intra-trench levels (variation between trench flanks and axis), topographical features within the trench interior (sedimentary basins, ridges, escarpments, 'deeps', seamounts) to the substrate of the trench floor (seabed-sediment composition, mass movement deposits, bedrock outcrop). Using best available bathymetry data combined with the largest lander-derived imaging dataset that spans the full depth range of three hadal trenches (including adjacent slopes); the Mariana, Kermadec and New Hebrides trenches, the topographic variability, fine-scale habitat heterogeneity and distribution of seabed sediments of these three trenches have been assessed for the first time. As well as serving as the first descriptive study of habitat heterogeneity at hadal depths, this study also provides guidance for future hadal sampling campaigns taking into account geographic isolation, total trench particulate organic matter flux, maximum water depth and area.

  9. Pad 39B Flame Trench Brick Work

    NASA Image and Video Library

    2016-10-26

    Progress continues on the new flame trench at Launch Pad 39B at NASA's Kennedy Space Center in Florida. Construction workers with J.P. Donovan of Rockledge, Florida, prepare new heat-resistant bricks for installation on the north side of the flame trench. The Pad B flame trench is being refurbished to support the launch of NASA’s Space Launch System rocket. The Ground Systems Development and Operations (GSDO) Program at Kennedy is helping transform the space center into a multi-user spaceport and prepare for Exploration Mission 1, deep space missions, and NASA's Journey to Mars. For more information about GSDO, visit: http://www.nasa.gov/groundsystems.

  10. Pad 39B Flame Trench Brick Work

    NASA Image and Video Library

    2016-10-26

    Progress continues on the new flame trench at Launch Pad 39B at NASA's Kennedy Space Center in Florida. Construction workers with J.P. Donovan of Rockledge, Florida, attach new heat-resistant bricks on the north side of the flame trench. The Pad B flame trench is being refurbished to support the launch of NASA’s Space Launch System rocket. The Ground Systems Development and Operations (GSDO) Program at Kennedy is helping transform the space center into a multi-user spaceport and prepare for Exploration Mission 1, deep space missions, and NASA's Journey to Mars. For more information about GSDO, visit: http://www.nasa.gov/groundsystems.

  11. Variably-saturated groundwater modeling for optimizing managed aquifer recharge using trench infiltration

    USGS Publications Warehouse

    Heilweil, Victor M.; Benoit, Jerome; Healy, Richard W.

    2015-01-01

    Spreading-basin methods have resulted in more than 130 million cubic meters of recharge to the unconfined Navajo Sandstone of southern Utah in the past decade, but infiltration rates have slowed in recent years because of reduced hydraulic gradients and clogging. Trench infiltration is a promising alternative technique for increasing recharge and minimizing evaporation. This paper uses a variably saturated flow model to further investigate the relative importance of the following variables on rates of trench infiltration to unconfined aquifers: saturated hydraulic conductivity, trench spacing and dimensions, initial water-table depth, alternate wet/dry periods, and number of parallel trenches. Modeling results showed (1) increased infiltration with higher hydraulic conductivity, deeper initial water tables, and larger spacing between parallel trenches, (2) deeper or wider trenches do not substantially increase infiltration, (3) alternating wet/dry periods result in less overall infiltration than keeping the trenches continuously full, and (4) larger numbers of parallel trenches within a fixed area increases infiltration but with a diminishing effect as trench spacing becomes tighter. An empirical equation for estimating expected trench infiltration rates as a function of hydraulic conductivity and initial water-table depth was derived and can be used for evaluating feasibility of trench infiltration in other hydrogeologic settings

  12. Phoenix's 'Dodo' Trench

    NASA Technical Reports Server (NTRS)

    2008-01-01

    This image was taken by NASA's Phoenix Mars Lander's Robotic Arm Camera (RAC) on the ninth Martian day of the mission, or Sol 9 (June 3, 2008). The center of the image shows a trench informally called 'Dodo' after the second dig. 'Dodo' is located within the previously determined digging area, informally called 'Knave of Hearts.' The light square to the right of the trench is the Robotic Arm's Thermal and Electrical Conductivity Probe (TECP). The Robotic Arm has scraped to a bright surface which indicated the Arm has reached a solid structure underneath the surface, which has been seen in other images as well.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  13. Deep 'Stone Soup' Trenching by Phoenix (Stereo)

    NASA Technical Reports Server (NTRS)

    2008-01-01

    Digging by NASA's Phoenix Mars Lander on Aug. 23, 2008, during the 88th sol (Martian day) since landing, reached a depth about three times greater than in any trench Phoenix has excavated. The deep trench, informally called 'Stone Soup' is at the borderline between two of the polygon-shaped hummocks that characterize the arctic plain where Phoenix landed.

    Stone Soup is in the center foreground of this stereo view, which appears three dimensional when seen through red-blue glasses. The view combines left-eye and right-eye images taken by the lander's Surface Stereo Imager on Sol 88 after the day's digging. The trench is about 25 centimeters (10 inches) wide and about 18 centimeters (7 inches) deep.

    When digging trenches near polygon centers, Phoenix has hit a layer of icy soil, as hard as concrete, about 5 centimeters or 2 inches beneath the ground surface. In the Stone Soup trench at a polygon margin, the digging has not yet hit an icy layer like that.

    Stone Soup is toward the left, or west, end of the robotic arm's work area on the north side of the lander.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  14. Levels and distributions of organic pollutants in subtidal sediments from the Loire estuary: Are there any relationships with TTR-binding activity?

    NASA Astrophysics Data System (ADS)

    Couderc, M.; Gandolfi, F.; Zalouk-Vergnoux, A.; Beyeler, N.; Malleret, L.; Ambidina, I.; Kamari, A.; Blanchet-Letrouvé, I.; Mouneyrac, C.; Hamers, T.; Poirier, L.

    2016-12-01

    The Loire estuary runs through important urban sites with shipping, industrial and agricultural activities, being the receptacle of diffusive pollutants comprising, a mixture of contaminants such as persistent organic pollutants (POPs). This work was set out to evaluate the occurrence of thyroid endocrine disruptors in sediments of this estuary. Sediments were collected in September 2012 and April 2013, in subtidal zones along the estuary. Targeted chemical analyses of five classes of pollutants, i.e. polychlorinated biphenyls (PCBs), polybrominated diphenyl ethers (PBDEs), polycyclic aromatic hydrocarbons (PAHs), alkylphenols (APs), and bisphenol A (BPA) were performed in sediment extracts. Extracts were further tested for their thyroid hormone (TH) disrupting potency to compete with TH for binding to its transporter protein transthyretin (TTR). The Haute-Indre site was characterized by a significant PAH contamination whereas Saint-Nazaire, Bellevue and Rezé would be particularly contaminated by PCBs. These observations could be linked to the different type of anthropogenic activities taking place close to these sites. Donges, Mindin and Paimboeuf were the sampling sites displaying the lowest contamination in PAHs, APs, PCBs and PBDEs. No inter-site difference could be observed for TTR-binding activity, which should be attributed to different compounds than the chemically analyzed compounds, as confirmed by PCA analyses. Furthermore, the TTR-binding potencies of the extracts were relatively low compared to data from literature. More investigations on the quantification of PCB and PBDE hydroxylated metabolites and other known endocrine disruptors such as pesticides or perfluorinated compounds could be considered, as well as bioassays highlighting other endocrine disrupting effects.

  15. Subduction dynamics: From the trench to the core-mantle boundary

    NASA Astrophysics Data System (ADS)

    Kincaid, Chris

    1995-07-01

    Subduction occurs along convergent plate boundaries where one of the colliding lithospheric plates descends into the mantle. Subduction zones are recognized where plates converge at ˜2-15 cm/yr, although well developed trenches and volcanic arcs (e.g. the line of active volcanoes lying parallel to most ocean trenches, such as the Aleutian Islands in the North Pacific) occur when convergence rates are higher, 4-10 cm/yr. This report is meant to provide a brief review on the general topic of subduction dynamics. A recent spin on subduction studies is the growing realization that the need to understand this global Earth process may be argued not only on purely scientific grounds, but also in terms of societal relevance. While subducting slabs of oceanic lithosphere clearly provide the dominant driving force for mantle dynamics and plate tectonics, over half of the Earth's present 40,000 km of subduction zones are associated with continental margins where a large and rapidly increasing percentage of the Earth's population resides. Subductioninduced hazards along active continental margins include those associated with volcanic hazards (Blong, 1984; Tilling, 1989) such as lava flows, pyroclastic flows and ash fallout and tectonic processes, such as faulting, tsunamis and earthquakes. With regards to earthquake hazards, all of the great (magnitude >9) earthquakes in recorded history have occurred at subduction zones, with 50% of all energy released since 1900 being in four events (1964-Alaska; 1960-Chile; 1957- Aleutians; 1952-Kamchatka). Subduction zone hazards have significant impact on long time scales, such as contributions to global climate change (Robock, 1991; Simarski, 1992; Johnson, 1993; Bluth et al., 1993) and short time scales such as airline safety (Casadevall, 1992). Moreover, accretionary wedges are important in terms of resource potential and trenches have occasionally been suggested as nuclear waste disposal sites.

  16. Color View 'Dodo' and 'Baby Bear' Trenches

    NASA Technical Reports Server (NTRS)

    2008-01-01

    NASA's Phoenix Mars Lander's Surface Stereo Imager took this image on Sol 14 (June 8, 2008), the 14th Martian day after landing. It shows two trenches dug by Phoenix's Robotic Arm.

    Soil from the right trench, informally called 'Baby Bear,' was delivered to Phoenix's Thermal and Evolved-Gas Analyzer, or TEGA, on Sol 12 (June 6). The following several sols included repeated attempts to shake the screen over TEGA's oven number 4 to get fine soil particles through the screen and into the oven for analysis.

    The trench on the left is informally called 'Dodo' and was dug as a test.

    Each of the trenches is about 9 centimeters (3 inches) wide. This view is presented in approximately true color by combining separate exposures taken through different filters of the Surface Stereo Imager.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  17. Pad 39B Flame Trench Brick Work

    NASA Image and Video Library

    2016-10-26

    Progress continues on the new flame trench at Launch Pad 39B at NASA's Kennedy Space Center in Florida. Construction workers with J.P. Donovan of Rockledge, Florida, are on an elevated work stand to install new heat-resistant bricks on the north side of the flame trench. The Pad B flame trench is being refurbished to support the launch of NASA’s Space Launch System rocket. The Ground Systems Development and Operations (GSDO) Program at Kennedy is helping transform the space center into a multi-user spaceport and prepare for Exploration Mission 1, deep space missions, and NASA's Journey to Mars. For more information about GSDO, visit: http://www.nasa.gov/groundsystems.

  18. Pad 39B Flame Trench Brick Work

    NASA Image and Video Library

    2016-10-26

    Construction workers with J.P. Donovan of Rockledge, Florida, cut new heat-resistant bricks to size for the concrete walls of the flame trench at Launch Pad 39B at NASA’s Kennedy Space Center in Florida. New heat-resistant bricks are being attached with epoxy mortar to the flame trench walls. The Pad B flame trench is being refurbished to support the launch of NASA’s Space Launch System rocket. The Ground Systems Development and Operations (GSDO) Program at Kennedy is helping transform the space center into a multi-user spaceport and prepare for Exploration Mission 1, deep space missions, and NASA's Journey to Mars. For more information about GSDO, visit: http://www.nasa.gov/groundsystems.

  19. Effect of surface roughness of trench sidewalls on electrical properties in 4H-SiC trench MOSFETs

    NASA Astrophysics Data System (ADS)

    Kutsuki, Katsuhiro; Murakami, Yuki; Watanabe, Yukihiko; Onishi, Toru; Yamamoto, Kensaku; Fujiwara, Hirokazu; Ito, Takahiro

    2018-04-01

    The effects of the surface roughness of trench sidewalls on electrical properties have been investigated in 4H-SiC trench MOSFETs. The surface roughness of trench sidewalls was well controlled and evaluated by atomic force microscopy. The effective channel mobility at each measurement temperature was analyzed on the basis of the mobility model including optical phonon scattering. The results revealed that surface roughness scattering had a small contribution to channel mobility, and at the arithmetic average roughness in the range of 0.4-1.4 nm, there was no correlation between the experimental surface roughness and the surface roughness scattering mobility. On the other hand, the characteristics of the gate leakage current and constant current stress time-dependent dielectric breakdown tests demonstrated that surface morphology had great impact on the long-term reliability of gate oxides.

  20. Morning Frost in Trench Dug by Phoenix, Sol 113

    NASA Technical Reports Server (NTRS)

    2008-01-01

    This image from the Surface Stereo Imager on NASA's Phoenix Mars Lander shows morning frost inside the 'Snow White' trench dug by the lander, in addition to subsurface ice exposed by use of a rasp on the floor of the trench.

    The camera took this image at about 9 a.m. local solar time during the 113th Martian day of the mission (Sept. 18, 2008). Bright material near and below the four-by-four set of rasp holes in the upper half of the image is water-ice exposed by rasping and scraping in the trench earlier the same morning. Other bright material especially around the edges of the trench, is frost. Earlier in the mission, when the sun stayed above the horizon all night, morning frost was not evident in the trench.

    This image is presented in approximately true color.

    The trench is 4 to 5 centimeters (about 2 inches) deep, about 23 centimeters (9 inches) wide.

    Phoenix landed on a Martian arctic plain on May 25, 2008. The mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is led by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development was by Lockheed Martin Space Systems, Denver.

  1. Effects of trench profile and self-aligned ion implantation on electrical characteristics of 1.2 kV 4H-SiC trench MOSFETs using bottom protection p-well

    NASA Astrophysics Data System (ADS)

    Seok, Ogyun; Ha, Min-Woo; Kang, In Ho; Kim, Hyoung Woo; Kim, Dong Young; Bahng, Wook

    2018-06-01

    The effects of a trench profile and self-aligned ion implantation on the electrical characteristics of 1.2 kV 4H-SiC trench MOSFETs employing a bottom protection p-well (BPW) were investigated to improve blocking capability by simulation studies. The trench profile and thickness of a SiO2 spacer during self-aligned ion implantation for BPW affect electrons flow through a trench gate as well as E-field concentration at the gate insulator on a trench bottom. At trench angle higher than 84° and a SiO2 spacer thicker than 0.2 µm showed that the Al concentration penetrated into the trench sidewall during ion implantation is less than 0.3% in comparison with the background doping concentration in a drift region. Under the optimum conditions with a trench angle of 90° and 0.2-µm-thick SiO2 spacer, a high breakdown voltage of 1.45 kV with a low E-field peak in the gate insulator was achieved.

  2. Trench formation in <110> silicon for millimeter-wave switching device

    NASA Astrophysics Data System (ADS)

    Datta, P.; Kumar, Praveen; Nag, Manoj; Bhattacharya, D. K.; Khosla, Y. P.; Dahiya, K. K.; Singh, D. V.; Venkateswaran, R.; Kumar, Devender; Kesavan, R.

    1999-11-01

    Anisotropic etching using alkaline solution has been adopted to form trenches in silicon while fabricating surface oriented bulk window SPST switches. An array pattern has been etched on silicon with good control on depth of trenches. KOH-water solution is seen to yield a poor surface finish. Use of too much of additive like isopropyl alcohol improves the surface condition but distorts the array pattern due to loss of anisotropy. However, controlled use of this additive during the last phase of trench etching is found to produce trenched arrays with desired depth, improved surface finish and minimum distortion of lateral dimensions.

  3. Batching alternatives for Phase I retrieval wastes to be processed in WRAP Module 1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mayancsik, B.A.

    1994-10-13

    During the next two decades, the transuranic (TRU) waste now stored in the 200 Area burial trenches and storage buildings is to be retrieved, processed in the Waste Receiving and Processing (WRAP) Module 1 facility, and shipped to a final disposal facility. The purpose of this document is to identify the criteria that can be used to batch suspect TRU waste, currently in retrievable storage, for processing through the WRAP Module 1 facility. These criteria are then used to generate a batch plan for Phase 1 Retrieval operations, which will retrieve the waste located in Trench 4C-04 of the 200more » West Area burial ground. The reasons for batching wastes for processing in WRAP Module 1 include reducing the exposure of workers and the environment to hazardous material and ionizing radiation; maximizing the efficiency of the retrieval, processing, and disposal processes by reducing costs, time, and space throughout the process; reducing analytical sampling and analysis; and reducing the amount of cleanup and decontamination between process runs. The criteria selected for batching the drums of retrieved waste entering WRAP Module 1 are based on the available records for the wastes sent to storage as well as knowledge of the processes that generated these wastes. The batching criteria identified in this document include the following: waste generator; type of process used to generate or package the waste; physical waste form; content of hazardous/dangerous chemicals in the waste; radiochemical type and quantity of waste; drum weight; and special waste types. These criteria were applied to the waste drums currently stored in Trench 4C-04. At least one batching scheme is shown for each of the criteria listed above.« less

  4. Phoenix Deepens Trenches on Mars (3D)

    NASA Technical Reports Server (NTRS)

    2008-01-01

    The Surface Stereo Imager on NASA's Phoenix Mars Lander took this anaglyph on Oct. 21, 2008, during the 145th Martian day, or sol. Phoenix landed on Mars' northern plains on May 25, 2008.

    The trench on the upper left, called 'Dodo-Goldilocks,' is about 38 centimeters (15 inches) long and 4 centimeters (1.5 inches) deep. The trench on the right, called 'Upper Cupboard,' is about 60 centimeters (24 inches) long and 3 centimeters (1 inch) deep. The trench in the lower middle is called 'Stone Soup.'

    The Phoenix mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  5. Snow White Trench Prepared for Sample Collection

    NASA Technical Reports Server (NTRS)

    2008-01-01

    The informally named 'Snow White' trench is the source for the next sample to be acquired by NASA's Phoenix Mars Lander for analysis by the wet chemistry lab.

    The Surface Stereo Imager on Phoenix took this shadow-enhanced image of the trench, on the eastern end of Phoenix's work area, on Sol 103, or the 103rd day of the mission, Sept. 8, 2008. The trench is about 23 centimeters (9 inches) wide.

    The wet chemistry lab is part of Phoenix's Microscopy, Electrochemistry and Conductivity suite of instruments.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  6. Diode having trenches in a semiconductor region

    DOEpatents

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  7. Deaths from trench cave-in in the construction industry.

    PubMed

    Suruda, A; Smith, G; Baker, S P

    1988-07-01

    At least 70 US construction workers die each year in trench cave-ins, and Occupational Safety and Health Administration (OSHA) standards for work in trenches have been criticized as hard to understand and inadequate. This study examined 306 fatal cases, obtained mainly from OSHA investigations, from 1974 to 1986. Most of the deaths occurred in shallow trenches while digging sewer lines, and were caused by failing to shore or brace the walls of the trench. The risk of cave-in death was higher in young workers and those in small firms; only 12% of the deaths were in unionized companies. OSHA issued citations in 94% of the cases, with fines ranging up to $58,400; the average fine was $1,991 per death. Death due to cave-in is a significant risk for construction workers, and can be prevented by proper protective measures.

  8. Recent Radiation Test Results for Trench Power MOSFETs

    NASA Technical Reports Server (NTRS)

    Lauenstein, Jean-Marie; Casey, Megan C.; Wilcox, Edward P.; Phan, Anthony M.; Kim, Hak S.; Topper, Alyson D.; Ladbury, Raymond L.; Label, Kenneth A.

    2017-01-01

    Single-event effect (SEE) radiation test results are presented for various trench-gate power MOSFETs. The heavy-ion response of the first (and only) radiation-hardened trench-gate power MOSFET is evaluated: the manufacturer SEE response curve is verified and importantly, no localized dosing effects are measured, distinguishing it from other, non-hardened trench-gate power MOSFETs. Evaluations are made of n-type commercial and both n- and p-type automotive grade trench-gate device using ions comparable to of those on the low linear energy transfer (LET) side of the iron knee of the galactic cosmic ray spectrum, to explore suitability of these parts for missions with higher risk tolerance and shorter duration, such as CubeSats. Part-to-part variability of SEE threshold suggests testing with larger sample sizes and applying more aggressive derating to avoid on-orbit failures. The n-type devices yielded expected localized dosing effects including when irradiated in an unbiased (0-V) configuration, adding to the challenge of inserting these parts into space flight missions.

  9. Solution speciation of plutonium and Americium at an Australian legacy radioactive waste disposal site.

    PubMed

    Ikeda-Ohno, Atsushi; Harrison, Jennifer J; Thiruvoth, Sangeeth; Wilsher, Kerry; Wong, Henri K Y; Johansen, Mathew P; Waite, T David; Payne, Timothy E

    2014-09-02

    During the 1960s, radioactive waste containing small amounts of plutonium (Pu) and americium (Am) was disposed in shallow trenches at the Little Forest Burial Ground (LFBG), located near the southern suburbs of Sydney, Australia. Because of periodic saturation and overflowing of the former disposal trenches, Pu and Am have been transferred from the buried wastes into the surrounding surface soils. The presence of readily detected amounts of Pu and Am in the trench waters provides a unique opportunity to study their aqueous speciation under environmentally relevant conditions. This study aims to comprehensively investigate the chemical speciation of Pu and Am in the trench water by combining fluoride coprecipitation, solvent extraction, particle size fractionation, and thermochemical modeling. The predominant oxidation states of dissolved Pu and Am species were found to be Pu(IV) and Am(III), and large proportions of both actinides (Pu, 97.7%; Am, 86.8%) were associated with mobile colloids in the submicron size range. On the basis of this information, possible management options are assessed.

  10. Large-scale trench-perpendicular mantle flow beneath northern Chile

    NASA Astrophysics Data System (ADS)

    Reiss, M. C.; Rumpker, G.; Woelbern, I.

    2017-12-01

    We investigate the anisotropic properties of the forearc region of the central Andean margin by analyzing shear-wave splitting from teleseismic and local earthquakes from the Nazca slab. The data stems from the Integrated Plate boundary Observatory Chile (IPOC) located in northern Chile, covering an approximately 120 km wide coastal strip between 17°-25° S with an average station spacing of 60 km. With partly over ten years of data, this data set is uniquely suited to address the long-standing debate about the mantle flow field at the South American margin and in particular whether the flow field beneath the slab is parallel or perpendicular to the trench. Our measurements yield two distinct anisotropic layers. The teleseismic measurements show a change of fast polarizations directions from North to South along the trench ranging from parallel to subparallel to the absolute plate motion and, given the geometry of absolute plate motion and strike of the trench, mostly perpendicular to the trench. Shear-wave splitting from local earthquakes shows fast polarizations roughly aligned trench-parallel but exhibit short-scale variations which are indicative of a relatively shallow source. Comparisons between fast polarization directions and the strike of the local fault systems yield a good agreement. We use forward modelling to test the influence of the upper layer on the teleseismic measurements. We show that the observed variations of teleseismic measurements along the trench are caused by the anisotropy in the upper layer. Accordingly, the mantle layer is best characterized by an anisotropic fast axes parallel to the absolute plate motion which is roughly trench-perpendicular. This anisotropy is likely caused by a combination of crystallographic preferred orientation of the mantle mineral olivine as fossilized anisotropy in the slab and entrained flow beneath the slab. We interpret the upper anisotropic layer to be confined to the crust of the overriding continental

  11. Trench Reveals Two Faces of Soils

    NASA Technical Reports Server (NTRS)

    2004-01-01

    This approximate true-color image mosaic from the panoramic camera on the Mars Exploration Rover Opportunity shows a trench dug by the rover in the vicinity of the 'Anatolia' region. Two imprints from the rover's Mossbauer spectrometer instrument were left in the exposed soils. Detailed comparisons between soils exposed at the surface and those found at depth reveal that surface soils have higher levels of hematite while subsurface soils show fine particles derived from basalt. The trench is approximately 11 centimeters deep. This image was taken on sol 81 with the panoramic camera's 430-, 530- and 750-nanometer filters.

  12. Hot spot and trench volcano separations

    NASA Technical Reports Server (NTRS)

    Lingenfelter, R. E.; Schubert, G.

    1974-01-01

    It is suggested that the distribution of separations between trench volcanos located along subduction zones reflects the depth of partial melting, and that the separation distribution for hot spot volcanoes near spreading centers provides a measure of the depth of mantle convection cells. It is further proposed that the lateral dimensions of mantle convection cells are also represented by the hot-spot separations (rather than by ridge-trench distances) and that a break in the distribution of hot spot separations at 3000 km is evidence for both whole mantle convection and a deep thermal plume origin of hot spots.

  13. Deepest Trenching at Phoenix Site on Mars

    NASA Technical Reports Server (NTRS)

    2008-01-01

    NASA's Phoenix Mars Lander widened the deepest trench it has excavated, dubbed 'Stone Soup,' (in the lower half of this image) to collect a sample from about 18 centimeters (7 inches) below the surface for analysis by the lander's wet chemistry laboratory.

    Phoenix's Surface Stereo Imager took this image on Sol 95 (Aug. 30, 2008), the 95th Martian day since landing. For scale, the rock to the right of the Stone Soup trench is about 15 centimeters (6 inches) across. The lander's robotic arm scooped up a sample from the left half of the trench for delivery the following sol to the wet chemistry laboratory.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  14. Water movement in the unsaturated zone at a low-level radioactive-waste burial site near Barnwell, South Carolina

    USGS Publications Warehouse

    Dennehy, K.F.; McMahon, P.B.

    1987-01-01

    Four unsaturated zone monitoring sites and a meteorologic station were installed at the low level radioactive waste burial site near Barnwell, South Carolina, to investigate the geohydrologic and climatologic factors affecting water movement in the unsaturated zone. The study site is located in the Atlantic Coastal Plain. The unsaturated zone consists of a few centimeters to > 1 m of surface sand, underlain by up to 15 m of clayey sand. Two monitoring sites were installed in experimental trenches and two were installed in radioactive waste trenches. Two different trench designs were evaluated at the monitoring sites. A meteorologic station was used to measure precipitation and to calculate actual evapotranspiration using the Bowen ratio method. Soil-moisture tensiometers, soil-moisture conductance probes, and temperature sensors were used to monitor soil-water movement in and adjacent to the trenches. Tracer tests using sodium chloride were conducted at each monitoring site. Data collection at the monitoring sites began in January 1982 and continued until early May 1984. Tensiometer data show that the unsaturated materials had their highest percent saturations in the winter and spring. Saturations in the backfill sand varied from 20 to 100%. They varied from about 75 to 100% in the adjacent undisturbed and overlying compacted clayey sand. Additionally, because tensiometer data indicate negligible water storage changes in the unsaturated zone, it is estimated that approximately 43 cm of recharge reached the water table. During 1984, the rise and fall of ponded water in an experimental trench was continuously monitored with a digital recorder. A cross-sectional finite element model of variably saturated flow was used to test the conceptual model of water movement in the unsaturated zone and to illustrate the effect of trench design on water movement into the experimental trenches. Monitoring and model results show that precipitation on trenches infiltrated the trench

  15. Trench-breaching afterslip following deeper coseismic slip of the 2012 Mw 7.6 Costa Rica earthquake constrained by near-trench pressure and land-based geodetic observations

    NASA Astrophysics Data System (ADS)

    Sun, Tianhaozhe; Davis, Earl E.; Wang, Kelin; Jiang, Yan

    2017-12-01

    Large rupture of the shallowest portion of subduction thrust faults (megathrusts), such as during the 2011 moment magnitude (Mw) 9.0 Tohoku-oki earthquake, can generate the most devastating tsunamis. However, it remains unclear whether such trench-breaching rupture is typical of other subduction earthquakes. The main difficulty in answering this question is the common lack of near-trench geodetic monitoring in subduction zones worldwide. Seafloor and sub-seafloor fluid pressure measurements at two closely located borehole observatories in the Middle America trench have provided clear evidence for the absence of trench-breaching rupture during the 2012 Mw 7.6 Costa Rica earthquake, and for the presence of substantial trench-breaching afterslip at slow rates after the rupture (Davis et al., 2015). In this study, we compare postseismic seafloor pressure change at the trench with coastal Global Navigation Satellite System (GNSS) displacements. The same temporal characteristics of the deformation at the trench and coastal sites indicate that both offshore and onshore deformation were the consequence of afterslip that occurred over a wide spatial range updip of the rupture. By determining the co- and post-seismic slip distributions and inferring the associated shear stress changes on the megathrust, we show that the mechanical behaviour varies in the dip direction. The slip behaviour of the shallow megathrust at Costa Rica is consistent with conventional conceptual models, and contrasts with the behaviour of the shallowest megathrust during the Tohoku-oki event.

  16. Plume Delineation in the BC Cribs and Trenches Area

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rucker, Dale F.; Sweeney, Mark D.

    2004-11-30

    HydroGEOPHYSICS, Inc. and Pacific Northwest National Laboratory (PNNL) were contracted by Fluor Hanford Group, Inc. to conduct a geophysical investigation in the area of the BC Cribs and Trenches (subject site) at the Hanford Site in Richland, Washington. The BC Cribs and Trenches are located south of the 200 East Area. This document provides the details of the investigation to identify existing infrastructure from legacy disposal activities and to delineate the edges of a groundwater plume that contains radiological and heavy metal constituents beneath the 216-B-26 and 216-B-52 Trenches, and the 216-B-14 through 216-B-19 Cribs.

  17. Steady film flow over a substrate with rectangular trenches forming air inclusions

    NASA Astrophysics Data System (ADS)

    Varchanis, S.; Dimakopoulos, Y.; Tsamopoulos, J.

    2017-12-01

    Film flow along an inclined, solid substrate featuring periodic rectangular trenches may either completely wet the trench floor (Wenzel state) or get pinned on the entrance and exit corners of the trench (Cassie state) or assume other configurations in between these two extremes. Such intermediate configurations are examined in the present study. They are bounded by a second gas-liquid interface inside the trench, which adheres to its walls forming two three-phase contact lines, and encloses a different amount of air under different physical conditions. The Galerkin finite-element method is used to solve the Navier-Stokes equations in a physical domain, which is adaptively remeshed. Multiple steady solutions, connected by turning points and transcritical bifurcations as well as isolated solution branches, are revealed by pseudo-arc-length continuation. Two possible configurations of a single air inclusion inside the trench are examined: the inclusion either surrounds the upstream convex corner or is attached to the upstream trench wall. The penetration of the liquid inside the trench is enhanced primarily by increasing either the wettability of the substrate or capillary over viscous forces or by decreasing the flow rate. Flow hysteresis may occur when the liquid wetting of the upstream wall decreases abruptly, leading to drastically different flow patterns for the same parameter values. The interplay of inertia, viscous, gravity, and capillary forces along with substrate wettability determines the volume of the air encapsulated in the trench and the extent of deformation of the outer free surface.

  18. Trench Advance By the Subduction of Buoyant Features - Application to the Izu-Bonin-Marianas Arc

    NASA Astrophysics Data System (ADS)

    Goes, S. D. B.; Fourel, L.; Morra, G.

    2014-12-01

    Most subduction trenches retreat, not only today but throughout the Cenozoic. However, a few trenches clearly advance during part of the evolution, including Izu-Bonin Marianas (IBM) and Kermadec. Trench retreat is well understood as a basic consequence of slab pull, but it is debated what causes trench advance. The IBM trench underwent a complex evolution: right after its initiation, it rotated clockwise, leading to very fast retreat in the north and slow retreat in the south. But since 10-15 Ma, IBM trench motions have switched to advance at the southern end, and since 5 Ma also the northern end is advancing. Based on 2-D subduction models, it has been proposed proposed that the change in age of the subducting plate at the IBM trench (from 40-70 m.y. at the initiation of the trench 45 m.y. ago to 100-140 m.y. lithosphere subducting at the trench today) and its effect on plate strength could explain the transition from trench retreat to trench advance, and that the age gradient (younger in the north and older in the south) could explain the rotation of the trench. However, with new 3-D coupled fluid-solid subduction model where we can include such lateral age gradients, we find that this does not yield the observed behaviour. Instead, we propose an alternative mechanism, involving the subduction of the buoyant Caroline Island Ridge at the southern edge of the Mariana trench and show that it can explain both trench motion history and the current morphology of the IBM slab as imaged by seismic tomography.

  19. 46. BASE OF UMBILICAL MAST FROM UMBILICAL MAST TRENCH. ERECTION ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    46. BASE OF UMBILICAL MAST FROM UMBILICAL MAST TRENCH. ERECTION AND RETRACTION CYLINDERS BETWEEN MAST AND TRENCH WALL. - Vandenberg Air Force Base, Space Launch Complex 3, Launch Pad 3 East, Napa & Alden Roads, Lompoc, Santa Barbara County, CA

  20. Observation of water mass characteristics in the southwestern Mariana Trench

    NASA Astrophysics Data System (ADS)

    Xu, H.; Xie, Q.; Hong, B.

    2016-12-01

    The identification of large water mass characteristic can help oceanographer to better understand the oceanic circulation structures and other physical processes in open oceans. In current stage, the water mass characteristics were recognized well by extensive observation in the upper ocean, however, it was rarely studied in deep oceans, especially for deep trench with > 6000 m depth. In this study, we use observed data collected by CTDs during several surveys to investigate the water mass physical characteristic and transport in the world deepest trench, `Challenger Deep', in the southwestern Mariana Trench. The preliminary results show complex vertical structures of water mass in this trench. From surface to 4500 m, the water masses are occupied by typical tropical surface water, NPTUW, NPMW, NPIW and NPDW. Under 4500m, the water mass shows mixing characteristics of NPDW and AABW, which indicate AABW can be transported by form the deep ocean of the South Ocean to Northwestern Pacific and it can affect local water mass characteristics. The baroclinic geostrophic current calculated from the CTDs data shows the westerly transport of water mass can reach about 1.0 SV in the trench which is close to previous results.

  1. Lander Trench Dug by Opportunity

    NASA Image and Video Library

    2015-01-27

    On March 20, 2004, NASA Mars Exploration Rover Opportunity used a wheel to dig a trench revealing subsurface material beside the lander hardware that carried the rover to the surface of Mars 55 Martian days earlier.

  2. Thin seam miner/trench mining concepts for Illinois Basin surface coal mines

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caudle, R.D.; Lall, V.

    1985-07-01

    A hybrid surface/underground mining concept, trench-auger mining is an attempt to increase the depth to which coal seams can be surface mined economically by reducing the amount of overburden which must be removed and reclaimed. In this concept the coal seam is first exposed by digging a series of parallel trenches 400 to 1200 ft apart with conventional surface mining equipment. After surface mining the coal from the bottom of the trench, the coal under the surface between the trenches would be extracted with extended-depth augers, operating from the bottoms of the trenches. The RSV Mining Equipment Co. of Hollandmore » has developed a Thin Seam Miner (TSM). The TSM is essentially a remotely controlled, continuous underground mining machine. The hydraulically driven drum cutter head and coal handling auger flights can be operated from a distance outside the underground mine workings. The purpose of this study is to develop and evaluate Thin Seam Miner/Trench Mining (TSM/TM) concepts for use under conditions existing in the Illinois Coal Basin.« less

  3. Impact of Scots pine (Pinus sylvestris L.) plantings on long term (137)Cs and (90)Sr recycling from a waste burial site in the Chernobyl Red Forest.

    PubMed

    Thiry, Yves; Colle, Claude; Yoschenko, Vasyl; Levchuk, Svjatoslav; Van Hees, May; Hurtevent, Pierre; Kashparov, Valery

    2009-12-01

    Plantings of Scots pine (Pinus sylvestris L.) on a waste burial site in the Chernobyl Red Forest was shown to greatly influence the long term redistribution of radioactivity contained in sub-surfaces trenches. After 15 years of growth, aboveground biomass of the average tree growing on waste trench no.22 had accumulated 1.7 times more (137)Cs than that of trees growing off the trench, and 5.4 times more (90)Sr. At the scale of the trench and according to an average tree density of 3300 trees/ha for the study zone, tree contamination would correspond to 0.024% of the (137)Cs and 2.52% of the (90)Sr contained in the buried waste material. A quantitative description of the radionuclide cycling showed a potential for trees to annually extract up to 0.82% of the (90)Sr pool in the trench and 0.0038% of the (137)Cs. A preferential (90)Sr uptake from the deep soil is envisioned while pine roots would take up (137)Cs mostly from less contaminated shallow soil layers. The current upward flux of (90)Sr through vegetation appeared at least equal to downward loss in waste material leaching as reported by Dewiere et al. (2004, Journal of Environmental Radioactivity 74, 139-150). Using a prospective calculation model, we estimated that maximum (90)Sr cycling can be expected to occur at 40 years post-planting, resulting in 12% of the current (90)Sr content in the trench transferred to surface soils through biomass turnover and 7% stored in tree biomass. These results are preliminary, although based on accurate methodology. A more integrated ecosystem study leading to the coupling between biological and geochemical models of radionuclide cycling within the Red Forest seems opportune. Such a study would help in the adequate management of that new forest and the waste trenches upon which they reside.

  4. Snow White Trench After Scraping

    NASA Image and Video Library

    2008-07-24

    This view from the Surface Stereo Imager on NASA Phoenix Mars Lander shows the trench informally named Snow White after a series of scrapings were done in preparation for collecting a sample for analysis from a hard subsurface layer.

  5. A close-range photogrammetric technique for mapping neotectonic features in trenches

    USGS Publications Warehouse

    Fairer, G.M.; Whitney, J.W.; Coe, J.A.

    1989-01-01

    Close-range photogrammetric techniques and newly available computerized plotting equipment were used to map exploratory trench walls that expose Quaternary faults in the vicinity of Yucca Mountain, Nevada. Small-scale structural, lithologic, and stratigraphic features can be rapidly mapped by the photogrammetric method. This method is more accurate and significantly more rapid than conventional trench-mapping methods, and the analytical plotter is capable of producing cartographic definition of high resolution when detailed trench maps are necessary. -from Authors

  6. Hanford solid-waste handling facility strategy

    NASA Astrophysics Data System (ADS)

    Albaugh, J. F.

    1982-05-01

    Prior to 1970, transuranic (TRU) solid waste was disposed of at Hanford by shallow land burial. Since 1970, TRU solid waste has been stored in near surface trenches designed to facilitate retrieval after twenty year storage period. Current strategy calls for final disposal in a geologic repository. Funding permitting, in 1983, certification of newly generated TRU waste to the Waste Isolation Pilot Plant (WIPP) criteria for geologic disposal will be initiated. Certified and uncertified waste will continue to be stored at Hanford in retrievable storage until a firm schedule for shipment to WIPP is developed. Previously stored wastes retrieved for geologic disposal and newly generated uncertified waste requires processing to assure compliance with disposal criteria. A facility to perform this function is being developed. A study to determine the requirements of this Waste Receiving and Processing (WRAP) Facility is currently being conducted.

  7. Morning Frost in Trench Dug by Phoenix, Sol 113 (False Color)

    NASA Technical Reports Server (NTRS)

    2008-01-01

    This image from the Surface Stereo Imager on NASA's Phoenix Mars Lander shows morning frost inside the 'Snow White' trench dug by the lander, in addition to subsurface ice exposed by use of a rasp on the floor of the trench.

    The camera took this image at about 9 a.m. local solar time during the 113th Martian day of the mission (Sept. 18, 2008). Bright material near and below the four-by-four set of rasp holes in the upper half of the image is water-ice exposed by rasping and scraping in the trench earlier the same morning. Other bright material especially around the edges of the trench, is frost. Earlier in the mission, when the sun stayed above the horizon all night, morning frost was not evident in the trench.

    This image is presented in false color that enhances the visibility of the frost.

    The trench is 4 to 5 centimeters (about 2 inches) deep, about 23 centimeters (9 inches) wide.

    Phoenix landed on a Martian arctic plain on May 25, 2008. The mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is led by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development was by Lockheed Martin Space Systems, Denver.

  8. Chemical Characteristics of Seawater and Sediment in the Yap Trench

    NASA Astrophysics Data System (ADS)

    Ding, H.; Sun, C.; Yang, G.

    2017-12-01

    In June 2016, seawater samples at sediment-seawater interface and sediment samples were collected by the he Jiaolong, China's manned submersible, at four sampling sites located in the Yap Trench. Seawater samples from different depths of the trench were also collected by CTD. Chemical parameters, including pH, alkanility, concentrations of dissolved inorganic carbon, dissolved and total organic carbon, methane, dimethylsulfoniopropionate, nutrients, carbohydrates, and amino acids were analyzed in the seawater samples. Concentrations of total organic carbon, six constant elements and nine trace elements were determined in the sediment samples. All the vertical profiles of the chemical parameters in the seawater have unique characteristics. Our resluts also showed that the carbonate compensation depth (CCD) was between 4500 m and 5000 m in the trench. The hadal sediment at 6500 m depth under the CCD line was siliceous ooze favored for the burial of orgaic carbon, attributed to accumulation of surface sediment by gravity flow. The abyssal sediment at the 4500 m depth was calcareous ooze. Various microfossils, such as discoasters and diatoms, were identified in different sediment layers of the sediment samples.Based on the ratios of Fe/Al and Ti/Al, and the correlation between different elements, the sediment in the Yap Trench were derived from biogenic, terrestrial, volcanic and autogenic sources. The ratios of Ni/Co and V/Cr showed that the deposition environment of the trench should be oxidative, arributed to inflow of the Antractic bottom oxygen-rich seawater.The high concentraiont of Ca in the sediment from the station 371-Yap-S02 below 4 cm depth indicated that there was no large-scale volcanic eruption in the research area and the volcanic materials in the sediment might orginated from the Mariana Volcanic Arc, and the Carolyn Ridge has been slowly sinking on the east side of the trench due to plate subduction. This study is the first systematic study of

  9. Water and tritium movement through the unsaturated zone at a low-level radioactive-waste disposal site near Sheffield, Illinois, 1981-85

    USGS Publications Warehouse

    Mills, Patrick C.; Healy, Richard W.

    1993-01-01

    The movement of water and tritium through the unsaturated zone was studied at a low-level radioactive-waste disposal site near Sheffield, Bureau County, Illinois, from 1981 to 1985. Water and tritium movement occurred in an annual, seasonally timed cycle; recharge to the saturated zone generally occurred in the spring and early summer. Mean annual precipitation (1982-85) was 871 mm (millimeters); mean annual recharge to the disposal trenches (July 1982 through June 1984) was estimated to be 107 mm. Average annual tritium flux below the study trenches was estimated to be 3.4 mCi/yr (millicuries per year). Site geology, climate, and waste-disposal practices influenced the spatial and temporal variability of water and tritium movement. Of the components of the water budget, evapotranspiration contributed most to the temporal variability of water and tritium movement. Disposal trenches are constructed in complexly layered glacial and postglacial deposits that average 17 m (meters) in thickness and overlie a thick sequence of Pennsylvanian shale. The horizontal saturated hydraulic conductivity of the clayey-silt to sand-sized glacial and postglacial deposits ranges from 4.8x10 -1 to 3.4x10 4 mm/d (millimeters per day). A 120-m-long horizontal tunnel provided access for hydrologic measurements and collection of sediment and water samples from the unsaturated and saturated geologic deposits below four disposal trenches. Trench-cover and subtrench deposits were monitored with soil-moisture tensiometers, vacuum and gravity lysimeters, piezometers, and a nuclear soil-moisture gage. A cross-sectional, numerical ground-water-flow model was used to simulate water movement in the variably saturated geologic deposits in the tunnel area. Concurrent studies at the site provided water-budget data for estimating recharge to the disposal trenches. Vertical water movement directly above the trenches was impeded by a zone of compaction within the clayey-silt trench covers. Water entered

  10. Water and tritium movement through the unsaturated zone at a low-level radioactive-waste disposal site near Sheffield, Illinois, 1981-85

    USGS Publications Warehouse

    Mills, Patrick C.; Healy, R.W.

    1991-01-01

    The movement of water and tritium through the unsaturated zone was studied at a low-level radioactive-waste disposal site near Sheffield, Bureau County, Illinois, from 1981 to 1985. Water and tritium movement occurred in an annual, seasonally timed cycle; recharge to the saturated zone generally occurred in the spring and early summer. Mean annual precipitation (1982-85) was 871 millimeters; mean annual recharge to the disposal trenches (July 1982 through June 1984) was estimated to be 107 millimeters. Average annual tritium flux below the study trenches was estimated to be 3.4 millicuries per year. Site geology, climate, and waste-disposal practices influenced the spatial and temporal variability of water and tritium movement. Of the components of the water budget, evapotranspiration contributed most to the temporal variability of water and tritium movement. Disposal trenches are constructed in complexly layered glacial and postglacial deposits that average 17 meters in thickness and overlie a thick sequence of Pennsylvanian shale. The horizontal saturated hydraulic conductivity of the clayey-silt to sand-sized glacial and postglacial deposits ranges from 4.8x10^-1 to 3.4x10^4 millimeters per day. A 120-meter-long horizontal tunnel provided access for hydrologic measurements and collection of sediment and water samples from the unsaturated and saturated geologic deposits below four disposal trenches. Trench-cover and subtrench deposits were monitored with soil-moisture tensiometers, vacuum and gravity lysimeters, piezometers, and a nuclear soil-moisture gage. A cross-sectional, numerical ground-water-flow model was used to simulate water movement in the variably saturated geologic deposits in the tunnel area. Concurrent studies at the site provided water-budget data for estimating recharge to the disposal trenches. Vertical water movement directly above the trenches was impeded by a zone of compaction within the clayey-silt trench covers. Water entered the

  11. Near-trench slip potential of megaquakes evaluated from fault properties and conditions

    PubMed Central

    Hirono, Tetsuro; Tsuda, Kenichi; Tanikawa, Wataru; Ampuero, Jean-Paul; Shibazaki, Bunichiro; Kinoshita, Masataka; Mori, James J.

    2016-01-01

    Near-trench slip during large megathrust earthquakes (megaquakes) is an important factor in the generation of destructive tsunamis. We proposed a new approach to assessing the near-trench slip potential quantitatively by integrating laboratory-derived properties of fault materials and simulations of fault weakening and rupture propagation. Although the permeability of the sandy Nankai Trough materials are higher than that of the clayey materials from the Japan Trench, dynamic weakening by thermally pressurized fluid is greater at the Nankai Trough owing to higher friction, although initially overpressured fluid at the Nankai Trough restrains the fault weakening. Dynamic rupture simulations reproduced the large slip near the trench observed in the 2011 Tohoku-oki earthquake and predicted the possibility of a large slip of over 30 m for the impending megaquake at the Nankai Trough. Our integrative approach is applicable globally to subduction zones as a novel tool for the prediction of extreme tsunami-producing near-trench slip. PMID:27321861

  12. Novel vertical silicon photodiodes based on salicided polysilicon trenched contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaminski, Yelena; TowerJazz Ltd. Migdal Haemek; Shauly, Eitan

    2015-12-07

    The classical concept of silicon photodiodes comprises of a planar design characterized by heavily doped emitters. Such geometry has low collection efficiency of the photons absorbed close to the surface. An alternative, promising, approach is to use a vertical design. Nevertheless, realization of such design is technologically challenged, hence hardly explored. Herein, a novel type of silicon photodiodes, based on salicided polysilicon trenched contacts, is presented. These contacts can be prepared up to 10 μm in depth, without showing any leakage current associated with the increase in the contact area. Consequently, the trenched photodiodes revealed better performance than no-trench photodiodes. Amore » simple two dimensional model was developed, allowing to estimate the conditions under which a vertical design has the potential to have better performance than that of a planar design. At large, the deeper the trench is, the better is the vertical design relative to the planar (up to 10 μm for silicon). The vertical design is more advantageous for materials characterized by short diffusion lengths of the carriers. Salicided polysilicon trenched contacts open new opportunities for the design of solar cells and image sensors. For example, these contacts may passivate high contact area buried contacts, by virtue of the conformity of polysilicon interlayer, thus lowering the via resistance induced recombination enhancement effect.« less

  13. Geological setting of the Concordia Trench-Lake system in East Antarctica

    NASA Astrophysics Data System (ADS)

    Cianfarra, P.; Forieri, A.; Salvini, F.; Tabacco, I. E.; Zirizotti, A.

    2009-06-01

    This study presents the interpretation of radio echo-sounding (RES) data collected during the 2003 geophysical campaign of PNRA (Italian National Research Project in Antarctica), which focused on the exploration of the Concordia Trench-Lake system in East Antarctica. The data allow us to identify a new lake (ITL-28) at the southern edge of the Concordia Trench and a series of N-S trending subglacial troughs cutting through the Belgica Highlands. We have mapped the bedrock morphology at 3 km resolution, which led to an improved geographical and geomorphological characterization of the Concordia Trench, Concordia Ridge, Concordia Lake and South Hills. Improved knowledge of the Concordia Trench allowed us to model the 3-D geometry of the Concordia fault, suggesting that it played a role in governing the morpho-tectonic evolution of the bedrock in the Dome C region, and to propose a Cenozoic age for its activity. We recognize the importance of catchment basin morphology in hosting subglacial lakes, and discuss the role played by tectonics, glacial scouring and volcanism in the origin of the trench lakes, basin lakes and relief lakes, respectively.

  14. Photomosaics and Logs of Trenches on the San Andreas Fault near Coachella, California

    USGS Publications Warehouse

    Philibosian, Belle; Fumal, Thomas E.; Weldon, Ray J.; Kendrick, Katherine J.; Scharer, Katherine M.; Bemis, Sean P.; Burgette, Reed J.; Wisely, Beth A.

    2009-01-01

    Valley in southern California east of Dillon Road and south of Avenue 44. Three benched trenches, a total of more than 950 m, were excavated across the fault zone as part of an Alquist-Priolo fault investigation study. These trenches exposed a thick section of latest Holocene lacustrine, fluvial, and shoreline deposits. Only the central and eastern trenches exposed faulting so we confined our investigations to those two trenches. In the central trench, we photographed and logged in detail both walls of about 70 m of the trench where it spanned several zones of complex faulting which form a 15-m-wide depression. After carefully cleaning the trench walls, we put up a 1- by 0.5-m string- and nail-grid. We photographed each 1- by 0.5-m panel individually and then photologged features directly on these unrectified photos. The photos were digitally rectified later to remove distortion caused by irregularities in the trench walls and to correct the slight distortion introduced by the camera lens. The rectified photos were spliced together to make photomosaics of the trench walls. Most of the field linework and descriptions were then transferred to the rectified photomosaics. For the eastern trench, we took a set of overview photographs of the full length (about 200 m) of each wall. These photographs were taken from the top of the trench towards the opposite wall. Because the photographs were taken at a downward angle, there is significant distortion. We logged directly on these photos in the field, recording significant contacts, primarily between lacustrine and subaerial deposits, along with descriptions. For this report, we spliced together these unrectified overview photos and transfered field linework and some descriptions. For both trenches, contacts and lithologhic descriptions of stratigraphic units, faults and carbon sample locations are indicated on the photomosaics. Lacustrine deposits are tinted to better show deformation across the fault zones. Evidence for

  15. Community structure and diversity of scavenging amphipods from bathyal to hadal depths in three South Pacific Trenches

    NASA Astrophysics Data System (ADS)

    Lacey, Nichola C.; Rowden, Ashley A.; Clark, Malcolm R.; Kilgallen, Niamh M.; Linley, Thomas; Mayor, Dan J.; Jamieson, Alan J.

    2016-05-01

    There are few biological datasets that span large bathymetric ranges with sufficient resolution to identify trends across the abyssal and hadal transition zone, particularly over multiple trenches. Here, scavenging Amphipoda were collected from three trenches in the South Pacific Ocean at bathyal to hadal depths. Diversity and community structure were examined from stations within the Kermadec Trench (1490-9908 m) and New Hebrides Trench (2000-6948 m) and additional data were included from the South Fiji Basin (4000 m) and Peru-Chile Trench (4602-8074 m). The hadal community structure of the Kermadec and New Hebrides trenches were distinct from the surrounding abyssal and bathyal depths and correlated to hydrostatic pressure and POC flux. Low POC flux in the New Hebrides Trench and South Fiji Basin best explained the dissimilarity in abyssal community structure from those of the disparate Kermadec and Peru-Chile trenches. POC flux also best explained patterns in hadal community structure with the Kermadec and New Hebrides Trench communities showing greater similarity to each other than to the eutrophic Peru-Chile Trench. Hydrostatic pressure was the strongest driver of intra-trench assemblage composition in all trench environments. A unimodal pattern of species diversity, peaking between 4000 and 5000 m, was best explained by hydrostatic pressure and temperature.

  16. Hydrology of the Melton Valley radioactive-waste burial grounds at Oak Ridge National Laboratory, Tennessee

    USGS Publications Warehouse

    Webster, D.A.; Bradley, Michael W.

    1988-01-01

    Burial grounds 4, 5, and 6 of the Melton Valley Radioactive-waste Burial Grounds, Oak Ridge, TN, were used sequentially from 1951 to the present for the disposal of solid, low level radioactive waste by burial in shallow trenches and auger holes. Abundant rainfall, a generally thin unsaturated zone, geologic media of inherently low permeability, and the operational practices employed have contributed to partial saturation of the buried waste, leaching of radionuclides, and transport of dissolved matter from the burial areas. Two primary methods of movement of wastes from these sites are transport in groundwater, and the overflow of fluid in trenches and subsequent flow across land surface. Whiteoak Creek and its tributaries receive all overland flow from trench spillage, surface runoff from each site, and discharge of groundwater from the regolith of each site. Potentiometric data, locally, indicate that this drainage system also receives groundwater discharges from the bedrock of burial ground 5. By projection of the bedrock flow patterns characteristic of this site to other areas of Melton Valley, it is inferred that discharges from the bedrock underlying burial grounds 4 and 6 also is to the Whiteoak Creek drainage system. The differences in potentiometric heads and a comparatively thin saturated zone in bedrock do not favor the development of deep flow through bedrock from one river system to another. (USGS)

  17. Pad 39B Flame Trench Brick Work

    NASA Image and Video Library

    2016-10-26

    A construction worker with J.P. Donovan of Rockledge, Florida, checks to make sure new heat-resistant bricks attached to the north side of the flame trench are level at Launch Pad 39B at NASA’s Kennedy Space Center in Florida. The Pad B flame trench is being refurbished to support the launch of NASA’s Space Launch System rocket. The Ground Systems Development and Operations (GSDO) Program at Kennedy is helping transform the space center into a multi-user spaceport and prepare for Exploration Mission 1, deep space missions, and NASA's Journey to Mars. For more information about GSDO, visit: http://www.nasa.gov/groundsystems.

  18. 300 Area process trench sediment analysis report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zimmerman, M.G.; Kossik, C.D.

    1987-12-01

    This report describes the results of a sampling program for the sediments underlying the Process Trenches serving the 300 Area on the Hanford reservation. These Process Trenches were the subject of a Closure Plan submitted to the Washington State Department of Ecology and to the US Environmental Protection Agency in lieu of a Part B permit application on November 8, 1985. The closure plan described a proposed sampling plan for the underlying sediments and potential remedial actions to be determined by the sample analyses results. The results and proposed remedial action plan are presented and discussed in this report. 50more » refs., 6 figs., 8 tabs.« less

  19. Meiofauna hotspot in the Atacama Trench, eastern South Pacific Ocean

    NASA Astrophysics Data System (ADS)

    Danovaro, R.; Gambi, C.; Della Croce, N.

    2002-05-01

    Meiofaunal assemblages were investigated (in terms of abundance, biomass, individual size and community structure) at bathyal and hadal depths (from 1050 to 7800 m) in the Atacama Trench in the upwelling sector of the eastern South Pacific Ocean, in relation to the distribution and availability of potential food sources (phytopigments, biochemical compounds and bacterial biomass) in this highly productive region. Meiofaunal density and biomass in the Atacama Trench were one to two orders of magnitude higher than values reported in other "oligotrophic" hadal systems. The Atacama Trench presented very high concentrations of nutritionally rich organic matter at 7800-m depth and displayed characteristics typical of eutrophic systems. Surprisingly, despite a decrease in chlorophyll- a and organic matter concentrations of about 50% from bathyal to hadal depths, meiofaunal abundance in hadal sediments was 10-fold higher than at bathyal depths. As indicated by the higher protein to carbohydrate ratio observed in trench sediments, the extraordinarily high meiofaunal density reported in the Atacama Trench was more dependent upon organic matter quality than on its quantity. The trophic richness of the system was reflected by a shift of the size structure of the benthic organisms. In contrast with typical trends of deep-sea systems, the ratio of bacterial to meiofaunal biomass decreased with increasing depth and, in the Atacama Trench, meiofaunal biomass largely dominated total benthic biomass. Nematodes at 7800-m depth accounted for more than 80% of total density and about 50% of total meiofaunal biomass. In hadal sediments a clear meiofaunal dwarfism was observed: the individual body size of nematodes and other taxa was reduced by 30-40% compared to individuals collected at bathyal depths. The peculiarity of this trophic-rich system allows rejection of previous hypotheses, which explained deep-sea dwarfism by the extremely oligotrophic conditions typical of deep-sea regions.

  20. First Dodo Trench with White Layer Visible in Dig Area

    NASA Technical Reports Server (NTRS)

    2008-01-01

    These color images were taken by NASA's Phoenix Mars Lander's Stereo Surface Imager on the ninth Martian day of the mission, or Sol 9 (June 3, 2008). The images of the trench shows a white layer that has been uncovered by the Robotic Arm (RA) scoop and is now visible in the wall of the trench. This trench was the first one dug by the RA to understand the Martian soil and plan the digging strategy.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  1. Heterogeneous structure of the incoming plate in the Japan Trench

    NASA Astrophysics Data System (ADS)

    Nakamura, Y.; Fujie, G.; Yamaguchi, A.; Kodaira, S.; Miura, S.

    2017-12-01

    We have conducted seismic surveys in around the Japan Trench subduction zone, northeastern Japan, to investigate the structural features of the incoming Pacific plate and the frontal prism. Thickness of the hemiplegic sediments on the deposited on the incoming Pacific plate shows the variation along trench axis between 200 and 600 ms two-way travel time (TWT). This is remarkably thinner than other subduction zones with megathrust earthquakes like Sumatra subduction zone. Off Miyagi, central part of the Japan Trench which is the main ruptured region of 2011 Tohoku earthquake, has 200 - 300 ms TWT of the incoming sediments thickness. Off Iwate, northern part of the Japan Trench, has thicker incoming sediments 500 ms TWT, and Off Fukushima, southern part of the Japan Trench, has 300 - 400 ms TWT. We found at least three areas with anomalously thin sediments; Area I: 38N 145N, Area II: 39.5N 144.5E, Area III: 39N 144.5N. At the Area I, located on the outer rise off Miyagi, the receiver function analysis using Ocean Bottom Seismograph data revealed the existence of PS conversion surfaces below the interpreted basement on the seismic sections. This implies that the interface between sediments and the igneous basement is located below the interpreted basement reflections. Previous studies suggested the existence of the petit spots in this Area I. Area II shows apparently very thin sediments near the trench axis on seismic profiles, where the petit spot volcanism was observed. Shallow sediment sampling conducted in this area indicates no major surface erosion. These observations suggest that the petit spot volcanism, like sill intrusion, masked the original deeper basement reflections and caused the apparent thin sediments on seismic profiles. Area III also has thin sediments and rough basement topography, which has possibly been caused by another petit spot activity. Petit spot area with apparent very thin sediments in the trench axis (Area II) is located next to the

  2. The effect of trench width on the behavior of buried rigid pipes

    NASA Astrophysics Data System (ADS)

    Balkaya, Müge; Saǧlamer, Ahmet

    2014-12-01

    In this study, in order to determine the effect of trench width (Bd) on the behavior of buried rigid pipes, a concrete pipe having an outside diameter of 150 cm and wall thickness (t) of 15 cm was analyzed using 2D PLAXIS finite element program. In the analyses, three different trench widths (Bd = 2.20 m, 3.40 m, and 4.40 m) were modeled. The results of the analyses indicated that, as the width of the trench increases, the axial force, shear force, bending moment, effective normal stress, and the earth load acting on the pipe increased. The variations of the loads acting on the pipe due to the increasing trench widths were also evaluated using the Marston load theory. When the loads calculated by the Marston Load Theory and the finite element analysis were compared with each other, it was seen that the Marston Load Theory resulted in slightly higher load values than the finite element analysis. On the other hand, for the two methods, the loads acting on the pipe increased with increasing trench width.

  3. HAZWOPER work plan and site safety and health plan for the Alpha characterization project at the solid waste storage area 4 bathtubbing trench at Oak Ridge National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Not Available

    1994-07-01

    This work plan/site safety and health plan is for the alpha sampling project at the Solid Waste Storage Area 4 bathtubbing trench. The work will be conducted by the Oak Ridge National Laboratory (ORNL) Environmental Sciences Division and associated ORNL environmental, safety, and health support groups. This activity will fall under the scope of 29 CFR 1910.120, Hazardous Waste Operations and Emergency Response (HAZWOPER). The purpose of this document is to establish health and safety guidelines to be followed by all personnel involved in conducting work for this project. Work will be conducted in accordance with requirements as stipulated inmore » the ORNL HAZWOPER Program Manual and applicable ORNL; Martin Marietta Energy Systems, Inc.; and U.S. Department of Energy policies and procedures. The levels of protection and the procedures specified in this plan are based on the best information available from historical data and preliminary evaluations of the area. Therefore, these recommendations represent the minimum health and safety requirements to be observed by all personnel engaged in this project. Unforeseeable site conditions or changes in scope of work may warrant a reassessment of the stated protection levels and controls. All adjustments to the plan must have prior approval by the safety and health disciplines signing the original plan.« less

  4. The medical response to trench nephritis in World War One.

    PubMed

    Atenstaedt, R L

    2006-08-01

    Around the 90-year anniversary of the Battle of the Somme, it is important to remember the international effort that went into responding to the new diseases, which appeared during the First World War, such as trench nephritis. This condition arose among soldiers in spring 1915, characterized by breathlessness, swelling of the face or legs, headache, sore throat, and the presence of albumin and renal casts in urine. It was speedily investigated by the military-medical authorities. There was debate over whether it was new condition or streptococcal nephritis, and the experts agreed that it was a new condition. The major etiologies proposed were infection, exposure, and diet (including poisons). Research pointed to the origin of the disease as being infective rather than toxic, but no definite cause was discovered. A number of labels were given to the disease, including war nephritis. However, trench nephritis was the one used most widely. Trench nephritis was a serious problem for the Allies, leading to 35 000 casualties in the British and 2000 in the American forces. There were also hundreds of deaths. The condition was treated in line with pre-war regimens designed for acute nephritis. No significant preventative methods were implemented for trench nephritis, as there was no consensus regarding causation. The medical response to trench nephritis was largely ineffective, with medical commentators recognizing that there had been a lack of medical progress.

  5. An elastic-perfectly plastic analysis of the bending of the lithosphere at a trench

    NASA Technical Reports Server (NTRS)

    Turcotte, D. L.; Mcadoo, D. C.; Caldwell, J. G.

    1978-01-01

    A number of authors have modeled the flexure of the lithosphere at an oceanic trench using a thin elastic plate with a hydrostatic restoring force. In some cases good agreement with observed topography is obtained but in other cases the slope of the lithosphere within the trench is greater than that predicted by the elastic theory. In this paper the bending of a thin plate is considered using an elastic-perfectly plastic rheology. It is found that the lithosphere behaves elastically seaward of the trench, but that plasticity decreases the radius of curvature within the trench. The results are compared with a number of observed trench profiles. The elastic-perfectly plastic profiles are in excellent agreement with those profiles that deviate from elastic behavior.

  6. Deep-Sea Trench Microbiology Down to 10.9 Kilometers Below the Surface

    NASA Astrophysics Data System (ADS)

    Bartlett, D. H.

    2012-12-01

    Deep-sea trenches, extending to more than 10.9 km below the sea surface, are among the most remote and infrequently sampled habitats. As a result a global perspective of microbial diversity and adaptation is lacking in these extreme settings. I will present the results of studies of deep-sea trench microbes collected in the Puerto Rico Trench (PRT), Tonga Trench, New Britain Trench and Mariana Trench. The samples collected include sediment, seawater and animals in baited traps. The analyses to be described include microbial community activity and viability measurements as a function of hydrostatic pressure, microbial culturing at high pressure under various physiological conditions, phylogenetics and metagenome and single-cell genome characterizations. Most of the results to date stem from samples recovered from the PRT. The deep-sea PRT Trench microbes have more in common at the species level with other deep-sea microbial communities previously characterized in the Pacific Ocean and the Mediterranean Sea than with the microbial populations above them in shallow waters. They also harbor larger genomes with more genes assigned to signal transduction, transcription, replication, recombination and repair and inorganic ion transport. The overrepresented transporters in the PRT metagenome include di- and tri-carboxylate transporters that correspond to the prevailing catabolic processes such as butanoate, glyoxylate and dicarboxylate metabolism. A surprisingly high abundance of sulfatases for the degradation of sulfated polysaccharides were also present in the PRT. But, perhaps the most dramatic adaptational feature of the PRT microbes is heavy metal resistance, as reflected in the high numbers of metal efflux systems present. Single-cell genomics approaches have proven particularly useful for placing PRT metagenomic data into context.

  7. Corrective Action Decision Document for Corrective Action Unit 428: Area 3 Septic Waste Systems 1 and 5, Tonopah Test Range, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    U.S. Department of Energy, Nevada Operations Office

    2000-02-08

    This Corrective Action Decision Document identifies and rationalizes the US Department of Energy, Nevada Operations Office's selection of a recommended corrective action alternative (CAA) appropriate to facilitate the closure of Corrective Action Unit (CAU) 428, Septic Waste Systems 1 and 5, under the Federal Facility Agreement and Consent Order. Located in Area 3 at the Tonopah Test Range (TTR) in Nevada, CAU 428 is comprised of two Corrective Action Sites (CASs): (1) CAS 03-05-002-SW01, Septic Waste System 1 and (2) CAS 03-05-002- SW05, Septic Waste System 5. A corrective action investigation performed in 1999 detected analyte concentrations that exceeded preliminarymore » action levels; specifically, contaminants of concern (COCs) included benzo(a) pyrene in a septic tank integrity sample associated with Septic Tank 33-1A of Septic Waste System 1, and arsenic in a soil sample associated with Septic Waste System 5. During this investigation, three Corrective Action Objectives (CAOs) were identified to prevent or mitigate exposure to contents of the septic tanks and distribution box, to subsurface soil containing COCs, and the spread of COCs beyond the CAU. Based on these CAOs, a review of existing data, future use, and current operations in Area 3 of the TTR, three CAAs were developed for consideration: Alternative 1 - No Further Action; Alternative 2 - Closure in Place with Administrative Controls; and Alternative 3 - Clean Closure by Excavation and Disposal. These alternatives were evaluated based on four general corrective action standards and five remedy selection decision factors. Based on the results of the evaluation, the preferred CAA was Alternative 3. This alternative meets all applicable state and federal regulations for closure of the site and will eliminate potential future exposure pathways to the contaminated soils at the Area 3 Septic Waste Systems 1 and 5.« less

  8. The importance of trenching in paleoseismic studies in Venezuela: brief historical summary

    NASA Astrophysics Data System (ADS)

    Murria, J.

    2009-04-01

    Paleoseismic studies have proved to be a powerful tool in seismic hazards assessment by he contributing to asses the seismogenic potential of a given fault by expanding the time window o seismic activity beyond the limits of historical and instrumental seismicity. Trenching has been an essential tool for paleoseismic studies in Venezuela. The first paleoseismic trenching project in Venezuela goes back to 1968 when Compania Shell de Venezuela retained the services of Woodward Clyde and Associates,(WCA), USA to asses the seismic integrity of the earthen dikes of Costa Oriental of Lake Maracaibo Protection System (COLM) in western Venezuela. The study was carried out under the general coordination of this author. An important part of this project included a seismology and seismic geology study under the direction of Geologist L.S. Cluff. This was the first ever study undertaken in Venezuela and included two excavations across the Oca Fault north of the city of Maracaibo. After several years of inactivity, FUNVISIS (The Venezuelan Foundation for Seismological Research) carried out in 1980 a seismic hazard (SHA) for the Uribante Caparo Hydroelectric Project southwest Venezuela. In 1989, MARAVEN, an operating company of PETROLE0S DE VENEUELA S.A. (PDVSA) undertook the project of a products pipeline form Maracaibo to El Vigia, south of Lake Maracaibo. Again, FUNVISIS was contracted to carry out a SHA, which included the digging of 5 trenches, which were supplemented with five more trenches for the COLM project. Trenching activity contined in Venezuela in the framework of SHA project, under the direction of Dr. Audemard. In 2001 Venezuelan trenching experience was exported to the neighboring Colombia as e Dr. Audemard t undertook a palaoseismicity project which included the digging of five trenches. In conclusion, paleoseismicty studies have given us the possibility of extending the scope of both instrumental and historical seismicity by some 10,000 years, trenching has

  9. [A laboratory and field study on the disposal of domestic waste water based on soil permeation].

    PubMed

    Yamaura, G

    1989-02-01

    The present study was conducted to get information necessary for the disposal of domestic waste water by soil permeation. The clarifying ability of soil was examined by conducting laboratory experiments using soil columns and making inquiries about practical disposal facilities based on soil permeation using trenches. In the column experiment, soil columns were prepared by packing polyvinyl chloride pipes with volcanic-ash loam, river sand, or an equivolume mixture of both, and secondary effluent of domestic waste water was poured into each soil column at a daily rate of 100 l/m2. In this experiment, loam and sand loam, both containing fine silt and clay, gave BOD removals of over 95% when the influent BOD load per 1 m3 of soil was less than 10 g/d and gave the coliform group removals of 100% when the influent coliform group load per 1 m3 soil was less than 10(9)/d. Loam and sand loam gave T-P removals of over 90%. The P adsorption capacity of soil was limited to less than 12% of the absorption coefficient of phosphoric acid. All the soils gave low T-N removals, mostly less than 50%. The trench disposal gave high removals of 90-97% for BOD, 90-97% for T-P, and 94-99% for the coliform group but low removals of 11-49% for T-N, showing a trend similar to that of the column disposal. Thus, we can roughly estimate the effectiveness of actual soil permeation disposal from the results of the column experiments. In the waste water permeation region, the extent of waste water permeation exceeded 700 cm horizontally from the trench, but the waste water load within 100 cm laterally from the trench occupied 60.3% of the total. The concentrations of T-C and T-N at almost all observation spots in the permeation region were lower than in the control region, and were not caused to accumulate in soil by waste water loading. In contrast, T-P was accumulated concentratively in the depth range from 50-100 cm right below the trench. The conditions for effective disposal of domestic

  10. Color Image of Snow White Trenches and Scraping

    NASA Technical Reports Server (NTRS)

    2008-01-01

    This image was acquired by NASA's Phoenix Mars Lander's Surface Stereo Imager on the 31st Martian day of the mission, or Sol 31 (June 26, 2008), after the May 25, 2008 landing. This image shows the trenches informally called 'Snow White 1' (left), 'Snow White 2' (right), and within the Snow White 2 trench, the smaller scraping area called 'Snow White 3.' The Snow White 3 scraped area is about 5 centimeters (2 inches) deep. The dug and scraped areas are within the diggiing site called 'Wonderland.'

    The Snow White trenches and scraping prove that scientists can take surface soil samples, subsurface soil samples, and icy samples all from one unit. Scientists want to test samples to determine if some ice in the soil may have been liquid in the past during warmer climate cycles.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is led by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver

  11. 53. VIEW FROM FLOOR OF MAST TRENCH SHOWING BASE OF ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    53. VIEW FROM FLOOR OF MAST TRENCH SHOWING BASE OF ERECT UMBILICAL MAST. AIR-CONDITIONING DUCTS VISIBLE ON RIGHT SIDE OF MAST. HYDRAULIC ACTUATOR ARMS FOR OPENING TRENCH DOORS VISIBLE ON LEFT SIDE OF PHOTO. 'DOOR STOP' PEDESTAL IN FOREGROUND. - Vandenberg Air Force Base, Space Launch Complex 3, Launch Pad 3 West, Napa & Alden Roads, Lompoc, Santa Barbara County, CA

  12. High Density Faraday Cup Array or Other Open Trench Structures and Method of Manufacture Thereof

    NASA Technical Reports Server (NTRS)

    Gilchrist, Kristin Hedgepath (Inventor); Bower, Christopher A. (Inventor); Stoner, Brian R. (Inventor)

    2014-01-01

    A detector array and method for making the detector array. The detector array includes a substrate including a plurality of trenches formed therein, and a plurality of collectors electrically isolated from each other, formed on the walls of the trenches, and configured to collect charged particles incident on respective ones of the collectors and to output from the collectors signals indicative of charged particle collection. In the detector array, adjacent ones of the plurality of trenches are disposed in a staggered configuration relative to one another. The method forms in a substrate a plurality of trenches across a surface of the substrate such that adjacent ones of the trenches are in a staggered sequence relative to one another, forms in the plurality of trenches a plurality of collectors, and connects a plurality of electrodes respectively to the collectors.

  13. Trench Inserts as Long-term Barriers to Root Transmission for Control of Oak Wilt

    Treesearch

    A. Dan Wilson; D.G. Lester

    2002-01-01

    Physical and chemical barriers to root penetration and root grafting across trenches were evaluated for their effectiveness in improving trenches as barriers to root transmission of the oak wilt fungus in live oaks. Four trench insert materials were tested, including water-permeable Typar and Biobarrier, and water-impermeable Geomembranc of two thicknesses....

  14. Hadal disturbance in the Japan Trench induced by the 2011 Tohoku–Oki Earthquake

    PubMed Central

    Oguri, Kazumasa; Kawamura, Kiichiro; Sakaguchi, Arito; Toyofuku, Takashi; Kasaya, Takafumi; Murayama, Masafumi; Fujikura, Katsunori; Glud, Ronnie N.; Kitazato, Hiroshi

    2013-01-01

    In situ video observations and sediment core samplings were performed at two hadal sites in the Japan Trench on July, 2011, four months after the Tohoku–Oki earthquake. Video recordings documented dense nepheloid layers extending ~30–50 m above the sea bed. At the trench axis, benthic macrofauna was absent and dead organisms along with turbid downslope current were observed. The top 31 cm of sediment in the trench axis revealed three recent depositions events characterized by elevated 137Cs levels and alternating sediment densities. At 4.9 km seaward from the trench axis, little deposition was observed but the surface sediment contained 134Cs from the Fukushima Dai–ichi nuclear disaster. We argue that diatom blooms observed by remote sensing facilitated rapid deposition of 134Cs to hadal environment and the aftershocks induced successive sediment disturbances and maintained dense nepheloid layers in the trench even four months after the mainshock. PMID:23715086

  15. Evapotranspiration Cover for the 92-Acre Area Retired Mixed Waste Pits:Interim CQA Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    The Delphi Groupe, Inc., and J. A. Cesare and Associates, Inc.

    This Interim Construction Quality Assurance (CQA) Report is for the 92-Acre Evapotranspiration Cover, Area 5 Waste Management Division (WMD) Retired Mixed Waste Pits, Nevada National Security Site, Nevada for the period of January 20, 2011 to May 12, 2011. This Interim Construction Quality Assurance (CQA) Report is for the 92-Acre Evapotranspiration Cover, Area 5 Waste Management Division (WMD) Retired Mixed Waste Pits, Nevada National Security Site, Nevada for the period of January 20, 2011 to May 12, 2011. Construction was approved by the Nevada Division of Environmental Protection (NDEP) under the Approval of Corrective Action Decision Document/Corrective Action Plan (CADD/CAP)more » for Corrective Action Unit (CAU) 111: Area 5 WMD Retired Mixed Waste Pits, Nevada National Security Site, Nevada, on January 6, 2011, pursuant to Subpart XII.8a of the Federal Facility Agreement and Consent Order. The project is located in Area 5 of the Radioactive Waste Management Complex (RWMC) at the Nevada National Security Site (NNSS), formerly known as the Nevada Test Site, located in southern Nevada, approximately 65 miles northwest of Las Vegas, Nevada, in Nye County. The project site, in Area 5, is located in a topographically closed basin approximately 14 additional miles north of Mercury Nevada, in the north-central part of Frenchman Flat. The Area 5 RWMS uses engineered shallow-land burial cells to dispose of packaged waste. The 92-Acre Area encompasses the southern portion of the Area 5 RWMS, which has been designated for the first final closure operations. This area contains 13 Greater Confinement Disposal (GCD) boreholes, 16 narrow trenches, and 9 broader pits. With the exception of two active pits (P03 and P06), all trenches and pits in the 92-Acre Area had operational covers approximately 2.4 meters thick, at a minimum, in most areas when this project began. The units within the 92-Acre Area are grouped into the following six informal categories based on physical

  16. New Seafloor Map of the Puerto Rico Trench Helps Assess Earthquake and Tsunami Hazards

    NASA Astrophysics Data System (ADS)

    ten Brink, Uri; Danforth, William; Polloni, Christopher; Andrews, Brian; Llanes, Pilar; Smith, Shepard; Parker, Eugene; Uozumi, Toshihiko

    2004-09-01

    The Puerto Rico Trench, the deepest part of the Atlantic Ocean, is located where the North American (NOAM) plate is subducting under the Caribbean plate (Figure 1). The trench region may pose significant seismic and tsunami hazards to Puerto Rico and the U.S. Virgin Islands, where 4 million U.S. citizens reside. Widespread damage in Puerto Rico and Hispaniola from an earthquake in 1787 was estimated to be the result of a magnitude 8 earthquake north of the islands. A tsunami killed 40 people in NW Puerto Rico following a magnitude 7.3 earthquake in 1918. Large landslide escarpments have been mapped on the seafloor north of Puerto Rico, although their ages are unknown. The Puerto Rico Trench is atypical of oceanic trenches. Subduction is highly oblique (10°-20°) to the trench axis with a large component of left-lateral strike-slip motion. Similar convergence geometry is observed at the Challenger Deep in the Mariana Trench, the deepest point on Earth. In addition to its extremely deep seafloor, the Puerto Rico Trench is also characterized by the most negative free-air gravity anomaly on Earth, -380 mGal, located 50 km south of the trench, where water depth is 7950 m (Figure 2). A tilted carbonate platform provides evidence for extreme vertical tectonism in the region. This platform was horizontally deposited over Cretaceous to Paleocene arc rocks starting in the Late Oligocene. Then, at 3.5 Ma, the carbonate platform was tilted by 4° toward the trench over a time period of less than 40 kyr, such that its northern edge is at a depth of 4000 m and its reconstructed elevation on land in Puerto Rico is at +1300 m (Figures 1 and 2).

  17. Post-Closure Inspection Report for the Tonopah Test Range, Nevada. For Calendar Year 2015, Revision 0

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matthews, Patrick; Petrello, Jaclyn

    This report provides the results of the annual post-closure inspections conducted at the closed corrective action units (CAUs) located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2015 and includes inspection and repair activities completed at the following CAUs; CAU 400: Bomblet Pit and Five Points Landfill (TTR); CAU 407: Roller Coaster RadSafe Area (TTR); CAU 424: Area 3 Landfill Complexes (TTR); CAU 453: Area 9 UXO Landfill (TTR); and CAU 487: Thunderwell Site (TTR) Inspections were conducted according to the post-closure plans in the approved closure reports and subsequent correspondence with the Nevada Division ofmore » Environmental Protection. The post-closure inspection plans and subsequent correspondence modifying the requirements for each CAU are included in Appendix B. The inspection checklists are included in Appendix C. Field notes are included in Appendix D. The annual post-closure inspections were conducted on May 12, 2015. Maintenance was required at CAU 453. Cracking along the north trench was repaired. One monument is missing at CAU 424; it will be replaced in 2016. Postings at CAUs 407, 424, 453, and 487 contain contact information for TTR Security. It was noted that protocols may not be in place to ensure that the U.S. Department of Energy, National Nuclear Security Administration Nevada Field Office (NNSA/NFO) is notified if access is needed at these sites. NNSA/NFO is working with the U.S. Air Force and Sandia to determine whether more appropriate contact information or new protocols are warranted for each CAU. Based on these inspections, there has not been a significant change in vegetation, and vegetation monitoring was not recommended at CAU 400 or CAU 407 in 2015.« less

  18. Large-scale trench-normal mantle flow beneath central South America

    NASA Astrophysics Data System (ADS)

    Reiss, M. C.; Rümpker, G.; Wölbern, I.

    2018-01-01

    We investigate the anisotropic properties of the fore-arc region of the central Andean margin between 17-25°S by analyzing shear-wave splitting from teleseismic and local earthquakes from the Nazca slab. With partly over ten years of recording time, the data set is uniquely suited to address the long-standing debate about the mantle flow field at the South American margin and in particular whether the flow field beneath the slab is parallel or perpendicular to the trench. Our measurements suggest two anisotropic layers located within the crust and mantle beneath the stations, respectively. The teleseismic measurements show a moderate change of fast polarizations from North to South along the trench ranging from parallel to subparallel to the absolute plate motion and, are oriented mostly perpendicular to the trench. Shear-wave splitting measurements from local earthquakes show fast polarizations roughly aligned trench-parallel but exhibit short-scale variations which are indicative of a relatively shallow origin. Comparisons between fast polarization directions from local earthquakes and the strike of the local fault systems yield a good agreement. To infer the parameters of the lower anisotropic layer we employ an inversion of the teleseismic waveforms based on two-layer models, where the anisotropy of the upper (crustal) layer is constrained by the results from the local splitting. The waveform inversion yields a mantle layer that is best characterized by a fast axis parallel to the absolute plate motion which is more-or-less perpendicular to the trench. This orientation is likely caused by a combination of the fossil crystallographic preferred orientation of olivine within the slab and entrained mantle flow beneath the slab. The anisotropy within the crust of the overriding continental plate is explained by the shape-preferred orientation of micro-cracks in relation to local fault zones which are oriented parallel to the overall strike of the Andean range. Our

  19. Pad 39B Flame Trench Brick Work

    NASA Image and Video Library

    2016-10-26

    Progress on the new brick walls of the north side of the flame trench at Launch Pad 39B is seen in a view from the top of the pad at NASA’s Kennedy Space Center in Florida. Construction workers with J.P. Donovan of Rockledge, Florida, continue to install new heat-resistant bricks on the concrete walls. The Pad B flame trench is being refurbished to support the launch of NASA’s Space Launch System rocket. The Ground Systems Development and Operations (GSDO) Program at Kennedy is helping transform the space center into a multi-user spaceport and prepare for Exploration Mission 1, deep-space missions, and the journey to Mars. For more information about GSDO, visit: http://www.nasa.gov/groundsystems.

  20. Beatty, Nevada: A section in U.S. Geological Survey research in radioactive waste disposal - Fiscal years 1986-1990 (WRI 91-4084)

    USGS Publications Warehouse

    Andraski, Brian J.; Fisher, Jeffrey M.; Prudic, David E.; Trask, N.J.; Stevens, P.R.

    1991-01-01

    A low-level radioactive-waste disposal facility in the Amargosa Desert of Nevada, about 17 km southeast of Beatty and 169 km northwest of Las Vegas, has been operating since 1962. This was the first commercially operated radioactive waste disposal facility in the United States. Wastes at the facility are emplaced in 2 to 15-m deep trenches and covered by backfilling with previously excavated materials. Annual precipitation in the area averages about 112 mm. Vegetation is sparse with creosote bush (Larrea tridentata) being the dominant species. Soils in the area are skeletal and are underlain by more than 170 m of unconsolidated alluvial-fan, fluvial, and ephemeral-lake deposits. Depth to water is about 85 m.Initial field investigations (1976-1980) included monitoring of soil-water content and water potential in an unvegetated soil profile, and collection of meteorological data at the disposal facility. Design of additional hydrogeologic investigations and long-term studies of soil-water movement in a vegetated soil profile began in 1982 and field data collection has been ongoing since 1984. Studies to evaluate the modifying effects of trench construction on the natural site environment and to determine changes in trench structural stability began in 1987. Design of studies to measure gas and vapor movement in the trenches at the facility began in 1989.

  1. How large is the fault slip at trench in the M=9 Tohoku-oki earthquake?

    NASA Astrophysics Data System (ADS)

    Wang, Kelin; Sun, Tianhaozhe; Fujiwara, Toshiya; Kodaira, Shuichi; He, Jiangheng

    2015-04-01

    It is widely known that coseismic slip breached the trench during the 2011 Mw=9 Tohoku-oki earthquake, responsible for generating a devastating tsunami. For understanding both the mechanics of megathrust rupture and the mechanism of tsunami generation, it is important to know how much fault slip actually occurred at the trench. But the answer has remained elusive because most of the data from this earthquake do not provide adequate near-trench resolution. Seafloor GPS sites were located > 30 km from the trench. Near-trench seafloor pressure records suffered from complex vertical deformation at local scales. Seismic inversion does not have adequate accuracy at the trench. Inversion of tsunami data is highly dependent on the parameterization of the fault near the trench. The severity of the issue is demonstrated by our compilation of rupture models for this earthquake published by ~40 research groups using multiple sets of coseismic observations. In the peak slip area, fault slip at the trench depicted by these models ranges from zero to >90 m. The faults in many models do not reach the trench because of simplification of fault geometry. In this study, we use high-resolution differential bathymetry, that is, bathymetric differences before and after the earthquake, to constrain coseismic slip at and near the trench along a corridor in the area of largest moment release. We use a 3D elastic finite element model including real fault geometry and surface topography to produce Synthetic Differential Bathymetry (SDB) and compare it with the observed differential bathymetry. Earthquakes induce bathymetric changes by shifting the sloping seafloor seaward and by warping the seafloor through internal deformation of rocks. These effects are simulated by our SDB modeling, except for the permanent formation of the upper plate which is like to be limited and localized. Bathymetry data were collected by JAMSTEC in 1999, 2004, and in 2011 right after the M=9 earthquake. Our SDB

  2. Trench foot: the medical response in the first World War 1914-18.

    PubMed

    Atenstaedt, Robert L

    2006-01-01

    The approaching 90-year anniversary of United States entry into the Great War is an apt time to examine the response to trench foot (now called nonfreezing cold injury [NFCI]) in this conflict. Trench foot appeared in the winter of 1914, characterized by pedal swelling, numbness, and pain. It was quickly recognized by military-medical authorities. There was little debate over whether it was frostbite or new condition, and it was quickly accepted as a specific disease. The major etiologies proposed were exposure, diet, and infection. The opinion emerged that it was caused by circulatory changes in the foot caused by cold, wet, and pressure. Predisposing factors included dietary inadequacy and fatigue. A number of labels were first given to the disease. However, the name "trench foot" was eventually officially sanctioned. Trench foot became a serious problem for the Allies, leading to 75 000 casualties in the British and 2000 in the American forces. Therapy for trench foot involved a number of conventional, tried-and-tested, and conservative methods. Some more innovative techniques were used. Amputation was only used as a last resort. Prevention involved general measures to improve the trench environment; modification of the footwear worn by the men; and the provision of greases to protect them from moisture. The medical reaction to this condition seems to have been relatively effective. The causation was identified, and prophylactic measures were introduced to fit this model; these seem to have been successful in reducing the prevalence of the condition by 1917-18.

  3. Performance Assessment of Hazardous Air Pollutant (HAP)Free Chemical Paint Strippers on Military Coatings for Validation to Federal Specification TT-R-2918A

    DTIC Science & Technology

    2016-03-01

    ARL-TN-0742 ● MAR 2016 US Army Research Laboratory Performance Assessment of Hazardous Air Pollutant (HAP)–Free Chemical Paint ...Free Chemical Paint Strippers on Military Coatings for Validation to Federal Specification TT-R-2918A by Lindsey Blohm Oak Ridge Institute for...COVERED (From - To) 1–30 April 2014 4. TITLE AND SUBTITLE Performance Assessment of Hazardous Air Pollutant (HAP)–Free Chemical Paint Strippers

  4. Vertical motions of the Puerto Rico Trench and Puerto Rico and their cause

    USGS Publications Warehouse

    ten Brink, Uri S.

    2005-01-01

    The Puerto Rico trench exhibits great water depth, an extremely low gravity anomaly, and a tilted carbonate platform between (reconstructed) elevations of +1300 m and -4000 m. I argue that these features are manifestations of large vertical movements of a segment of the Puerto Rico trench, its forearc, and the island of Puerto Rico that took place 3.3 m.y. ago over a time period as short as 14-40 kyr. I explain these vertical movements by a sudden increase in the slab's descent angle that caused the trench to subside and the island to rise. The increased dip could have been caused by shearing or even by a complete tear of the descending North American slab, although the exact nature of this deformation is unknown. The rapid (14-40 kyr) and uniform tilt along a 250 km long section of the trench is compatible with scales of mantle flow and plate bending. The proposed shear zone or tear is inferred from seismic, morphological, and gravity observations to start at the trench at 64.5??W and trend southwestwardly toward eastern Puerto Rico. The tensile stresses necessary to deform or tear the slab could have been generated by increased curvature of the trench following a counterclockwise rotation of the upper plate and by the subduction of a large seamount.

  5. Trench infiltration for managed aquifer recharge to permeable bedrock

    USGS Publications Warehouse

    Heilweil, V.M.; Watt, D.E.

    2011-01-01

    Managed aquifer recharge to permeable bedrock is increasingly being utilized to enhance resources and maintain sustainable groundwater development practices. One such target is the Navajo Sandstone, an extensive regional aquifer located throughout the Colorado Plateau of the western United States. Spreading-basin and bank-filtration projects along the sandstone outcrop's western edge in southwestern Utah have recently been implemented to meet growth-related water demands. This paper reports on a new cost-effective surface-infiltration technique utilizing trenches for enhancing managed aquifer recharge to permeable bedrock. A 48-day infiltration trench experiment on outcropping Navajo Sandstone was conducted to evaluate this alternative surface-spreading artificial recharge method. Final infiltration rates through the bottom of the trench were about 0.5 m/day. These infiltration rates were an order of magnitude higher than rates from a previous surface-spreading experiment at the same site. The higher rates were likely caused by a combination of factors including the removal of lower permeability soil and surficial caliche deposits, access to open vertical sandstone fractures, a reduction in physical clogging associated with silt and biofilm layers, minimizing viscosity effects by maintaining isothermal conditions, minimizing chemical clogging caused by carbonate mineral precipitation associated with algal photosynthesis, and diminished gas clogging associated with trapped air and biogenic gases. This pilot study illustrates the viability of trench infiltration for enhancing surface spreading of managed aquifer recharge to permeable bedrock. ?? 2010.

  6. SFTYCHEF: A Consultative, Diagnostic Expert System for Trench Excavation Safety Analysis on Light Commercial Construction Projects.

    DTIC Science & Technology

    1987-03-30

    Safe Trench Excavation ...... 2 Applicability to Solution via Expert System. 3 Background: Expert Systems ..................... 4 Definition of an...trench, drownings in the trench, and other mishaps which are the result of a lack of S C- proper consideration for safe construction practices. Although...the problem is not a new one, there is as yet no *" obvious method that will guarantee a safe trench. In addition, the expertise needed to provide case

  7. Chemical characteristics of hadal waters in the Izu-Ogasawara Trench of the western Pacific Ocean.

    PubMed

    Gamo, Toshitaka; Shitashima, Kiminori

    2018-01-01

    Vertical profiles of potential temperature, salinity, and some chemical components were obtained at a trench station (29°05'N, 142°51'E; depth = 9768 m) in the Izu-Ogasawara (Bonin) Trench in 1984 and 1994 to characterize the hadal waters below ∼6000 m depth. We compared portions of both the 1984 and 1994 profiles with nearby data obtained between 1976 and 2013. Results demonstrated that the hadal waters had slightly higher potential temperature and nitrate and lower dissolved oxygen than waters at sill depths (∼6000 m) outside the trench, probably due to the effective accumulation of geothermal heat and active biological processes inside the trench. The silicate, iron, and manganese profiles in 1984 showed slight but significant increases below ∼6000 m depth, suggesting that these components may have been intermittently supplied from the trench bottom. Significant amounts of 222 Rn in excess over 226 Ra were detected in the hadal waters up to 2675 m from the bottom, reflecting laterally supplied 222 Rn from the trench walls.

  8. Hanford Immobilized Low Activity Waste (ILAW) Performance Assessment 2001 Version [Formerly DOE/RL-97-69] [SEC 1 & 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    MANN, F.M.

    2000-08-01

    The Hanford Immobilized Low-Activity Waste Performance Assessment examines the long-term environmental and human health effects associated with the planned disposal of the vitrified low-activity fraction of waste presently contained in Hanford Site tanks. The tank waste is the byproduct of separating special nuclear materials from irradiated nuclear fuels over the past 50 years. This waste is stored in underground single- and double-shell tanks. The tank waste is to be retrieved, separated into low-activity and high-level fractions, and then immobilized by vitrification. The US. Department of Energy (DOE) plans to dispose of the low-activity fraction in the Hanford Site 200 Eastmore » Area. The high-level fraction will be stored at the Hanford Site until a national repository is approved. This report provides the site-specific long-term environmental information needed by the DOE to modify the current Disposal Authorization Statement for the Hanford Site that would allow the following: construction of disposal trenches; and filling of these trenches with ILAW containers and filler material with the intent to dispose of the containers.« less

  9. Water movement in the unsaturated zone at a low-level radioactive-waste burial site near Barnwell, South Carolina

    USGS Publications Warehouse

    Dennehy, Kevin F.; McMahon, Peter B.

    1989-01-01

    Four unsaturated-zone monitoring sites and a meteorologic station were installed at the low-level radioactive-waste burial site near Barnwell, S.C., to investigate the geohydrologic and climatologic factors affecting water movement in the unsaturated zone. The study site is located in the Atlantic Coastal Plain. The unsaturated zone consists of a few centimeters to more than 1 meter of surface sand, underlain by up to 15 meters of clayey sand. Two monitoring sites were installed in experimental trenches, and two were installed in radioactive-waste trenches. Two different trench designs were evaluated at the monitoring sites. A meteorologic station was used to measure precipitation and to calculate actual evapotranspiration using the Bowen ratio method. Soil-moisture tensiometers, soil-moisture conductance probes, and temperature sensors were used to monitor soil-water movement in and adjacent to the trenches. Tracer tests using sodium chloride were conducted at each monitoring site. Hydrologic properties of unsaturated-zone materials were also determined. Data collection at the monitoring sites began in January 1982 and continued until early May 1984. Tensiometer data show that the unsaturated materials had their highest percent saturations in winter and spring. Saturations in the backfill sand varied from 20 to 100 percent, and in the adjacent undisturbed and overlying compacted clayey sand, from about 75 to 100 percent. The same pattern generally was observed at all four monitoring sites. The tracer-test data indicate that water movement occurred mainly during the recharge period, winter and spring. The tracer-test results enabled computation of rates of unsaturated flow in the compacted clayey-sand cap, the compacted clayey-sand barrier, and the backfill sand. A micro-scale hydrologic budget was determined for an undisturbed part of the site from July 1983 through June 1984.Total precipitation was 144 centimeters, and actual evapotranspiration was 101

  10. In situ respiration measurements of megafauna in the Kermadec Trench

    NASA Astrophysics Data System (ADS)

    Nunnally, Clifton C.; Friedman, Jason R.; Drazen, Jeffrey C.

    2016-12-01

    The aim of this paper is to measure metabolic rates of megafauna living in depths greater than 6000 m. Echinoderms, actinarians and a polychaete were captured by remotely operated vehicle (ROV) and inserted into respiration chambers in situ at depths of 4049 m, 7140 m and 8074 m in the region of the Kermadec Trench SW Pacific Ocean. Hadal research has moved into a new frontier as technological improvements now allow for a meticulous investigation of trench ecology in depths greater than 6000 m. The development of an in situ respirometer for use in these studies was deployed in the Kermadec Trench to obtain the first ever rates of basal metabolic rates of hadal megafauna. Typical deep-sea experiments of individual animal physiology must deal with covarying factors of pressure, temperature, light and food supply in this study investigated the effects of pressure and increased food supply on overall animal metabolism. In the Kermadec Trench, holothurian respiration rates (n=4), 0.079±0.011 (mean±SE) μmol-O2 g-1 h-1, were higher than those captured at abyssal depths (n=2), 0.018±0.002 μmol-O2 g-1h-1, in the same region (p<0.001). When Q10 adjusted to a common temperature of 2.5 °C trench holothurian respiration rates ranged between 0.068 and 0.119 μmol-O2 g-1 h-1. Anemone respiration rates were remarkably similar between abyssal and hadal specimens, 0.110 and 0.111 μmol-O2 g-1 h-1, respectively. Our results on echinoderm respiration when corrected for temperature and mass fall below the slope regression when compared with other in situ measurements at shallower ocean depths.

  11. Accretionary processes along the Middle America Trench off Costa Rica

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shipley, T.H.; Stoffa, P.L.; McIntosh, K.

    1990-06-01

    The geometry of large-scale structures within modern accretionary prisms is known entirely from seismic reflection studies using single or grids of two-dimensional profiles. Off Costa Rica the authors collected a three-dimensional reflection data set covering a 9 km wide {times} 22 km long {times} 6 km thick volume of the accretionary prism just arcward of the Middle America Trench. The three-dimensional processing and ability to examine the prism as a volume has provided the means to map structures from a few hundred meters to kilometers in size with confidence. Reflections from within the prism define the gross structural features andmore » tectonic processes active along this particular portion of the Middle America Trench. So far in the analysis, these data illustrate the relationships between the basement, the prism shape, and overlying slope sedimentary deposits. For instance, the subducted basement relief (of several hundred meters amplitude) does seem to affect the larger scale through-going faults within the prism. Offscraping of the uppermost 45 m of sediments occurs within 4 km of the trench creating a small pile of sediments at the base of the trench. How this offscraped sediment is incorporated into the prism is still being investigated. Underplating of parts of the 400 m thick subducted section begin: at a very shallow structural level, 4 to 10 km arcward of the trench. Amplitude anomalies associated with some of the larger arcward dipping structures in the prism and surface mud volcanoes suggest that efficient fluid migration paths may extend from the top of the downgoing slab at the shelf edge out into the lower and middle slope region, a distance of 50 to 100 km.« less

  12. Sedimentation in the central segment of the Aleutian Trench: Sources, transport, and depositional style

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stevenson, A.J.; Scholl, D.W.; Vallier, T.L.

    1990-05-01

    The central segment of the Aleutian Trench (162{degree}W to 175{degree}E) is an intraoceanic subduction zone that contains an anomalously thick sedimentary fill (4 km maximum). The fill is an arcward-thickening and slightly tilted wedge of sediment characterized acoustically by laterally continuous, closely spaced, parallel reflectors. These relations are indicative of turbidite deposition. The trench floor and reflection horizons are planar, showing no evidence of an axial channel or any transverse fan bodies. Cores of surface sediment recover turbidite layers, implying that sediment transport and deposition occur via diffuse, sheetlike, fine-grained turbidite flows that occupy the full width of the trench.more » The mineralogy of Holocene trench sediments document a mixture of island-arc (dominant) and continental source terranes. GLORIA side-scan sonar images reveal a westward-flowing axial trench channel that conducts sediment to the eastern margin of the central segment, where channelized flow cases. Much of the sediment transported in this channel is derived from glaciated drainages surrounding the Gulf of Alaska which empty into the eastern trench segment via deep-sea channel systems (Surveyor and others) and submarine canyons (Hinchinbrook and others). Insular sediment transport is more difficult to define. GLORIA images show the efficiency with which the actively growing accretionary wedge impounds sediment that manages to cross a broad fore-arc terrace. It is likely that island-arc sediment reaches the trench either directly via air fall, via recycling of the accretionary prism, or via overtopping of the accretionary ridges by the upper parts of thick turbidite flows.« less

  13. Benthic Carbon Mineralization in Hadal Trenches: Insights From In Situ Determination of Benthic Oxygen Consumption

    NASA Astrophysics Data System (ADS)

    Luo, Min; Glud, Ronnie N.; Pan, Binbin; Wenzhöfer, Frank; Xu, Yunping; Lin, Gang; Chen, Duofu

    2018-03-01

    Hadal trenches have been proposed as depocenters of organic material and hot spots for organic matter mineralization. In this study, we for the first time quantified the total benthic O2 uptake in hadal trenches using in situ chamber incubations. Three trenches in the tropical Pacific were targeted and exhibited relatively high diagenetic activity given the great water depths, that is, the Mariana Trench (2.0 × 102 μmol O2 m-2 d-1, 10,853 m), the Mussau Trench (2.7 ± 0.1 × 102 μmol O2 m-2 d-1, 7,011 m), and the New Britain Trench (6.0 ± 0.1 × 102 μmol O2 m-2 d-1, 8,225 m). Combined with the analyses of total organic carbon and δ13C of total organic carbon in the sediments and previously published in situ O2 microprofiles from hadal settings, we suggest that hadal benthic carbon mineralization partly is governed by the surface production and also is linked to the distance from land. Therefore, we highlight that terrestrial organic matter can be of importance in sustaining benthic communities in some hadal settings.

  14. Spreading of the ocean floor: Undeformed sediments in the peru-chile trench

    USGS Publications Warehouse

    Scholl, D. W.; von Huene, Roland E.; Ridlon, J.B.

    1968-01-01

    None of the expected stratigraphic and structural effects of a spreading sea floor have been imposed on the sedimentary fill of the Peru-Chile Trench. During at least the last several million years, and perhaps during much of the Cenozoic, the trench has not been affected by an oceanic crust thrusting under the continent.

  15. Comparative treatment effectiveness of conventional trench and seepage pit systems.

    PubMed

    Field, J P; Farrell-Poe, K L; Walworth, J L

    2007-03-01

    On-site wastewater treatment systems can be a potential source of groundwater contamination in regions throughout the United States and other parts of the world. Here, we evaluate four conventional trench systems and four seepage pit systems to determine the relative effectiveness of these systems for the treatment of septic tank effluent in medium- to coarse-textured arid and semiarid soils. Soil borings were advanced up to twice the depth of the trenches (4 m) and seepage pits (15 m) at two horizontal distances (30 cm and 1.5 m) from the sidewalls of the systems. Soil samples were analyzed for various biological and chemical parameters, including Escherichia coli, total coliform, pH, total organic carbon, total dissolved solids, total nitrogen, ammonium-nitrogen, and nitrate-nitrogen. Most soil parameters investigated approached background levels more rapidly near the trenches than the seepage pits, as sampling distance increased both vertically and horizontally from the sidewalls of the systems.

  16. Partial liquid-penetration inside a deep trench by film flowing over it

    NASA Astrophysics Data System (ADS)

    Nguyen, Phuc-Khanh; Dimakopoulos, Yiannis; Tsamopoulos, John

    2014-11-01

    Liquid film flow along substrates featuring a deep trench may not wet the trench floor, but create a second gas-liquid interface inside the trench. The liquid penetration inside the trench depends on the location and shape of this inner interface. The penetration increases by decreasing the two three-phase contact lines between the inner interface and the two side-walls or the flow rate and depends on the liquid properties. This partial-penetration is studied by employing the Galerkin / finite element method to solve the two-dimensional steady-state Navier-Stokes equations in a physical domain that is adaptively remeshed. Multiple branches of steady solutions connected via turning points are revealed by pseudo arc-length continuation. Flow hysteresis may occur in a certain range of liquid penetration depth, when the interaction of the two interfaces changes qualitatively. This induces an abrupt jump of penetration distance and deformation amplitude of the outer interface. Work supported by the General Secretariat of Research & Technology of Greece through the program ``Excellence'' (Grant No. 1918) in the framework ``Education and Lifelong Learning'' co-funded by the ESF.

  17. Phoenix La Mancha Trench in 3-D

    NASA Image and Video Library

    2008-10-09

    This anaglyph was taken by NASA Phoenix Mars Lander Surface Stereo Imager Oct. 7, 2008. The anaglyph highlights the depth of the trench, informally named La Mancha, and reveals the ice layer beneath the soil surface. 3D glasses are necessary.

  18. Long-term safety assessment of trench-type surface repository at Chernobyl, Ukraine - computer model and comparison with results from simplified models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haverkamp, B.; Krone, J.; Shybetskyi, I.

    2013-07-01

    The Radioactive Waste Disposal Facility (RWDF) Buryakovka was constructed in 1986 as part of the intervention measures after the accident at Chernobyl NPP (ChNPP). Today, the surface repository for solid low and intermediate level waste (LILW) is still being operated but its maximum capacity is nearly reached. Long-existing plans for increasing the capacity of the facility shall be implemented in the framework of the European Commission INSC Programme (Instrument for Nuclear Safety Co-operation). Within the first phase of this project, DBE Technology GmbH prepared a safety analysis report of the facility in its current state (SAR) and a preliminary safetymore » analysis report (PSAR) for a future extended facility based on the planned enlargement. In addition to a detailed mathematical model, also simplified models have been developed to verify results of the former one and enhance confidence in the results. Comparison of the results show that - depending on the boundary conditions - simplifications like modeling the multi trench repository as one generic trench might have very limited influence on the overall results compared to the general uncertainties associated with respective long-term calculations. In addition to their value in regard to verification of more complex models which is important to increase confidence in the overall results, such simplified models can also offer the possibility to carry out time consuming calculations like probabilistic calculations or detailed sensitivity analysis in an economic manner. (authors)« less

  19. Seismic evidence for a slab tear at the Puerto Rico Trench

    NASA Astrophysics Data System (ADS)

    Meighan, Hallie E.; Pulliam, Jay; ten Brink, Uri; López-Venegas, Alberto M.

    2013-06-01

    fore-arc region of the northeast Caribbean plate north of Puerto Rico and the Virgin Islands has been the site of numerous seismic swarms since at least 1976. A 6 month deployment of five ocean bottom seismographs recorded two such tightly clustered swarms, along with additional events. Joint analyses of the ocean bottom seismographs and land-based seismic data reveal that the swarms are located at depths of 50-150 km. Focal mechanism solutions, found by jointly fitting P wave first-motion polarities and S/P amplitude ratios, indicate that the broadly distributed events outside the swarm generally have strike- and dip-slip mechanisms at depths of 50-100 km, while events at depths of 100-150 km have oblique mechanisms. A stress inversion reveals two distinct stress regimes: The slab segment east of 65°W longitude is dominated by trench-normal tensile stresses at shallower depths (50-100 km) and by trench-parallel tensile stresses at deeper depths (100-150 km), whereas the slab segment west of 65°W longitude has tensile stresses that are consistently trench normal throughout the depth range at which events were observed (50-100 km). The simple stress pattern in the western segment implies relatively straightforward subduction of an unimpeded slab, while the stress pattern observed in the eastern segment, shallow trench-normal tension and deeper trench-normal compression, is consistent with flexure of the slab due to rollback. These results support the hypothesis that the subducting North American plate is tearing at or near these swarms. The 35 year record of seismic swarms at this location and the recent increase in seismicity suggest that the tear is still propagating.

  20. Integrated optical refractometer based on bend waveguide with air trench structure

    NASA Astrophysics Data System (ADS)

    Ryu, Jin Hwa; Park, Jaehoon; Kang, Chan-mo; Son, Youngdal; Do, Lee-Mi; Baek, Kyu-Ha

    2015-07-01

    This study proposed a novel optical sensor based on a refractometer integrating a bend waveguide and a trench structure. The optical sensor is a planar lightwave circuit (PLC) device involving a bend waveguide with maximum optical loss. A trench structure was aligned with the partially exposed core layer's sidewall of the bend waveguide, providing a quantitative measurement condition. The insertion losses of the proposed 1 x 2 single-mode optical splitter-type sensor were 4.38 dB and 8.67 dB for the reference waveguide and sensing waveguide, respectively, at a wavelength of 1,550 nm. The optical loss of the sensing waveguide depends on the change in the refractive index of the material in contact with the trench, but the reference waveguide had stable optical propagating characteristic regardless of the variations of the refractive index.

  1. Plastic pollution of the Kuril-Kamchatka Trench area (NW pacific)

    NASA Astrophysics Data System (ADS)

    Fischer, Viola; Elsner, Nikolaus O.; Brenke, Nils; Schwabe, Enrico; Brandt, Angelika

    2015-01-01

    During the German-Russian expedition KuramBio (Kuril-Kamchatka Biodiversity Studies) to the northwest Pacific Kuril-Kamchatka Trench and its adjacent abyssal plain, we found several kinds and sizes of plastic debris ranging from fishing nets and packaging to microplastic in the sediment of the deep-sea floor. Microplastics were ubiquitous in the smaller fractions of the box corer samples from every station from depths between 4869 and 5766 m. They were found on the abyssal plain and in the sediments of the trench slope on both sides. The amount of microplastics differed between the stations, with lowest concentration of 60 pieces per m2 and highest concentrations of more than 2000 pieces per m2. Around 75% of the microplastics (defined here as particles <1 mm) we isolated from the sediment samples were fibers. Other particles were paint chips or small cracked pieces of unknown origin. The Kuril-Kamchatka Trench area is known for its very rich marine fauna (Zenkevich, 1963). Yet we can only guess how these microplastics accumulated in the deep sea of the Kuril-Kamchatka Trench area and what consequences the microplastic itself and its adsorbed chemicals will have on this very special and rich deep-sea fauna. But we herewith present an evaluation of the different kinds of plastic debris we found, as a documentation of human impact into the deep sea of this region of the Northwest Pacific.

  2. Unexpectedly higher metazoan meiofauna abundances in the Kuril-Kamchatka Trench compared to the adjacent abyssal plains

    NASA Astrophysics Data System (ADS)

    Schmidt, Christina; Martínez Arbizu, Pedro

    2015-01-01

    We studied meiofauna standing stocks and community structure in the Kuril-Kamchatka Trench and its adjacent abyssal plains in the northwestern Pacific Ocean. In general, the Nematoda were dominant (93%) followed by the Copepoda (4%). Nematode abundances ranged from 87% to 96%; those of copepods from 2% to 7%. The most diverse deployment yielded 17 taxa: Acari, Amphipoda, Annelida, Bivalvia, Coelenterata, Copepoda, Cumacea, Gastrotricha, Isopoda, Kinorhyncha, Loricifera, Nematoda, Ostracoda, Priapulida, Tanaidacea, Tantulocarida, and Tardigrada. Nauplii were also present. Generally, the trench slope and the southernmost deployments had the highest abundances (850-1392 individuals/cm2). The results of non-metric multidimensional scaling indicated that these deployments were similar to each other in meiofauna community structure. The southernmost deployments were located in a zone of higher particulate organic carbon (POC) flux (g Corg m-2 yr-1), whereas the trench slope should have low POC flux due to depth attenuation. Also, POC and abundance were significantly correlated in the abyssal plains. This correlation may explain the higher abundances at the southernmost deployments. Lateral transport was also assumed to explain high meiofauna abundances on the trench slope. Abundances were generally higher than expected from model results. ANOSIM revealed significant differences between the trench slope and the northern abyssal plains, between the central abyssal plains and the trench slope, between the trench slope and the southern abyssal plains, between the central and the southern abyssal plains, and between the central and northern deployments. The northern and southern abyssal plains did not differ significantly. In addition, a U-test revealed highly significant differences between the trench-slope and abyssal deployments. The taxa inhabited mostly the upper 0-3 cm of the sediment layer (Nematoda 80-90%; Copepoda 88-100%). The trench-slope and abyssal did not differ

  3. Lateral-delivered organic matter boosts hadal bacterial abundance in the Mariana Trench: A hypothesis

    NASA Astrophysics Data System (ADS)

    Zhang, C.; Liu, H.; Lu, F.; Zou, L.; Tian, J.

    2017-12-01

    Hadal trenches are part of the least investigated biosphere on Earth due to the great challenge of sampling. Limited studies on microbiology by far have suggested that the hadalsphere hosts a heterotrophic microbial community that is likely fed by organic matter from surface-sinking biomass or re-suspended and laterally transported sediments. The uniqueness of trench environment and its potential role in global carbon sequestration entitle a detailed study on microbial-driven carbon cycle of the trench system. In this study, we conducted a vertical sampling of the microbial community and measured the environmental factors from the epipelagic zone down to the hadal zone at the Mariana Trench. 16S rRNA gene composition showed high stratification at the first 1000 meters below surface (mbs) but a nearly uniformed microbial community composition was observed at the abyssopelagic and the hadalpelagic water columns. The deep-sea bacteria were generally chemoheterotrophs and the majority of them were similar to those present at the ocean surface, suggesting influence of epipelagic primary production on deep sea bacterial communication at the trench location. Several deep-sea-enriched but surface-depleted bacteria could be characterized by potential degraders of polysaccharides and n-alkanes. Therefore, recalcitrant hydrocarbons or carbohydrates are likely important carbon sources supporting the deep-sea biosphere. In spite of consistent community composition, a remarkable increase in biomass of small-sized microbial aggregates was detected at 8727 mbs. Enhanced CDOM proportions in the trench imply intensified microbial activity in hadal water compared to the above water column, which agree with the notion of possible extra carbon input from lateral transportation of slope material. These observations extend our understanding in carbon cycle driven by metabolically diverse microorganisms at the trench and may shed light on the complexity of hadal biogeochemistry.

  4. Investigation of the layout and optical proximity correction effects to control the trench etching process on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kyoung, Sinsu; Jung, Eun-Sik; Sung, Man Young

    2017-07-01

    Although trench gate and super-junction technology have micro-trench problems when applied to the SiC process due to the material characteristics. In this paper, area effects are analyzed from the test element group with various patterns and optical proximity correction (OPC) methods are proposed and analyzed to reduce micro-trenches in the SiC trench etching process. First, the loading effects were analyzed from pattern samples with various trench widths (Wt). From experiments, the area must limited under a proper size for a uniform etching profile and reduced micro-trenches because a wider area accelerates the etch rate. Second, the area effects were more severely unbalanced at corner patterns because the corner pattern necessarily has an in-corner and out-corner that have different etching areas to each other. We can balance areas using OPC patterns to overcome this. Experiments with OPC represented improved micro-trench profile from when comparing differences of trench depth (Δdt) at out corner and in corner. As a result, the area effects can be used to improve the trench profile with optimized etching process conditions. Therefore, the trench gate and super-junction pillar of the SiC power MOSFET can have an improved uniform profile without micro-trenches using proper design and OPC.[Figure not available: see fulltext.

  5. Leg 67: the Deep Sea Drilling Project Mid-America Trench transect off Guatemala.

    USGS Publications Warehouse

    von Huene, Roland E.

    1980-01-01

    Drilling on the Cocos plate recovered a basal chalk sequence deposited during early and mid-Miocene time, a short interval of abyssal red clay, and an upper sequence of late Miocene and younger sediment deposited within an area influenced by a terrigenous source. In the trench, a mud and sand fill less than 400,000 yr old overlies the oceanic sequence. The entire section shows no evidence of compressive deformation. In contrast, the section cored on the trench's landward slope 3 km from the trench axis is affected by tectonism. The section contains a Cretaceous to Pliocene claystone sequence capped by Pliocene to Quaternary hemipelagic slope deposits.- from Authors

  6. Seismic evidence for a slab tear at the Puerto Rico Trench

    USGS Publications Warehouse

    Meighan, Hallie E.; Pulliam, Jay; ten Brink, Uri S.; López-Venegas, Alberto M.

    2013-01-01

    The fore-arc region of the northeast Caribbean plate north of Puerto Rico and the Virgin Islands has been the site of numerous seismic swarms since at least 1976. A 6 month deployment of five ocean bottom seismographs recorded two such tightly clustered swarms, along with additional events. Joint analyses of the ocean bottom seismographs and land-based seismic data reveal that the swarms are located at depths of 50–150 km. Focal mechanism solutions, found by jointly fitting P wave first-motion polarities and S/P amplitude ratios, indicate that the broadly distributed events outside the swarm generally have strike- and dip-slip mechanisms at depths of 50–100 km, while events at depths of 100–150 km have oblique mechanisms. A stress inversion reveals two distinct stress regimes: The slab segment east of 65°W longitude is dominated by trench-normal tensile stresses at shallower depths (50–100 km) and by trench-parallel tensile stresses at deeper depths (100–150 km), whereas the slab segment west of 65°W longitude has tensile stresses that are consistently trench normal throughout the depth range at which events were observed (50–100 km). The simple stress pattern in the western segment implies relatively straightforward subduction of an unimpeded slab, while the stress pattern observed in the eastern segment, shallow trench-normal tension and deeper trench-normal compression, is consistent with flexure of the slab due to rollback. These results support the hypothesis that the subducting North American plate is tearing at or near these swarms. The 35 year record of seismic swarms at this location and the recent increase in seismicity suggest that the tear is still propagating.

  7. Designing 4H-SiC P-shielding trench gate MOSFET to optimize on-off electrical characteristics

    NASA Astrophysics Data System (ADS)

    Kyoung, Sinsu; Hong, Young-sung; Lee, Myung-hwan; Nam, Tae-jin

    2018-02-01

    In order to enhance specific on-resistance (Ron,sp), the trench gate structure was also introduced into 4H-SiC MOSFET as Si MOSFET. But the 4H-SiC trench gate has worse off-state characteristics than the Si trench gate due to the incomplete gate oxidation process (Šimonka et al., 2017). In order to overcome this problem, P-shielding trench gate MOSFET (TMOS) was proposed and researched in previous studies. But P-shielding has to be designed with minimum design rule in order to protect gate oxide effectively. P-shielding TMOS also has the drawback of on-state characteristics degradation corresponding to off state improvement for minimum design rule. Therefore optimized design is needed to satisfy both on and off characteristics. In this paper, the design parameters were analyzed and optimized so that the 4H-SiC P-shielding TMOS satisfies both on and off characteristics. Design limitations were proposed such that P-shielding is able to defend the gate oxide. The P-shielding layer should have the proper junction depth and concentration to defend the electric field to gate oxide during the off-state. However, overmuch P-shielding junction depth disturbs the on-state current flow, a problem which can be solved by increasing the trench depth. As trench depth increases, however, the breakdown voltage decreases. Therefore, trench depth should be designed with due consideration for on-off characteristics. For this, design conditions and modeling were proposed which allow P-shielding to operate without degradation of on-state characteristics. Based on this proposed model, the 1200 V 4H-SiC P-shielding trench gate MOSFET was designed and optimized.

  8. Note: long range and accurate measurement of deep trench microstructures by a specialized scanning tunneling microscope.

    PubMed

    Ju, Bing-Feng; Chen, Yuan-Liu; Zhang, Wei; Zhu, Wule; Jin, Chao; Fang, F Z

    2012-05-01

    A compact but practical scanning tunneling microscope (STM) with high aspect ratio and high depth capability has been specially developed. Long range scanning mechanism with tilt-adjustment stage is adopted for the purpose of adjusting the probe-sample relative angle to compensate the non-parallel effects. A periodical trench microstructure with a pitch of 10 μm has been successfully imaged with a long scanning range up to 2.0 mm. More innovatively, a deep trench with depth and step height of 23.0 μm has also been successfully measured, and slope angle of the sidewall can approximately achieve 67°. The probe can continuously climb the high step and exploring the trench bottom without tip crashing. The new STM could perform long range measurement for the deep trench and high step surfaces without image distortion. It enables accurate measurement and quality control of periodical trench microstructures.

  9. Charge deposition model for investigating SE-microdose effect in trench power MOSFETs

    NASA Astrophysics Data System (ADS)

    Xin, Wan; Weisong, Zhou; Daoguang, Liu; Hanliang, Bo; Jun, Xu

    2015-05-01

    It was demonstrated that heavy ions can induce large current—voltage (I-V) characteristics shift in commercial trench power MOSFETs, named single event microdose effect (SE-microdose effect). A model is presented to describe this effect. This model calculates the charge deposition by a single heavy ion hitting oxide and the subsequent charge transport under an electric field. Holes deposited at the SiO2/Si interface by a Xe ion are calculated by using this model. The calculated results were then used in Sentaurus TCAD software to simulate a trench power MOSFET's I-V curve shift after a Xe ion has hit it. The simulation results are consistent with the related experiment's data. In the end, several factors which affect the SE-microdose effect in trench power MOSFETs are investigated by using this model.

  10. Characterisation of a neutron diffraction detector prototype based on the Trench-MWPC technology

    NASA Astrophysics Data System (ADS)

    Buffet, J. C.; Clergeau, J. F.; Cuccaro, S.; Guérard, B.; Mandaroux, N.; Marchal, J.; Pentenero, J.; Platz, M.; Van Esch, P.

    2017-12-01

    The Trench Multi-Wire-Proportional-Chamber is a new type of MWPC which has been designed to fulfill the requirements of the 2D curved neutron detector under development for the XtremeD neutron diffractometer, under construction at ILL. In this design, anode wires are mounted orthogonally to a stack of metallic cathode plates which are insulated from each other by ceramic spacers. A row of teeth is spark-eroded along the edge of the cathode plates so that anode wires appear to be stretched along trenches machined across a segmented cathode plane. This design was tested on a prototype detector module mounted in a vessel filled with a mixture of 3He-Ar-CO2 at 7 bar. The detector configuration as well as measurements performed on this prototype at ILL neutron test beam line are presented. Results show that the Trench-MWPC design provides uniform amplification gain across the detection area despite the absence of the top cathode wires used to balance the electric field in standard Cathode-Anode-Cathode MWPC configurations. The presence of cathode trench side-walls surrounding anode wires minimises the spread of neutron-induced charge across electrodes, allowing for detector operation at reduced amplification gain without compromising the signal to noise per electrode. Pulse-height spectra acquired under various neutron flux conditions demonstrated that the Trench-MWPC design minimises space-charge effects, thanks to its low amplification gain combined with the fast collection of ions by cathode trench side-walls surrounding anode wires. Measurements also showed that this space-charge effect reduction results in a high local count-rate of ~100 kHz at 10% count loss when irradiating the detector with a small 5 mm × 5 mm neutron beam.

  11. Seismicity and state of stress near the Japan Trench axis off Miyagi, northeast Japan, after the 2011 Tohoku-Oki earthquake

    NASA Astrophysics Data System (ADS)

    Obana, K.; Kodaira, S.; Takahashi, T.; Yamamoto, Y.; Nakamura, Y.; No, T.; Fujie, G.; Hino, R.; Shinohara, M.

    2013-12-01

    The 2011 Tohoku-Oki earthquake ruptured roughly 200 km wide and 500 km long megathrust along the Japan Trench. The rupture propagated to the trench axis with a maximum slip about 50 m near the trench axis. As a consequence of this large near-trench slip, earthquakes have been activated near the axis of the Japan Trench off Miyagi, northeast Japan. We have conducted ocean bottom seismograph (OBS) experiments in the Japan Trench axis area, surrounding area of the IODP JFAST drilling site, since the occurrence of the 2011 Tohoku-Oki earthquake. Although conventionally used OBS cannot be deployed at seafloor deeper than 6000 m water depth, we used newly developed ultra-deep OBS using ceramic sphere, which can be deployed at a depth of 9000 m, for the observations in the trench axis. The ultra-deep OBS has almost equivalent dimensions and weight with the conventionally used OBS, thus we can handle it in the same manner with the conventionally OBS without any special operation. As a result of a series of the OBS observations, we obtained accurate hypocenter locations and focal mechanisms in both seaward and landward of the trench axis. Earthquakes near the trench axis area were located within the overriding and incoming/subducting plates with very few on the plate interface below the inner trench slope landward of the trench axis. Most of the earthquakes both in the overriding and incoming/subducting plates having normal or strike-slip faulting focal mechanisms with T-axis normal to the trench axis. This indicates that tensional stress is dominant in the trench axis area. However, most seaward part of the seismicity within the overriding plate is characterized by a localized cluster of trench-normal compressional earthquakes, which may relate to spatial variation of the frictional behavior of the shallowest part of the megathrust. On the other hand, trench-normal extensional earthquakes in the incoming/subducting Pacific plate were located at depths shallower than about

  12. SPECIAL ANALYSIS FOR SLIT TRENCH DISPOSAL OF THE REACTOR PROCESS HEAT EXCHANGERS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hamm, L.; Collard, L.; Aleman, S.

    2012-06-18

    The Savannah River National Laboratory (SRNL), in response to a request from Solid Waste Management (SWM), conducted a Special Analysis (SA) to evaluate the performance of nineteen heat exchangers that are to be disposed in the E-Area low level waste facility Slit Trench 9 (ST 9). Although these nineteen heat exchangers were never decontaminated, the majority of the radionuclides in the heat exchanger inventory list were determined to be acceptable for burial because they are less than the 'generic' waste form inventory limits given in the 2008 Performance Assessment (PA) (WSRC, 2008). However, as generic waste, the H-3 and C-14more » inventories resulted in unacceptable sum-of-fractions (SOFs). Initial scoping analyses performed by SRNL indicated that if alterations were made to certain external nozzles to mitigate various potential leak paths, acceptable SOFs could be achieved through the use of a 'Special' waste form. This SA provides the technical basis for this new 'Special' waste form and provides the inventory limits for H-3 and C-14 for these nineteen heat exchangers such that the nineteen heat exchangers can be disposed in ST 9. This 'Special' waste form is limited to these nineteen heat exchangers in ST 9 and applies for H-3 and C-14, which are designated as H-3X and C-14X, respectively. The SA follows the same methodology used in the 2008 PA and the 2008 SA except for the modeling enhancements noted below. Infiltration rates above the heat exchangers are identical to those used in the 2008 PA; however, flow through the heat exchangers is unique. Because it is unknown exactly how sealed heat exchanger openings will perform and how surface and embedded contaminants will be released, multiple base cases or scenarios were established to investigate a set of performances. Each scenario consists of flow options (based on the performance of sealed openings) and a near-field release of contaminants (based on corrosion and diffusion performance). Two disposal

  13. Technical report for a fluidless directional drilling system demonstrated at Solid Waste Storage Area 6 shallow buried waste sites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    The purpose of the research was to demonstrate a fluidless directional drilling and monitoring system (FDD) specifically tailored to address environmental drilling concerns for shallow buried wasted. The major concerns are related to worker exposure, minimizing waste generation, and confining the spread of contamination. The FDD is potentially applicable to Environmental Restoration (ER) activities for the Oak Ridge National Laboratory Waste Area Grouping 6 (WAG 6) shallow buried waste disposed in unlined trenches. Major ER activities for directional drilling are to develop a drilling system for leachate collection directly beneath trenches, and to provide localized control over leachate release tomore » the environment. Other ER FDD activities could include vadose zone and groundwater monitoring of contaminant transport. The operational constraints pointed the research in the direction of purchasing a steerable impact hammer, or mole, manufactured by Steer-Rite Ltd. of Racine, Wisconsin. This drill was selected due to the very low cost ($25,000) associated with procuring the drill, steering module, instrumentation and service lines. The impact hammer is a self propelled drill which penetrates the soil by compacting cut material along the sidewalls of the borehole. Essentially, it forces its way through the subsurface. Although the pneumatic hammer exhausts compressed air which must be handled at the borehole collar, it does not generate soil cuttings or liquids. This is the basis for the term fluidless. A stub casing muffler was attached to the entrance hole for controlling exhaust gas and any airborne releases. Other environmental compliance modifications made to the equipment included operating the tool without lubrication, and using water instead of hydraulic fluid to actuate the steering fins on the tool.« less

  14. Control of paleoshorelines by trench forebulge uplift, Loyalty Islands

    NASA Astrophysics Data System (ADS)

    Dickinson, William R.

    2013-07-01

    Unlike most tropical Pacific islands, which lie along island arcs or hotspot chains, the Loyalty Islands between New Caledonia and Vanuatu owe their existence and morphology to the uplift of pre-existing atolls on the flexural forebulge of the New Hebrides Trench. The configuration and topography of each island is a function of distance from the crest of the uplifted forebulge. Both Maré and Lifou are fully emergent paleoatolls upon which ancient barrier reefs form highstanding annular ridges that enclose interior plateaus representing paleolagoon floors, whereas the partially emergent Ouvea paleoatoll rim flanks a drowned remnant lagoon. Emergent paleoshoreline features exposed by island uplift include paleoreef flats constructed as ancient fringing reefs built to past low tide levels and emergent tidal notches incised at past high tide levels. Present paleoshoreline elevations record uplift rates of the islands since last-interglacial and mid-Holocene highstands in global and regional sea levels, respectively, and paleoreef stratigraphy reflects net Quaternary island emergence. The empirical uplift rates vary in harmony with theoretical uplift rates inferred from the different positions of the islands in transit across the trench forebulge at the trench subduction rate. The Loyalty Islands provide a case study of island environments controlled primarily by neotectonics.

  15. Dynamics of magnetized plasma sheaths around a trench

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hatami, M. M., E-mail: m-hatami@kntu.ac.ir

    2016-08-15

    Considering a magnetized plasma sheath, the temporal evolution of the ion properties (the incident ion flux, the ion impact angle, and the incident ion dose) around a rectangular trench is studied numerically. Our results show that the ion flux along the bottom surface greatly reduces in the presence of magnetic field and its uniformity improves, but the magnetic field does not considerably affect the ion flux along the sidewall. In addition, the thickness of the plasma sheath increases by increasing the magnetic field while its conformality to the target surface reduces faster. Moreover, it is shown that any increase inmore » the magnitude (inclination angle) of the magnetic field causes a decrease (an increase) in the angle of incidence of ions on the bottom and sidewall surfaces. Furthermore, in the presence of magnetic field, the ions strike nearly normal to the surface of the bottom while they become less oblique along the sidewall surface. In addition, contrary to the corners of the trench, it is found that the magnetic field greatly affects the incident ion dose at the center of the trench surfaces. Also, it is shown that the incident ion dose along the sidewall is the highest near the center of the sidewall in both magnetized and magnetic-free cases. However, uniformity of the incident ion dose along the sidewall is better than that along the bottom in both magnetized and unmagnetized plasma sheath.« less

  16. The Trench Throws a Dirt Clod at Scientists

    NASA Technical Reports Server (NTRS)

    2004-01-01

    This picture, obtained by the microscopic imager on NASA's Opportunity rover during sol 24, February 17 PST, shows soil clods exposed in the upper wall of the trench dug by Opportunity's right front wheel on sol 23. The clods were not exposed until the trench was made. The presence of soil clods implies weak bonding between individual soil grains. The chemical agent or mineral that causes the dirt to bind together into a clod, which scientists call the 'bonding agent,' is currently unknown. Moessbauer and alpha particle X-ray spectrometer measurements of this spot, planned for sol 25, might help explain the bonding, which would ultimately help the rover team understand how geological processes vary across the red planet. In any case, the bonds between soil grains here cannot be very strong because the wheel dug down through this layer with little trouble.

  17. High‐resolution trench photomosaics from image‐based modeling: Workflow and error analysis

    USGS Publications Warehouse

    Reitman, Nadine G.; Bennett, Scott E. K.; Gold, Ryan D.; Briggs, Richard; Duross, Christopher

    2015-01-01

    Photomosaics are commonly used to construct maps of paleoseismic trench exposures, but the conventional process of manually using image‐editing software is time consuming and produces undesirable artifacts and distortions. Herein, we document and evaluate the application of image‐based modeling (IBM) for creating photomosaics and 3D models of paleoseismic trench exposures, illustrated with a case‐study trench across the Wasatch fault in Alpine, Utah. Our results include a structure‐from‐motion workflow for the semiautomated creation of seamless, high‐resolution photomosaics designed for rapid implementation in a field setting. Compared with conventional manual methods, the IBM photomosaic method provides a more accurate, continuous, and detailed record of paleoseismic trench exposures in approximately half the processing time and 15%–20% of the user input time. Our error analysis quantifies the effect of the number and spatial distribution of control points on model accuracy. For this case study, an ∼87  m2 exposure of a benched trench photographed at viewing distances of 1.5–7 m yields a model with <2  cm root mean square error (rmse) with as few as six control points. Rmse decreases as more control points are implemented, but the gains in accuracy are minimal beyond 12 control points. Spreading control points throughout the target area helps to minimize error. We propose that 3D digital models and corresponding photomosaics should be standard practice in paleoseismic exposure archiving. The error analysis serves as a guide for future investigations that seek balance between speed and accuracy during photomosaic and 3D model construction.

  18. Revised ground-water monitoring compliance plan for the 300 area process trenches

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schalla, R.; Aaberg, R.L.; Bates, D.J.

    1988-09-01

    This document contains ground-water monitoring plans for process-water disposal trenches located on the Hanford Site. These trenches, designated the 300 Area Process Trenches, have been used since 1973 for disposal of water that contains small quantities of both chemicals and radionuclides. The ground-water monitoring plans contained herein represent revision and expansion of an effort initiated in June 1985. At that time, a facility-specific monitoring program was implemented at the 300 Area Process Trenches as part of a regulatory compliance effort for hazardous chemicals being conducted on the Hanford Site. This monitoring program was based on the ground-water monitoring requirements formore » interim-status facilities, which are those facilities that do not yet have final permits, but are authorized to continue interim operations while engaged in the permitting process. The applicable monitoring requirements are described in the Resource Conservation and Recovery Act (RCRA), 40 CFR 265.90 of the federal regulations, and in WAC 173-303-400 of Washington State's regulations (Washington State Department of Ecology 1986). The program implemented for the process trenches was designed to be an alternate program, which is required instead of the standard detection program when a facility is known or suspected to have contaminated the ground water in the uppermost aquifer. The plans for the program, contained in a document prepared by the US Department of Energy (USDOE) in 1985, called for monthly sampling of 14 of the 37 existing monitoring wells at the 300 Area plus the installation and sampling of 2 new wells. 27 refs., 25 figs., 15 tabs.« less

  19. Carbon Tetrachloride Flow and Transport in the Subsurface of the 216-Z-9 Trench at the Hanford Site

    NASA Astrophysics Data System (ADS)

    Oostrom, M.; Rockhold, M.; Truex, M.; Thorne, P.; Last, G.; Rohay, V.

    2006-12-01

    Three-dimensional modeling was conducted with layered and heterogeneous models to enhance the conceptual model of CT distribution in the vertical and lateral direction beneath the 216-Z-9 trench and to investigate the effects of soil vapor extraction (SVE). This work supports the U.S. Department of Energy's (DOE's) efforts to characterize the nature and distribution of CT in the 200 West Area and subsequently select an appropriate final remedy. Simulations targeted migration of dense, nonaqueous phase liquid (DNAPL) consisting of CT and co-disposed organics in the subsurface beneath the 216-Z-9 trench as a function of the properties and distribution of subsurface sediments and of the properties and disposal history of the waste. Simulations of CT migration were conducted using the Subsurface Transport Over Multiple Phases (STOMP) simulator. Simulation results support a conceptual model for CT distribution where CT in the DNAPL phase is expected to have migrated primarily in a vertical direction below the disposal trench. Presence of small-scale heterogeneities tends to limit the extent of vertical migration of CT DNAPL due to enhanced retention of DNAPL compared to more homogeneous conditions, but migration is still predominantly in the vertical direction. Results also show that the Cold Creek units retain more CT DNAPL within the vadose zone than other hydrologic unit during SVE. A considerable amount of the disposed CT DNAPL may have partitioned to the vapor and subsequently water and sorbed phases. Presence of small-scale heterogeneities tends to increase the amount of volatilization. Any continued migration of CT from the vadose zone to the groundwater is likely through interaction of vapor phase CT with the groundwater and not through continued DNAPL migration. The results indicated that SVE appears to be an effective technology for vadose zone remediation, but additional effort is needed to improve simulation of the SVE process.

  20. Activity of Small Repeating Earthquakes along Izu-Bonin and Ryukyu Trenches

    NASA Astrophysics Data System (ADS)

    Hibino, K.; Matsuzawa, T.; Uchida, N.; Nakamura, W.; Matsushima, T.

    2014-12-01

    There are several subduction systems near the Japanese islands. The 2011 Mw9.0 Tohoku-oki megathrust earthquake occurred at the NE Japan (Tohoku) subduction zone. We have revealed a complementary relation between the slip areas for huge earthquakes and small repeating earthquakes (REs) in Tohoku. Investigations of REs in these subduction zones and the comparison with Tohoku area are important for revealing generation mechanism of megathrust earthquakes. Our target areas are Izu-Bonin and Ryukyu subduction zones, which appear to generate no large interplate earthquake. To investigate coupling of plate boundary in these regions, we estimated spatial distribution of slip rate by using REs. We use seismograms from the High Sensitivity Seismograph Network (Hi-net), Full Range Seismograph Network of Japan (F-net), and permanent seismic stations of Japan Meteorological Agency (JMA), Tohoku University, University of Tokyo, and Kagoshima University from 8 May 2003 (Izu-Bonin) and 14 July 2005 (Ryukyu) to 31 December 2012 to detect REs along the two trenches, by using similarity of seismograms. We mainly follow the procedure adopted in Uchida and Matsuzawa (2013) that studied REs in Tohoku area to compare our results with the REs in Tohoku. We find that the RE distribution along the Ryukyu trench shows two bands parallel to the trench axis. This feature is similar to the pattern in Tohoku where relatively large earthquakes occur between the bands. Along the Izu-Bonin trench, on the other hand, we find much fewer REs than in Tohoku or Ryukyu subduction zones and only one along-trench RE band, which corresponds to the area where the subducting Pacific plate contacts with the crust of the Philippine Sea plate. We also estimate average slip rate and coupling coefficient by using an empirical relationship between seismic moment and slip for REs (Nadeau and Johnson, 1998) and relative plate motion model. As a result, we find interplate slip rate in the deeper band is higher than

  1. 10,000 m under the sea: An overview of the HADES expedition to Kermadec Trench

    USGS Publications Warehouse

    Mills, S.; Leduc, D.; Drazen, J.C.; Yancey, P.; Jamieson, A.J.; Clark, M.R.; Rowden, A.A.; Mayor, D.J.; Piertney, S.; Heyl, T.; Bartlett, D.; Bourque, Jill R.; Cho, W.; Demopoulos, Amanda W.J.; Fryer, P.; Gerringer, M.; Grammatopoulou, E.; Herrera, S.; Ichino, M.; Lecroq, B.; Linley, T.D.; Meyer, K.; Nunnally, C.; Ruhl, H.; Wallace, G.; Young, C.; Shank, T.M.

    2016-01-01

    The hadal zone of the world oceans (6000– 11,000 m) occupies <1% of the marine realm and is found almost exclusively in trenches but represents ~40% of the total ocean depth range. Jamison et al. (2010 & Jamison, 2015) have reviewed the current state of knowledge about the hydrology, physical characteristics, food supply, ecology and biodiversity of life in hadal trenches. This review concluded that, there appears to be a high level of endemism based on the few specimens collected from historical sampling efforts in the 1950s (Danish Galathea and Soviet Vitjaz expeditions), but because trenches are still largely unexplored there is a lot we do not know about the ecological structure and functioning of hadal environments. However, relatively recent advances in technology using remotely operated vehicles (ROV) and landers can help us explore hadal trenches in greater detail.

  2. Past seismic slip-to-the-trench recorded in Central America megathrust

    NASA Astrophysics Data System (ADS)

    Vannucchi, Paola; Spagnuolo, Elena; Aretusini, Stefano; Di Toro, Giulio; Ujiie, Kohtaro; Tsutsumi, Akito; Nielsen, Stefan

    2017-12-01

    The 2011 Tōhoku-Oki earthquake revealed that co-seismic displacement along the plate boundary megathrust can propagate to the trench. Co-seismic slip to the trench amplifies hazards at subduction zones, so its historical occurrence should also be investigated globally. Here we combine structural and experimental analyses of core samples taken offshore from southeastern Costa Rica as part of the Integrated Ocean Drilling Program (IODP) Expedition 344, with three-dimensional seismic reflection images of the subduction zone. We document a geologic record of past co-seismic slip to the trench. The core passed through a less than 1.9-million-year-old megathrust frontal ramp that superimposes older Miocene biogenic oozes onto late Miocene-Pleistocene silty clays. This, together with our stratigraphic analyses and geophysical images, constrains the position of the basal decollement to lie within the biogenic oozes. Our friction experiments show that, when wet, silty clays and biogenic oozes are both slip-weakening at sub-seismic and seismic slip velocities. Oozes are stronger than silty clays at slip velocities of less than or equal to 0.01 m s-1, and wet oozes become as weak as silty clays only at a slip velocity of 1 m s-1. We therefore suggest that the geological structures found offshore from Costa Rica were deformed during seismic slip-to-the-trench events. During slower aseismic creep, deformation would have preferentially localized within the silty clays.

  3. PROCESS WATER BUILDING, TRA605. AERIAL TAKEN WHILE SEVERAL PIPE TRENCHES ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    PROCESS WATER BUILDING, TRA-605. AERIAL TAKEN WHILE SEVERAL PIPE TRENCHES REMAINED OPEN. CAMERA FACES EASTERLY. NOTE DUAL PIPES BETWEEN REACTOR BUILDING AND NORTH SIDE OF PROCESS WATER BUILDING. PIPING NEAR WORKING RESERVOIR HEADS FOR RETENTION RESERVOIR. PIPE FROM DEMINERALIZER ENTERS MTR FROM NORTH. SEE ALSO TRENCH FOR COOLANT AIR DUCT AT SOUTH SIDE OF MTR AND LEADING TO FAN HOUSE AND STACK. INL NEGATIVE NO. 2966-A. Unknown Photographer, 7/31/1951 - Idaho National Engineering Laboratory, Test Reactor Area, Materials & Engineering Test Reactors, Scoville, Butte County, ID

  4. Large trench-parallel gravity variations predict seismogenic behavior in subduction zones.

    PubMed

    Song, Teh-Ru Alex; Simons, Mark

    2003-08-01

    We demonstrate that great earthquakes occur predominantly in regions with a strongly negative trench-parallel gravity anomaly (TPGA), whereas regions with strongly positive TPGA are relatively aseismic. These observations suggest that, over time scales up to at least 1 million years, spatial variations of seismogenic behavior within a given subduction zone are stationary and linked to the geological structure of the fore-arc. The correlations we observe are consistent with a model in which spatial variations in frictional properties on the plate interface control trench-parellel variations in fore-arc topography, gravity, and seismogenic behavior.

  5. Concepts and data-collection techniques used in a study of the unsaturated zone at a low-level radioactive-waste disposal site near Sheffield, Illinois

    USGS Publications Warehouse

    Healy, R.W.; DeVries, M.P.; Striegl, Robert G.

    1986-01-01

    A study of water and radionuclide movement through the unsaturated zone is being conducted at the low level radioactive waste disposal site near Sheffield, Illinois. Included in the study are detailed investigations of evapotranspiration, movement of water through waste trench covers, and movement of water and radionuclides (dissolved and gaseous) from the trenches. An energy balance/Bowen ratio approach is used to determine evapotranspiration. Precipitation, net radiation, soil-heat flux, air temperature and water vapor content gradients, wind speed, and wind direction are measured. Soil water tension is measured with tensiometers which are connected to pressure transducers. Meteorological sensors and tensiometers which are connected to pressure transducers. Meteorological sensors and tensiometers are monitored with automatic data loggers. Soil moisture contents are measured through small-diameter access tubes with neutron and gamma-ray attenuation gages. Data beneath the trenches are obtained through a 130-meter-long tunnel which extends under four of the trenches. Water samples are obtained with suction lysimeters, and samples of the geologic material are obtained with core tubes. These samples are analyzed for radiometric and inorganic chemistry. Gas samples are obtained from gas piezometers and analyzed for partial pressures of major constituents, Radon-222, tritiated water vapor, and carbon-14 dioxide. (USGS)

  6. Recent sediment dynamics in hadal trenches: Evidence for the influence of higher-frequency (tidal, near-inertial) fluid dynamics

    NASA Astrophysics Data System (ADS)

    Turnewitsch, Robert; Falahat, Saeed; Stehlikova, Jirina; Oguri, Kazumasa; Glud, Ronnie N.; Middelboe, Mathias; Kitazato, Hiroshi; Wenzhöfer, Frank; Ando, Kojiro; Fujio, Shinzou; Yanagimoto, Daigo

    2014-08-01

    In addition to high hydrostatic pressure, scarcity of food is viewed as a factor that limits the abundance and activity of heterotrophic organisms at great ocean depths, including hadal trenches. Supply of nutritious food largely relies on the flux of organic-rich particulate matter from the surface ocean. It has been speculated that the shape of hadal trenches helps to ‘funnel' particulate matter into the deeper parts of the trench, leading to sediment ‘focussing' and improved benthic food supply. Here we investigate for five Northwest Pacific trenches the efficiency of sediment focussing by evaluating ratios of measured (sediment-derived) and expected (water-column-derived) sedimentary inventories of the naturally occurring and radioactive particulate-matter tracer 210Pbxs. The sites comprise a broad range of surface-ocean productivity and physical-oceanographic regimes. Across the five trench-axis settings the inventory ratio varies between 0.5 and 4.1, with four trench-axis settings having ratios>1 (sediment focussing) and one trench-axis setting a ratio<1 (sediment winnowing). Although the fluid- and sediment-dynamical forcing behind sediment focussing remains unclear, this study finds evidence for another mechanism that is superimposed on, and counteracts, the focussing mechanism. This superimposed mechanism is related to higher-frequency (tidal, near-inertial) fluid dynamics. In particular, there is evidence for a strong and negative relation between the intensity of propagating internal tides and the extent of sediment focussing in the trench-axis. The relation can be approximated by a power function and the most intense drop in sediment focussing already occurs at moderate internal-tide intensities. This suggests that propagating internal tides may have a subtle but significant influence on particulate-matter dynamics and food supply in hadal trenches in particular, but possibly also in the deep seas in general. A mechanism for the influence of

  7. Log and data from a trench across the Hubbell Spring Fault Zone, Bernalillo County, New Mexico

    USGS Publications Warehouse

    Personius, S.F.; Eppes, M.C.; Mahan, S.A.; Love, D.W.; Mitchell, D.K.; Murphy, Anne

    2000-01-01

    This report contains field and laboratory data resulting from a trench study of the Hubbell Spring fault zone near Albuquerque, New Mexico. This trench was excavated in September, 1997, as part of earthquake hazards investigations of Quaternary faults in the Albuquerque metropolitan area. The trench was excavated across the youngest of several fault strands near the northern end of the Hubbell Spring fault zone. The site is located on Pueblo of Isleta tribal lands, approximately 1 km south of the southern boundary of Kirtland Air Force Base. Thus the paleoearthquake data derived from investigations at the Hubbell Spring site will be useful in assessing potential earthquake hazards in Isleta Pueblo, Kirtland Air Force Base/Sandia National Laboratories, and the Albuquerque metropolitan area. The purpose of this report is to present a detailed trench log, a scarp profile, soils data (table 1), magnetic susceptibility data (table 2), luminescence and uranium-series ages (tables 3 and 4), and detailed unit descriptions (table 5) obtained in this investigation. S.F. Personius had primary responsibility for siting, excavating, describing, and interpreting the trench; S.A. Mahan did the luminescence dating, and James B. Paces did the uranium-series dating. M.C. Eppes and D.W. Love assisted with trench logging and mapping; and M.C. Eppes, D.K. Mitchell, and A. Murphy did the soils analyses.

  8. First geodetic measurement of convergence across the Java Trench

    NASA Technical Reports Server (NTRS)

    Tregoning, P.; Brunner, F. K.; Bock, Y.; Puntodewo, S. S. O.; Mccraffrey, R.; Genrich, J. F.; Calais, E.; Rais, J.; Subarya, C.

    1994-01-01

    Convergence across the Java Trench has been estimated for the first time, from annual Global Positioning System (GPS) measurements commencing in 1989. The directions of motion of Christmas and Cocos Island are within 1 deg of that predicted by the No-Net Rotation (NNR) NUVEL-1 plate motion model for the Australian plate although their rates are 25% and 37% less than predcited, respectively. The motion of West Java differs significantly from the NNR NUVEL-1 prediction for the Eurasian plate with a 1 deg difference in direction and a 40% increase in rate. We infer that either West Java moves with a distinct Southeast Asian plate or this region experiences plate margin deformation. The convergence of Christmas Island with respect to West Java is 67 +/- mm/yr in a direction N11 deg E +/- 4 deg which is orthogonal to the trench. The magnitude of convergence agrees well with rescaled NUVEL-1 relative plate model which predicts a value of 71 mm/yr between Australia and Eurasia. The direction of motion matches the direction inferred from earthquake slip vectors at the trench but may be more northerly than the N20 deg E +/- 3 deg predicted by NUVEL-1. On June 2, 1994, almost a year after the last GPS survey, an M(sub W) = 7.5 earthquake with slip vector direction N5 deg occurred south of central Java.

  9. Geologic Evidence of Tsunamigenic Earthquakes from the Southern Part of the Japan Trench

    NASA Astrophysics Data System (ADS)

    Pilarczyk, J.; Sawai, Y.; Namegaya, Y.; Tamura, T.; Tanigawa, K.; Matsumoto, D.; Shinozaki, T.; Fujiwara, O.; Shishikura, M.; Shimada, Y.; Dura, T.; Horton, B.

    2017-12-01

    The northern and southern parts of the Japan Trench have generated earthquakes with moment magnitudes up to 8.0. Similarly, the middle part of the Japan Trench has historically generated tsunamigenic-earthquakes up to M 7.0. However, in 2011, the Tohoku-oki (M 9.0) event ruptured 500 km along the middle part of the Japan Trench and generated the largest known tsunami to have originated from this part of the subduction zone. Seismic models indicate that the Tohoku-oki earthquake may have transferred stress southwards down the fault to the potentially locked southern part of the Japan Trench. It is unknown if this transfer of stress could produce an earthquake and tsunami that would impact the metropolitan areas of east-central Japan in the near future that may be comparable in magnitude to the Tohoku-oki event. Here, we reconstruct the history of individual great earthquakes and accompanying tsunamis using geological records from the coastal zone adjacent to the southern part of the Japan Trench, providing an assessment of the seismic hazard for metropolitan areas in east-central Japan. In the Kujukuri strand plain, we found three anomalous marine sand layers intercalated within muddy peat, which can be traced 3.8 km inland and 50 km along the present Kujukuri coastline. Each sand layer has features consistent with tsunami deposits, such as a distinct erosional base, rip-up clasts, normal grading, and a mud drape. Preliminary radiocarbon dating suggests three tsunamis inundated the Kujukuri coastline over the last millennium.

  10. Rapid trench initiated recrystallization and stagnation in narrow Cu interconnect lines

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Brien, Brendan B.; Rizzolo, Michael; Prestowitz, Luke C.

    2015-10-26

    Understanding and ultimately controlling the self-annealing of Cu in narrow interconnect lines has remained a top priority in order to continue down-scaling of back-end of the line interconnects. Recently, it was hypothesized that a bottom-up microstructural transformation process in narrow interconnect features competes with the surface-initiated overburden transformation. Here, a set of transmission electron microscopy images which captures the grain coarsening process in 48 nm lines in a time resolved manner is presented, supporting such a process. Grain size measurements taken from these images have demonstrated that the Cu microstructural transformation in 48 nm interconnect lines stagnates after only 1.5 h atmore » room temperature. This stubborn metastable structure remains stagnant, even after aggressive elevated temperature anneals, suggesting that a limited internal energy source such as dislocation content is driving the transformation. As indicated by the extremely low defect density found in 48 nm trenches, a rapid recrystallization process driven by annihilation of defects in the trenches appears to give way to a metastable microstructure in the trenches.« less

  11. Paleoseismic Trenching on 1939 Erzincan and 1942 Niksar-Erbaa Earthquake Surface Ruptures, the North Anatolian Fault (Turkey)

    NASA Astrophysics Data System (ADS)

    Akyuz, H. S.; Karabacak, V.; Zabci, C.; Sancar, T.; Altunel, E.; Gursoy, H.; Tatar, O.

    2009-04-01

    Two devastating earthquakes occurred between Erzincan (39.75N, 39.49E) and Erbaa, Tokat (40.70N, 36.58E) just three years one after another in 1939 and 1942. While 1939 Erzincan earthquake (M=7.8) ruptured nearly 360 km, 1942 Erbaa-Niksar earthquake (M=7.1) has a length of 50 km surface rupture. Totally, more than 35000 citizens lost their lives after these events. Although Turkey has one of the richest historical earthquake records, there is no clear evidence of the spatial distribution of paleoevents within these two earthquake segments of the North Anatolian Fault. 17 August 1668 Anatolian earthquake is one of the known previous earthquakes that may have occurred on the same segments with a probable rupture length of more than 400 km. It is still under debate in different catalogues, if it was ruptured in multiple events or a single one. We achieved paleoseismic trench studies to have a better understanding on the recurrence of large earthquakes on these two faults in the framework of T.C. DPT. Project no. 2006K120220. We excavated a total of 8 trenches in 7 different sites. While three of them are along the 1942 Erbaa-Niksar Earthquake rupture, others are located on the 1939 Erzincan one. Alanici and Direkli trenches were excavated on the 1942 rupture. Direkli trench site is located at the west of Niksar, Tokat (40.62N, 36.85E) on the fluvial terrace deposits of the Kelkit River. Only one paleoevent could be determined from the structural relationships of the trench wall stratigraphy. By radiocarbon dating of charcoal sample from above the event horizon indicates that this earthquake should have occurred before 480-412 BC. The second trench, Alanici, on the same segment was located between Erbaa and Niksar (40.65N, 36.78E) at the western boundary of a sag-pond. While signs of two (possible three) earthquakes were identified on the trench wall, the prior event to 1942 Earthquake is dated to be before 5th century AD. We interpreted this to have possibility of

  12. Structure and lithology of the Japan Trench subduction plate boundary fault

    NASA Astrophysics Data System (ADS)

    Kirkpatrick, James D.; Rowe, Christie D.; Ujiie, Kohtaro; Moore, J. Casey; Regalla, Christine; Remitti, Francesca; Toy, Virginia; Wolfson-Schwehr, Monica; Kameda, Jun; Bose, Santanu; Chester, Frederick M.

    2015-01-01

    The 2011 Mw9.0 Tohoku-oki earthquake ruptured to the trench with maximum coseismic slip located on the shallow portion of the plate boundary fault. To investigate the conditions and physical processes that promoted slip to the trench, Integrated Ocean Drilling Program Expedition 343/343T sailed 1 year after the earthquake and drilled into the plate boundary ˜7 km landward of the trench, in the region of maximum slip. Core analyses show that the plate boundary décollement is localized onto an interval of smectite-rich, pelagic clay. Subsidiary structures are present in both the upper and lower plates, which define a fault zone ˜5-15m thick. Fault rocks recovered from within the clay-rich interval contain a pervasive scaly fabric defined by anastomosing, polished, and lineated surfaces with two predominant orientations. The scaly fabric is crosscut in several places by discrete contacts across which the scaly fabric is truncated and rotated, or different rocks are juxtaposed. These contacts are inferred to be faults. The plate boundary décollement therefore contains structures resulting from both distributed and localized deformation. We infer that the formation of both of these types of structures is controlled by the frictional properties of the clay: the distributed scaly fabric formed at low strain rates associated with velocity-strengthening frictional behavior, and the localized faults formed at high strain rates characterized by velocity-weakening behavior. The presence of multiple discrete faults resulting from seismic slip within the décollement suggests that rupture to the trench may be characteristic of this margin.

  13. NOAA Deepwater Exploration of the Marianas 2016: Pacific Plate, Mariana Trench, and Mariana Forearc

    NASA Astrophysics Data System (ADS)

    Fryer, P. B.; Glickson, D.; Kelley, C.; Drazen, J.; Stern, R. J.

    2016-12-01

    Legs 1 and 3 of NOAA Okeanos Explorer EX1605 made 18 (ROV) dives exploring the following: 7 Cretaceous-age, Pacific Plate guyots east of the Trench; 1 small volcano on a Pacific Plate fracture; 3 areas of the inner trench slope; 2 forearc serpentinite mud volcanoes; and 5 forearc fault blocks. The Pacific Plate guyots are heavily manganese encrusted. Part of the rationale for those dives was to make baseline characterization of biota and habitats before potential mining. These guyots had striking diversity and abundance of fauna. Dives on 2 guyots examined high-relief scarps, formed when both down-going plate and edifices fractured outboard of the trench. The scarp on one had Cretaceous reef sequences, whereas the other exposed layers of volcanics. The dive on a small (1 km diameter, 141 m high) volcano on a plate fracture near the trench affirmed that it was relatively young, maybe like Petit-Spot volcanoes east of the Japan Trench. A dive in a canyon west of Guam transitioned from a steep slope of volcanic talus to a gentle sediment-covered slope. The inner trench slope opposite the subducting guyot that exposes reef deposits, revealed similar sequences, suggesting that the guyot is being incorporated into the Mariana forearc. The other inner slope dive traversed talus with fragments of serpentinized peridotite and lies near a chain of forearc serpentinite mud volcanoes. The 2 serpentinite mud volcanoes explored have sedimented, apparently inactive, surfaces, though we recovered a serpentinized peridotite sample from one of them. Dives on the forearc fault blocks attest to dynamic vertical tectonism. Three in the northern forearc show sediment sequences of varying types and textures, all dipping trenchward. Spectacular mid-forearc fault scarps strike east-west, stair-stepping down southward and were traversed on 2 dives. We saw many sequences of indurated sediments. Mapping on Legs 2 and 3 of the expedition showed that these fault scarps are mirrored to the south

  14. Sources of acid and metals from the weathering of the Dinero waste pile, Lake Fork watershed, Leadville, Colorado

    USGS Publications Warehouse

    Diehl, S.F.; Hageman, Phil L.; Smith, Kathleen S.; Herron, J.T.; Desborough, G.A.

    2005-01-01

    Two trenches were dug into the south Dinero mine-waste pile near Leadville, Colorado, to study the weathering of rock fragments and the mineralogic sources of metal contaminants in the surrounding wetland and Lake Fork Watershed. Water seeping from the base of the south Dinero waste-rock pile was pH 2.9, whereas leachate from a composite sample of the rock waste was pH 3.3. The waste pile was mostly devoid of vegetation, open to infiltration of precipitation, and saturated at the base because of placement in the wetland. The south mine-waste pile is composed of poorly sorted material, ranging from boulder-size to fine-grained rock fragments. The trenches showed both matrix-supported and clast-supported zones, with faint horizontal color banding, suggesting zonation of Fe oxides. Secondary minerals such as jarosite and gypsum occurred throughout the depth of the trenches. Infiltration of water and transport of dissolved material through the pile is evidenced by optically continuous secondary mineral deposits that fill or line voids. Iron-sulfate material exhibits microlaminations with shrinkage cracking and preferential dissolution of microlayers that evidence drying and wetting events. In addition to fluids, submicron-sized to very fine-grained particles such as jarosite are transported through channel ways in the pile. Rock fragments are coated with a mixture of clay, jarosite, and manganese oxides. Dissolution of minerals is a primary source of metals. Skeletal remnants of grains, outlined by Fe-oxide minerals, are common. Potassium jarosite is the most abundant jarosite phase, but Pb-and Ag-bearing jarosite are common. Grain-sized clusters of jarosite suggest that entire sulfide grains were replaced by very fine-grained jarosite crystals. The waste piles were removed from the wetland and reclaimed upslope in 2003. This was an opportunity to test methods to identify sources of acid and metals and metal transport processes within a waste pile. A series of

  15. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    The final brick was installed on the north side of the flame trench at Launch Complex 39B at NASA’s Kennedy Space Center in Florida. The walls of the flame trench are being upgraded to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  16. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    Construction workers sign the final bricks after they were installed on the north side of the flame trench at Launch Complex 39B at NASA’s Kennedy Space Center in Florida. The walls of the flame trench are being upgraded to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  17. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    A construction worker installs one of the final bricks on the north side of the flame trench at Launch Complex 39B at NASA's Kennedy Space Center in Florida. The walls of the flame trench are being upgraded to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  18. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    A view looking up from the north side of the flame trench beneath the pad at Launch Complex 39B at NASA's Kennedy Space Center in Florida. The walls of the flame trench are being upgraded to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  19. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    A view of the north side of the flame trench at Launch Complex 39B at NASA's Kennedy Space Center in Florida. The final brick was installed in the flame trench, completing about a year's worth of work to upgrade the walls to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  20. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    Preparations are underway to install the final brick on the north side of the flame trench at Launch Complex 39B at NASA's Kennedy Space Center in Florida. The walls of the flame trench are being upgraded to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  1. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    A construction worker installs the final brick on the north side of the flame trench at Launch Complex 39B at NASA's Kennedy Space Center in Florida. The walls of the flame trench are being upgraded to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  2. Final Flame Trench Brick Installation at Launch Pad 39B

    NASA Image and Video Library

    2017-05-09

    Construction workers install the final bricks on the north side of the flame trench at Launch Complex 39B at NASA's Kennedy Space Center in Florida. The walls of the flame trench are being upgraded to withstand the intense heat and fire at launch of NASA's Space Launch System rocket with Orion atop. About 96,000 heat-resistant bricks, in three different sizes, were secured to the walls using bonding mortar in combination with adhesive anchors. The Ground Systems Development and Operations Program is overseeing upgrades and modifications to Pad 39B to support the launch of the SLS and Orion spacecraft for Exploration Mission-1 and NASA’s journey to Mars.

  3. DLC coating on a micro-trench by bipolar PBII&D and analysis of plasma behaviour

    NASA Astrophysics Data System (ADS)

    Park, Wonsoon; Tokioka, Hideyuki; Tanaka, Masaaki; Choi, Junho

    2014-08-01

    Bipolar plasma-based ion implantation and deposition (bipolar PBII&D) has been recognized as a promising technique for coating deposition on complex three-dimensional targets. As the target is fully immersed in the plasma throughout the process, the plasma sheath can be formed with quite high conformability around the target. In this study, diamond-like carbon (DLC) coating was deposited on a micro-trench pattern by using bipolar PBII&D, and the structure of the DLC film across the overall surface region of the trench was examined by making use of their corresponding Raman spectra. The two types of negative high voltage pulses were applied to the targets for comparison: -0.5 and -15 kV. The scale of the micro-trench used in the study is much smaller than that of the plasma sheath produced under these negative voltages (about 1 cm and 14 cm for -0.5 kV and -15 kV, respectively). The plasma behaviour (i.e., ion flux, impact angle and energy) in the surrounding of the micro-trench was calculated with the particle-in-cell Monte Carlo collision method (PIC-MCCM). As a result, DLC film was successfully coated on the overall surface of the trench. When the applied negative voltage was -0.5 kV, the structure of DLC film coated on the sidewall of the trench became a more polymer-like carbon (PLC) than those of the top and bottom surfaces. This, as indicated by the simulation results, is because the ions, which strike the sidewall, tend to have less incident energy. Whereas in the case of -15 kV, the DLC film on the sidewall was a more graphite-like carbon (GLC) film, despite its smaller incident ion energy in comparison to those of the top and bottom surfaces. This phenomenon is attributed to the sputtering effect from the bottom surface of the trench, as evidenced by the plasma simulation.

  4. Seismicity and Structure of the Incoming Pacific Plate Subducting into the Japan Trench off Miyagi

    NASA Astrophysics Data System (ADS)

    Obana, K.; Fujie, G.; Kodaira, S.; Takahashi, T.; Yamamoto, Y.; Sato, T.; Yamashita, M.; Nakamura, Y.; Miura, S.

    2015-12-01

    Stresses within the oceanic plate in trench axis and outer-rise region have been characterized by shallow extension and deep compression due to the bending of the plate subducting into the trench. The stress state within the incoming/subducting oceanic plate is an important factor not only for the occurrence of shallow intraplate normal-faulting earthquakes in the trench-outer rise region but also the hydration of the oceanic plate through the shallow normal faults cutting the oceanic lithosphere. We investigate seismic velocity structure and stress state within the incoming/subducting Pacific Plate in the Japan Trench based on the OBS aftershock observations for the December 2012 intraplate doublet, which consists of a deep reverse faulting (Mw 7.2) and a shallow normal faulting (Mw 7.2) earthquake, in the Japan Trench off Miyagi. Hypocenter locations and seismic velocity structures were estimated from the arrival time data of about 3000 earthquakes by using double-difference tomography method (Zhang and Thurber, 2003). Also, focal mechanisms were estimated from first motion polarities by using the program HASH by Hardebeck and Shearer (2002). The results show that the earthquakes occurred mainly within the oceanic crust and the uppermost mantle. The deepest event was located at a depth of about 60 km. Focal mechanisms of the earthquakes shallower than a depth of 40 km indicate normal-faulting with T-axis normal to the trench. On the other hand, first motion polarities of the events at depths between 50 and 60 km can be explained a reverse faulting. The results suggest that the neutral plane of the stress between shallow extension and deep compression locates at 40 to 50 km deep. Seismic velocity structures indicate velocity decrease in the oceanic mantle toward the trench. Although the velocity decrease varies with locations, the results suggest the bending-related structure change could extend to at least about 15 km below the oceanic Moho in some locations.

  5. An ocean bottom seismometer study of shallow seismicity near the Mid- America Trench offshore Guatemala ( Pacific).

    USGS Publications Warehouse

    Ambos, E.L.; Hussong, D.M.; Holman, C.E.

    1985-01-01

    Five ocean bottom seismometers recorded seismicity near the Mid-America Trench offshore Guatemala for 27 days in 1979. The array was emplaced in the lower slope region, just above the topographic trench. Approximately 170 events were recorded by 3 or more seismometers, and almost half were located with statistical hypocentral errors of <10 km. Most epicenters were located immediately landward of the trench axis, and many were further confined to a zone NW of the array. In terms of depth, most events were located within the subducting Cocos plate rather than in the overlying plate or at the plate-plate boundary. Most magnitudes ranged between 3.0 and 4.0 mb, and the threshold magnitude of locatable events was about 2.8 mb. Two distinct composite focal mechanisms were determined. One appears to indicate high- angle reverse faulting in the subducting plate, in a plane parallel to trench axis strike. The other, constructed for some earthquakes in the zone NW of the array, seems to show normal faulting along possible fault planes oriented quasi-perpendicular to the trench axis. Projection of our seismicity sample and of well-located WWSSN events from 1954 to 1980 onto a plane perpendicular to the trench axis shows a distinct gap between the shallow seismicity located by our array, and the deeper Wadati-Benioff zone seismicity located by the WWSSN. We tentatively ascribe this gap to inadequate sampling.-from Authors

  6. High aspect ratio sub-15 nm silicon trenches from block copolymer templates.

    PubMed

    Gu, Xiaodan; Liu, Zuwei; Gunkel, Ilja; Chourou, S T; Hong, Sung Woo; Olynick, Deirdre L; Russell, Thomas P

    2012-11-08

    High-aspect-ratio sub-15-nm silicon trenches are fabricated directly from plasma etching of a block copolymer mask. A novel method that combines a block copolymer reconstruction process and reactive ion etching is used to make the polymer mask. Silicon trenches are characterized by various methods and used as a master for subsequent imprinting of different materials. Silicon nanoholes are generated from a block copolymer with cylindrical microdomains oriented normal to the surface. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Altimetry data over trenches and island-arcs and convection in the mantle

    NASA Technical Reports Server (NTRS)

    1980-01-01

    Transfer function techniques were developed to calculate the isostatic component of the geoid signal over trench/island arc/back arc systems. Removal of this isostatic component from geoid profiles determined by GEOS 3 radar altimetry leaves a residual geoid that can be attributed to the effect of mass inhomogeneities below the depth of compensation. Efforts are underway to extend the analysis to all the major trench/island arc systems of the world in order to provide more detailed understanding of the dynamic processes occurring beneath island arcs.

  8. The relationship between plate velocity and trench viscosity in Newtonian and power-law subduction calculations

    NASA Technical Reports Server (NTRS)

    King, Scott D.; Hager, Bradford H.

    1990-01-01

    The relationship between oceanic trench viscosity and oceanic plate velocity is studied using a Newtonian rheology by varying the viscosity at the trench. The plate velocity is a function of the trench viscosity for fixed Rayleigh number and plate/slab viscosity. Slab velocities for non-Newtonian rheology calculations are significantly different from slab velocities from Newtonian rheology calculations at the same effective Rayleigh number. Both models give reasonable strain rates for the slab when compared with estimates of seismic strain rate. Non-Newtonian rheology eliminates the need for imposed weak zones and provides a self-consistent fluid dynamical mechanism for subduction in numerical convection models.

  9. Water-rich bending faults at the Middle America Trench

    NASA Astrophysics Data System (ADS)

    Naif, Samer; Key, Kerry; Constable, Steven; Evans, Rob L.

    2015-09-01

    The portion of the Central American margin that encompasses Nicaragua is considered to represent an end-member system where multiple lines of evidence point to a substantial flux of subducted fluids. The seafloor spreading fabric of the incoming Cocos plate is oriented parallel to the trench such that flexural bending at the outer rise optimally reactivates a dense network of normal faults that extend several kilometers into the upper mantle. Bending faults are thought to provide fluid pathways that lead to serpentinization of the upper mantle. While geophysical anomalies detected beneath the outer rise have been interpreted as broad crustal and upper mantle hydration, no observational evidence exists to confirm that bending faults behave as fluid pathways. Here we use seafloor electromagnetic data collected across the Middle America Trench (MAT) offshore of Nicaragua to create a comprehensive electrical resistivity image that illuminates the infiltration of seawater along bending faults. We quantify porosity from the resistivity with Archie's law and find that our estimates for the abyssal plain oceanic crust are in good agreement with independent observations. As the Cocos crust traverses the outer rise, the porosity of the dikes and gabbros progressively increase from 2.7% and 0.7% to 4.8% and 1.7%, peaking within 20 km of the trench axis. We conclude that the intrusive crust subducts twice as much pore water as previously thought, significantly raising the flux of fluid to the seismogenic zone and the mantle wedge.

  10. Regulatory Requirements and Technical Analysis for Department of Energy Regulated Performance Assessments of Shallow-Trench Disposal of Low-Level Radioactive Waste at the Nevada Test Site

    NASA Astrophysics Data System (ADS)

    Crowe, B.; Black, P.; Tauxe, J.; Yucel, V.; Rawlinson, S.; Colarusso, A.; DiSanza, F.

    2001-12-01

    The National Nuclear Security Administration, Nevada Operations Office (NNSA/NV) operates and maintains two active facilities on the Nevada Test Site (NTS) that dispose Department of Energy (DOE) defense-generated low-level radioactive (LLW), mixed radioactive, and classified waste in shallow trenches, pits and large-diameter boreholes. The operation and maintenance of the LLW disposal sites are self-regulated under DOE Order 435.1, which requires review of a Performance Assessment for four performance objectives: 1) all pathways 25 mrem/yr limit; 2) atmospheric pathways 10 mrem/yr limit; 3) radon flux density of 20 pCi/m2/s; and 4) groundwater resource protection (Safe Drinking Water Act; 4 mrem/yr limit). The inadvertent human intruder is protected under a dual 500- and 100-mrem limit (acute and chronic exposure). In response to the Defense Nuclear Facilities Safety Board Recommendation 92 2, a composite analysis is required that must examine all interacting sources for compliance against both 30 and 100 mrem/yr limits. A small component of classified transuranic waste is buried at intermediate depths in 3-meter diameter boreholes at the Area 5 LLW disposal facility and is assessed through DOE-agreement against the requirements of the Environmental Protection Agency (EPA)'s 40 CFR 191. The hazardous components of mixed LLW are assessed against RCRA requirements. The NTS LLW sites fall directly under three sets of federal regulations and the regulatory differences result not only in organizational challenges, but also in different decision objectives and technical paths to completion. The DOE regulations require deterministic analysis for a 1,000-year compliance assessment supplemented by probabilistic analysis under a long-term maintenance program. The EPA regulations for TRU waste are probabilistically based for a compliance interval of 10,000 years. Multiple steps in the assessments are strongly dependent on assumptions for long-term land use policies

  11. Hadal disturbance and radionuclide profiles at the deepest Japan Trench, northeastern Japan

    NASA Astrophysics Data System (ADS)

    Oguri, Kazumasa; Kawamura, Kiichiro; Sakaguchi, Arito; Toyofuku, Takashi; Kasaya, Takafumi; Murayama, Masafumi; Glud, Ronnie; Fujikura, Katsunori; Kitazato, Hiroshi

    2013-04-01

    Four months after the 2011 Tohoku-Oki earthquake, we carried out a video survey and collected sediment core collection from the hadal region (~7,600 m water depth) of the Japan Trench using an autonomous instrument. Fine material remained suspended at ~50 m above the seabed presumably induced by turbidities released during the central earthquake and the following aftershocks. Elevated levels of Cs-137 (T1/2=30 y) and excess Pb-210 (T1/2=22.3 y) concentrations suggested that 30 cm thick sediment layer had accumulated at the trench base (7,553 m) after the mainshock. However, no Cs-134 (T1/2=2 y) fallout from the Fukushima Dai-ichi nuclear disaster was detected. In contract, inspection of a nearby sediment site (7,261 m) 4.9 km away from the central trench site revealed fewer disturbances as reflected by a recent deposition of only 4 cm sediment, but here we encountered recent Cs-134 fallouts from the top 0-1 cm depth. We propose that the apparent lack of Cs-134 in the central trench is coursed by settlement of turbidites containing Cs-137 from past atmospheric fallout and higher excess Pb-210. The fast transport of the Cs-134 to the hadal slope sediment is presumably induced by enhanced scavenging and the vertical transport associated to an intensified diatom blooming occurring just at the time of the Fukushima disaster.

  12. Numerical Simulation of Film Cooling with a Coolant Supplied Through Holes in a Trench

    NASA Astrophysics Data System (ADS)

    Khalatov, A. A.; Panchenko, N. A.; Borisov, I. I.; Severina, V. V.

    2017-05-01

    The results of numerical simulation and experimental investigation of the efficiency of film cooling behind a row of holes in a trench in the range of blowing ratio variation 0.5 ≤ m ≤ 2.0 are presented. This scheme is of practical interest for use in the systems of cooling the blades of high-temperature gas turbines. Comparative analysis has shown that the efficiency of the trench scheme substantially exceeds the efficiency of the traditional scheme. The commercial package ANSYS CFX 14 was used in the Calculation Fluid Dynamics (CFD) modeling of film cooling. It is shown that the best agreement between predicted and experimental data is provided by the use of the SST model of turbulence. Analysis of the physical picture of flow has shown that the higher efficiency of film cooling with secondary air supply to the trench is mainly due to the preliminary spreading of a coolant in the trench, decrease in the intensity and scale of the vortex pair structure, absence of the coolant film departure from the plate surface, and to the more uniform transverse distribution of the coolant film.

  13. Unusually large earthquakes inferred from tsunami deposits along the Kuril trench

    USGS Publications Warehouse

    Nanayama, F.; Satake, K.; Furukawa, R.; Shimokawa, K.; Atwater, B.F.; Shigeno, K.; Yamaki, S.

    2003-01-01

    The Pacific plate converges with northeastern Eurasia at a rate of 8-9 m per century along the Kamchatka, Kuril and Japan trenches. Along the southern Kuril trench, which faces the Japanese island of Hokkaido, this fast subduction has recurrently generated earthquakes with magnitudes of up to ???8 over the past two centuries. These historical events, on rupture segments 100-200 km long, have been considered characteristic of Hokkaido's plate-boundary earthquakes. But here we use deposits of prehistoric tsunamis to infer the infrequent occurrence of larger earthquakes generated from longer ruptures. Many of these tsunami deposits form sheets of sand that extend kilometres inland from the deposits of historical tsunamis. Stratigraphic series of extensive sand sheets, intercalated with dated volcanic-ash layers, show that such unusually large tsunamis occurred about every 500 years on average over the past 2,000-7,000 years, most recently ???350 years ago. Numerical simulations of these tsunamis are best explained by earthquakes that individually rupture multiple segments along the southern Kuril trench. We infer that such multi-segment earthquakes persistently recur among a larger number of single-segment events.

  14. Trench-parallel variations in Pacific and Indo-Australian crustal velocity structure due to Louisville Ridge seamount subduction

    NASA Astrophysics Data System (ADS)

    Stratford, W. R.; Knight, T. P.; Peirce, C.; Watts, A. B.; Grevemeyer, I.; Paulatto, M.; Bassett, D.; Hunter, J.; Kalnins, L. M.

    2012-12-01

    Variations in trench and forearc morphology, and lithospheric velocity structure are observed where the Louisville Ridge seamount chain subducts at the Tonga-Kermadec Trench. Subduction of these seamounts has affected arc and back-arc processes along the trench for the last 5 Myr. High subduction rates (80 mm/yr in the north, 55 mm/yr in the south), a fast southwards migrating collision zone (~180 km/myr), and the obliquity of the subducting plate and the seamount chain to the trench, make this an ideal location to study the effects of seamount subduction on lithospheric structure. The "before and after" subduction regions have been targeted by several large-scale geophysical projects in recent years; the most recent being the R/V Sonne cruise SO215 in 2011. The crust and upper mantle velocity structure observed in profiles along strike of the seamount chain and perpendicular to the trench from this study, are compared to a similar profile from SO195, recorded ~100 km to the north. The affects of the passage of the seamounts through the subduction system are indicated by velocity anomalies in the crust and mantle of the overriding plate. Preliminary results indicate that in the present collision zone, mantle velocities (Pn) are reduced by ~5%. Around 100 km to the north, where seamounts are inferred to have subducted ~1 Myr ago, a reduction of 7% in mantle P-wave velocity is observed. The width of the trench slope and elevation of the forearc also vary along strike. At the collision zone a >100 km wide collapse region of kilometre-scale block faults comprise the trench slope, while the forearc is elevated. The elevated forearc has a 5 km think upper crust with a Vp of 2.5-5.5 km/s and the collapse zone also has upper crustal velocities as low as 2.5 km/s. To the east in the Pacific Plate, lower P-wave velocities are also observed and attributed to serpentinization due to deep fracturing in the outer trench high. Large bending faults permeate the crust and the

  15. Experimental Characterization of Thermo-electric Driven Liquid Lithium Flow in Narrow Trenches for Magnetic Confinement Fusion

    NASA Astrophysics Data System (ADS)

    Xu, Wenyu; Christenson, Michael; Fiflis, Peter; Curreli, Davide; Andruczyk, Daniel; Ruzic, David

    2013-10-01

    The application of liquid metal, especially liquid lithium has become an important topic for plasma facing component (PFC) design. A liquid PFC can effectively eliminate the erosion and thermal stress problems compared to the solid PFC while transferring heat and prolong the lifetime limit of the PFCs. A liquid lithium surface can also suppress the hydrogen isotopes recycling and getter the impurities in fusion reactors. The Lithium/metal infused trench (LiMIT) concept successfully proved that the thermoelectric effect can be utilized to drive liquid lithium flow within horizontally placed metallic open trenches in transverse magnetic field. A limiter based on this concept was tested in HT-7 and gave out positive results. However a broader application of this concept may require the trench be tilted or even placed vertically, for which strong capillary force caused by narrow trenches may be the solution. A new LiMIT design with very narrow trenches have been manufactured and tested in University of Illinois and related results will be presented. Based on this idea new limiters are designed for EAST and LTX and scheduled experiments on both devices will be discussed. This project is supported by DOE/ALPS contract: DEFG02- 99ER54515.

  16. Initial stage oxidation on nano-trenched Si(1 0 0) surface

    NASA Astrophysics Data System (ADS)

    Sun, Yu; Liu, Yi-Lun; Izumi, Satoshi; Chen, Xue-Feng; Zhai, Zhi; Tian, Shao-Hua

    2018-01-01

    As the size of an electronic element shrinks to nanoscale, trench design of Si strongly influences the performance of related semiconductor devices. By reactive force field molecular dynamics (ReaxFF MD) simulation, the initial stage oxidation on nano-trenched Si(1 0 0) angled 60°, 90°, 120°, 150° under temperatures from 300 K to 1200 K has been studied. Inhomogeneous oxidation at the convex-concave corners of the Si surface was observed. In general, the initial oxidation process on the Si surface was that, firstly, the O atoms ballistically transported into surface, then a high O concentration induced compressive stress at the surface layers, which prevented further oxidation. Compared to the concave corner, the convex one contacted a larger volume of oxygen at the very beginning stage, leading an anisotropic absorption of O atoms. Afterwards, a critical compression was produced at both the convex and concave corners to limit the oxidation. As a result, an inhomogeneous oxide film grew on nano-trenched Si. Meanwhile, due to enhanced O transport and compression relaxation by increasing temperature, the inhomogeneous oxidation was more obvious under 1200 K. These present results explained the observed experimental phenomena on the oxidation of non-planar Si and provided an aspect on the design of nano-trenched electronic components in the semiconductor field.

  17. Pre-Earthquake Paleoseismic Trenching in 2014 Along a Mapped Trace of the West Napa Fault

    NASA Astrophysics Data System (ADS)

    Rubin, R. S.; Dawson, T. E.; Mareschal, M.

    2014-12-01

    Paleoseismic trenching in July 2014 across a previously mapped trace of the West Napa fault in eastern Alston Park (EAP) was undertaken with NEHRP funding as part of an effort to better characterize activity of the fault for regional seismic hazard assessments, and as part of an Alquist-Priolo Earthquake Fault Zoning (APEFZ) evaluation. The trench was excavated across a prominent escarpment that had been interpreted by others to represent evidence of Holocene fault activity, based on faults logged in an ~1-m-deep natural drainage exposure. Our trench was located ~3 m south of the drainage exposure and encompassed the interpreted fault zone, and beyond. The trench exposed the same surficial units as the natural exposure, as well as additional Pleistocene and older stratigraphy at depth. Escarpment parallel channeling was evident within deposits along the base of the slope. Faulting was not encountered, and is precluded by unbroken depositional contacts. Our preferred interpretation is that the escarpment in EAP is a result of fluvial and differential erosion, which is consistent with existence of channels along the base of the escarpment and a lack of faulting. The location of surface rupture of the South Napa Earthquake (SNE) of 8/24/14 occurred on fault strands south and west of this study and crosses Alston Park approximately 800 m west of our trench site, at its nearest point. Pre- and post-earthquake UAVSAR from NASA's JPL been useful in identifying major and minor ruptures of the SNE. Based on the imagery, a subtle lineament has been interpreted upslope from the trench. However, field observations along this feature yielded no visible surface deformation and the origin of this lineament is uncertain. The fault rupture pattern expressed by the SNE, as reflected by detailed field mapping and UAVSAR imagery, provides a unique opportunity to better understand the complex nature of the West Napa fault. Our study illustrates the value of subsurface investigations as

  18. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O'Brien, Brendan

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, togethermore » with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.« less

  19. Trench curvature and deformation of the subducting lithosphere

    NASA Astrophysics Data System (ADS)

    Schettino, Antonio; Tassi, Luca

    2012-01-01

    The subduction of oceanic lithosphere is generally accompanied by downdip and lateral deformation. The downdip component of strain is associated with external forces that are applied to the slab during its sinking, namely the gravitational force and the mantle resistance to penetration. Here, we present theoretical arguments showing that a tectonic plate is also subject to a predictable amount of lateral deformation as a consequence of its bending along an arcuate trench zone, independently from the long-term physical processes that have determined the actual curvature of the subduction zone. In particular, we show that the state of lateral strain and the lateral strain rate of a subducting slab depend from geometric and kinematic parameters, such as trench curvature, dip function and subduction velocity. We also demonstrate that the relationship between the state of lateral strain in a subducting slab and the geometry of bending at the corresponding active margin implies a small component of lateral shortening at shallow depths, and may include large extensional lateral deformation at intermediate depths, whereas a state of lateral mechanical equilibrium can only represent a localized exception. Our formulation overcomes the flaws of the classic 'ping-pong ball' model for the bending of the lithosphere at subduction zones, which lead to severe discrepancies with the observed geometry and style of deformation of the modern subducting slabs. A study of the geometry and seismicity of eight modern subduction zones is performed, to assess the validity of the theoretical relationship between trench curvature, slab dip function, and lateral strain rate. The strain pattern within the eight present-day slabs, which is reconstructed through an analysis of Harvard CMT solutions, shows that tectonic plates cannot be considered as flexible-inextensible spherical caps, whereas the lateral intraslab deformation which is accommodated through seismic slip can be explained in terms

  20. Existing data on the 216-Z liquid waste sites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Owens, K.W.

    1981-05-01

    During 36 years of operation at the Hanford Site, the ground has been used for disposal of liquid and solid transuranic and/or low-level wastes. Liquid waste was disposed in surface and subsurface cribs, trenches, French drains, reverse wells, ditches and ponds. Disposal structures associated with Z Plant received liquid waste from plutonium finishing and reclamation, waste treatment and laboratory operations. The nineteen 216-Z sites have received 83% of the plutonium discharged to 325 liquid waste facilities at the Hanford Site. The purpose of this document is to support the Hanford Defense Waste Environmental Impact Statement by drawing the existing datamore » together for the 216-Z liquid waste disposal sites. This document provides an interim reference while a sitewide Waste Information Data System (WIDS) is developed and put on line. Eventually these and additional site data for all Hanford waste disposal sites will be available on WIDS. Compilation of existing data is the first step in evaluating the need and developing the technology for long-term management of these waste sites. The scope of this document is confined to data describing the status of the 216-Z waste sites as of December 31, 1979. Information and sketches are taken from existing documents and drawings.« less

  1. Corrective Action Plan for Corrective Action Unit 428: Area 3 Septic Waste Systems 1 and 5 Tonopah Test Range, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    D. S. Tobiason

    Area 3 Septic Waste Systems 1 and 5 are located in Area 3 of the Tonopah Test Range (TTR) (Figure 1). The site is listed in the Federal Facility Agreement and Consent Order (FFACO, 1996) as Corrective Action Unit (CAU) 428 and includes Corrective Action Sites 03-05-002-SW01 (Septic Waste System 1 [SWS 1]), and 03-05-002-SW05 (Septic Waste System 5 [SWS 5]). The site history for the CAU is provided in the Corrective Action Investigation Plan (U.S. Department of Energy, Nevada Operations Office [DOE/NV], 1999). SWS 1 consists of two leachfields and associated septic tanks. SWS 1 received effluent from bothmore » sanitary and industrial sources from various buildings in Area 3 of the TTR (Figure 2). SWS 5 is comprised of one leachfield and outfall with an associated septic tank. SWS 5 received effluent from sources in Building 03-50 in Area 3 of the TTR (Figure 2). Both systems were active until 1990 when a consolidated sewer system was installed. The purpose of this Corrective Action Plan (CAP) is to provide the strategy and methodology to close the Area 3 SWS 1 and 5. The CAU will be closed following state and federal regulations and the FFACO (1996). Site characterization was done during May and June 1999. Samples of the tank contents, leachfield soil, and soil under the tanks and pipes were collected. The results of the characterization were reported in the Corrective Action Decision Document (CADD) (DOE/NV, 2000). Additional sampling was done in May 2000, the results of which are presented in this plan. Soil sample results indicated that two constituents of concern were detected above Preliminary Action Levels (PALs). Total arsenic was detected at a concentration of 68.7 milligrams per kilogram (mg/kg). The arsenic was found under the center distribution line at the proximal end of the SWS 5 Leachfield (Figure 3). Total benzo(a)pyrene was detected at a concentration of 480 micrograms per kilogram ({micro}g/kg). The benzo(a)pyrene was found in the soil under the

  2. Radionuclides in shallow groundwater at Solid Waste Storage Area 5 North, Oak Ridge National Laboratory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ashwood, T.L.; Marsh, J.D. Jr.

    1994-04-01

    This report presents a compilation of groundwater monitoring data from Solid Waste Storage Area (SWSA) 5 North at Oak Ridge National Laboratory (ORNL) between November 1989 and September 1993. Monitoring data were collected as part of the Active Sites Environmental Monitoring Program that was implemented in 1989 in response to DOE Order 5820.2A. SWSA 5 North was established for the retrievable storage of transuranic (TRU) wastes in 1970. Four types of storage have been used within SWSA 5 North: bunkers, vaults, wells, and trenches. The fenced portion of SWSA 5 North covers about 3.7 ha (9 acres) in the Whitemore » Oak Creek watershed south of ORNL. The area is bounded by White Oak Creek and two ephemeral tributaries of White Oak Creek. Since 1989, groundwater has been monitored in wells around SWSA 5 North. During that time, elevated gross alpha contamination (reaching as high as 210 Bq/L) has consistently been detected in well 516. This well is adjacent to burial trenches in the southwest corner of the area. Water level measurements in wells 516 and 518 suggest that water periodically inundates the bottom of some of those trenches. Virtually all of the gross alpha contamination is generated by Curium 244 and Americium 241. A special geochemical investigation of well 516 suggests that nearly all of the Curium 44 and Americium 241 is dissolved or associated with dissolved organic matter. These are being transported at the rate of about 2 m/year from the burial trenches, through well 516, to White Oak Creek, where Curium 244 has been detected in a few bank seeps. Concentrations at these seeps are near detection levels (<1 Bq/L).« less

  3. Polyphase tectonics at the southern tip of the Manila trench, Mindoro-Tablas Islands, Philippines

    NASA Astrophysics Data System (ADS)

    Marchadier, Yves; Rangin, Claude

    1990-11-01

    The southern termination of the Manila trench within the South China Sea continental margin in Mindoro is marked by a complex polyphase tectonic fabric in the arc-trench gap area. Onshore Southern Mindoro the active deformation front of the Manila trench is marked by parallel folds and thrusts, grading southward to N50° W-trending left-lateral strike-slip faults. This transpressive tectonic regime, active at least since the Late Pliocene, has overprinted the collision of an Early Miocene volcanic arc with the South China Sea continental margin (San Jose platform). The collision is postdated by deposition of the Late Miocene-Early Pliocene elastics of the East Mindoro basin. The tectonic and geological framework of this arc, which overlies a metamorphic basement and Eocene elastics, suggests that it was built on a drifted block of the South China Sea continental margin.

  4. Ground-water hydrology and subsurface migration of radioisotopes at a low-level solid radioactive-waste disposal site, West Valley, New York

    USGS Publications Warehouse

    Prudic, David E.; Randall, Allan D.

    1977-01-01

    Burial trenches for disposal of solid radioactive waste at West Valley, N.Y., are excavated in till that has very low hydraulic conductivity (about 5 x 10 to the minus 8th power centimeters per second). Fractures and root tubes with chemically oxidized and (or) reduced soil in their walls extend to 3 to 4.5 meters below natural land surface. Preliminary simulations of pressure heads with a digital model suggest that hydraulic conductivity is an order of magnitude greater in the fractured till near land surface than at greater depth. Hydraulic gradients are predominantly downward, even beneath small valleys. The upper part of a body of underlying lacustrine silt is unsaturated; in the lower, saturated part, slow lateral flow may occur. In the older trenches, water began to build up in 1971, overflowed briefly in 1975, and was pumped out in 1975-76. Water levels rose abruptly during major rainstorms in mid-1975, indicating rapid infiltration through cracks in the cover material. The new trenches have maintained low, stable water levels, perhaps because of thicker, more compact cover and less waste settlement; pressure heads near these trenches are low, locally approaching zero, perhaps because of slight infiltration and limited near-surface storage. Peak tritium concentrations in test-hole cores (generally 0.00001 to 0.001 microcuries per milliliter) were found within 3 meters of land surface and are attributed to surface contamination. Concentrations declined rapidly with depth within the fractured till; secondary peaks found at about 9 meters in three holes are attributed to lateral migration from trenches. Other radioisotopes were detected only near land surface. Samples from the walls of shallow fractures revealed no accumulation of radioisotopes. (Woodard-USGS)

  5. The centenary of the discovery of trench fever, an emerging infectious disease of World War 1.

    PubMed

    Anstead, Gregory M

    2016-08-01

    In 1915, a British medical officer on the Western Front reported on a soldier with relapsing fever, headache, dizziness, lumbago, and shin pain. Within months, additional cases were described, mostly in frontline troops, and the new disease was called trench fever. More than 1 million troops were infected with trench fever during World War 1, with each affected soldier unfit for duty for more than 60 days. Diagnosis was challenging, because there were no pathognomonic signs and symptoms and the causative organism could not be cultured. For 3 years, the transmission and cause of trench fever were hotly debated. In 1918, two commissions identified that the disease was louse-borne. The bacterium Rickettsia quintana was consistently found in the gut and faeces of lice that had fed on patients with trench fever and its causative role was accepted in the 1920s. The organism was cultured in the 1960s and reclassified as Bartonella quintana; it was also found to cause endocarditis, peliosis hepatis, and bacillary angiomatosis. Subsequently, B quintana infection has been identified in new populations in the Andes, in homeless people in urban areas, and in individuals with HIV. The story of trench fever shows how war can lead to the recrudescence of an infectious disease and how medicine approached an emerging infection a century ago. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. Elasto-plastic deformation and plate weakening due to normal faulting in the subducting plate along the Mariana Trench

    NASA Astrophysics Data System (ADS)

    Zhou, Zhiyuan; Lin, Jian

    2018-06-01

    We investigated variations in the elasto-plastic deformation of the subducting plate along the Mariana Trench through an analysis of flexural bending and normal fault characteristics together with geodynamic modeling. Most normal faults were initiated at the outer-rise region and grew toward the trench axis with strikes mostly subparallel to the local trench axis. The average trench relief and maximum fault throws were measured to be significantly greater in the southern region (5 km and 320 m, respectively) than the northern and central regions (2 km and 200 m). The subducting plate was modeled as an elasto-plastic slab subjected to tectonic loading at the trench axis. The calculated strain rates and velocities revealed an array of normal fault-like shear zones in the upper plate, resulting in significant faulting-induced reduction in the deviatoric stresses. We then inverted for solutions that best fit the observed flexural bending and normal faulting characteristics, revealing normal fault penetration to depths of 21, 20, and 32 km beneath the seafloor for the northern, central, and southern regions, respectively, which is consistent with the observed depths of the relocated normal faulting earthquakes in the central Mariana Trench. The calculated deeper normal faults of the southern region might lead to about twice as much water being carried into the mantle per unit trench length than the northern and central regions. We further calculated that normal faulting has reduced the effective elastic plate thickness Te by up to 52% locally in the southern region and 33% in both the northern and central regions. The best-fitting solutions revealed a greater apparent angle of the pulling force in the southern region (51-64°) than in the northern (22-35°) and central (20-34°) regions, which correlates with a general southward increase in the seismically-determined dip angle of the subducting slab along the Mariana Trench.

  7. Trench fast reactor design using the microcomputer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rohach, A.F.; Sankoorikal, J.T.; Schmidt, R.R.

    1987-01-01

    This project is a study of alternative liquid-metal-cooled fast power reactor system concepts. Specifically, an unconventional primary system is being conceptually designed and evaluated. The project design is based primarily on microcomputer analysis through the use of computational modules. The reactor system concept is a long, narrow pool with a long, narrow reactor called a trench-type pool reactor in it. The reactor consists of five core-blanket modules in a line. Specific power is to be modest, permitting long fuel residence time. Two fuel cycles are currently being considered. The reactor design philosophy is that of the inherently safe concept. Thismore » requires transient analysis dependent on reactivity coefficients: prompt fuel, including Doppler and expansion, fuel expansion, sodium temperature and void, and core expansion. Conceptual reactor design is done on a microcomputer. A part of the trench reactor project is to develop a microcomputer-based system that can be used by the user for scoping studies and design. Current development includes the neutronics and fuel management aspects of the design. Thermal-hydraulic analysis and economics are currently being incorporated into the microcomputer system. The system is menu-driven including preparation of program input data and of output data for displays in graphics form.« less

  8. Design, fabrication, and characteristics of microheaters with low consumption power using SDB SOI membrane and trench structures

    NASA Astrophysics Data System (ADS)

    Chung, Gwiy-Sang; Choi, Sung-Kyu; Nam, Hoy-Duck

    2001-10-01

    This paper presents the optimized design, fabrication and thermal characteristics of micro-heaters for thermal MEMS (micro electro mechanical system) applications using SDB and SOI membranes and trench structures. The micro-heater is based on a thermal measurement principle and contains for thermal isolation regions a 10 micrometers thick Si membrane with oxide-filled trenches in the SOI membrane rim. The micro- heater was fabricated with Pt-RTD on the same substrate by using MgO as medium layer. The thermal characteristics of the micro-heater with the SOI membrane is 280 degree(s)C at input power 0.9 W; for the SOI membrane with 10 trenches, it is 580 degree(s)C due to reduction of the external thermal loss. Consequently, the micro-heater with trenches in SOI membrane rim provides a powerful and versatile alternative technology for improving the performance of micro-thermal sensors and actuators.

  9. Geohydrology of the near-surface unsaturated zone adjacent to the disposal site for low-level radioactive waste near Beatty, Nevada: A section in Safe disposal of radionuclides in low-level radioactive-waste repository sites; Low-level radioactive-waste disposal workshop, U.S. Geological Survey, July 11-16, 1987, Big Bear Lake, Calif., Proceedings (Circular 1036)

    USGS Publications Warehouse

    Fisher, Jeffrey M.; Bedinger, Marion S.; Stevens, Peter R.

    1990-01-01

    Shallow-land burial in arid areas is considered the best method for isolating low-level radioactive waste from the environment (Nichols and Goode, this report; Mercer and others, 1983). A major threat to waste isolation in shallow trenches is ground-water percolation. Repository sites in arid areas are believed to minimize the risk of ground-water contamination because such sites receive minimal precipitation and are underlain by thick unsaturated zones. Unfortunately, few data are available on rates of water percolation in an arid environment.

  10. Trench motion-controlled slab morphology and stress variations: Implications for the isolated 2015 Bonin Islands deep earthquake

    NASA Astrophysics Data System (ADS)

    Yang, Ting; Gurnis, Michael; Zhan, Zhongwen

    2017-07-01

    The subducted old and cold Pacific Plate beneath the young Philippine Sea Plate at the Izu-Bonin trench over the Cenozoic hosts regional deep earthquakes. We investigate slab morphology and stress regimes under different trench motion histories with mantle convection models. Viscosity, temperature, and deviatoric stress are inherently heterogeneous within the slab, which we link to the occurrence of isolated earthquakes. Models expand on previous suggestions that observed slab morphology variations along the Izu-Bonin subduction zone, exhibited as shallow slab dip angles in the north and steeper dip angles in the south, are mainly due to variations in the rate of trench retreat from the north (where it is fast) to the south (where it is slow). Geodynamic models consistent with the regional plate tectonics, including oceanic plate age, plate convergence rate, and trench motion history, reproduce the seismologically observed principal stress direction and slab morphology. We suggest that the isolated 680 km deep, 30 May 2015 Mw 7.9 Bonin Islands earthquake, which lies east of the well-defined Benioff zone and has its principal compressional stress direction oriented toward the tip of the previously defined Benioff zone, can be explained by Pacific slab buckling in response to the slow trench retreat.

  11. The tectonic origin of the Aurora and Concordia Trenches, Dome C area, East Antarctica

    NASA Astrophysics Data System (ADS)

    Cianfarra, P.; Bianchi, C.; Forieri, A.; Salvini, F.; Tabacco, I. E.

    2003-04-01

    The bedrock below the Ice Cap in the Dome C area, East Antarctica, is characterised by the presence of a series of elongated depressions separating rigdes, with the Aurora and Concordia Trenches representing the major depressions. At these depressions the ice cap reaches a thickness of over 4000 m, leaving the possibility to have water deposits at their bottom. The well known Lake Vostok represents by far the largest and most famous of these structures. The relative young age of the Antarctic Ice Cap, about 38 Ma, compared with the old, Mesozoic age of the former, continental landscape constrains the age of these structures in Cenozoic time. The Aurora and Concordia trenches show a characteristic asymmetric shape, difficult to merely explain with erosional processes. On the other hand, this asymmetric shape is typical of morphologies resulting from fault activity, and specifically the presence of active normal faults with planes of variable dip. The bedrock morphologies at these trenches were compared with normal faulting processes by a series of numerical modelling to evaluate the possibility of a tectonic origin. Modelling of the bedrock morphology was simulated by the Hybrid Cellular Automata method (HCA) through the Forc2D software implementation. Within the Italian PNRA (Programma Nazionale Ricerche in Antartide) a series of airborne radar surveys was performed in the Lake Vostok-Dome C region in the last decade. Four meaningful bedrock profiles were selected, to provide, as close as possible, across strike sections of the Aurora and Concordia trenches . The optimal orientation was then achieved by projecting the data along a perfectly across strike trajectory. In this way it was possible to simulate the faulting as a cylindrical deformation, suitable to be modelled by 2D software. Two sections were prepared for each trench and the same fault setting was applied to each couple. The match was obtained by a forward modelling approach, in that the fault trace and

  12. New GaN Schottky barrier diode employing a trench on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Ha, Min-Woo; Lee, Seung-Chul; Choi, Young-Hwan; Kim, Soo-Seong; Yun, Chong-Man; Han, Min-Koo

    2006-10-01

    A new GaN Schottky barrier diode employing a trench structure, which is proposed and fabricated, successfully decreases a forward voltage drop without sacrificing any other electric characteristics. The trench is located in the middle of Schottky contact during a mesa etch. The Schottky metal of Pt/Mo/Ti/Au is e-gun evaporated on the 300 nm-deep trench as well as the surface of the proposed GaN Schottky barrier diode. The trench forms the vertical Au Schottky contact and lateral Pt Schottky contact due to the evaporation sequence of Schottky metal. The forward voltage drops of the proposed diode and conventional one are 0.73 V and 1.25 V respectively because the metal work function (5.15 eV) of the vertical Au Schottky contact is considerably less than that of the lateral Pt Schottky contact (5.65 eV). The proposed diode exhibits the low on-resistance of 1.58 mΩ cm 2 while the conventional one exhibits 8.20 mΩ cm 2 due to the decrease of a forward voltage drop.

  13. Studying Near-Trench Characteristics of the 2011 Tohoku-Oki Megathrust Rupture Using Differential Multi-Beam Bathymetry before and after the Earthquake

    NASA Astrophysics Data System (ADS)

    Sun, T.; Fujiwara, T.; Kodaira, S.; Wang, K.; He, J.

    2014-12-01

    Large coseismic motion (up to ~ 31 m) of seafloor GPS sites during the 2011 M 9 Tohoku earthquake suggests large rupture at shallow depths of the megathrust. However, compilation of all published rupture models, constrained by the near-field seafloor geodetic observation and also various other datasets, shows large uncertainties in the slip of the most near-trench (within ~ 50 km from the trench) part of the megathrust. Repeated multi-beam bathymetry surveys that cover the trench axis, carried out by Japan Agency for Marine-Earth Science and Technology, for the first time recorded coseismic deformation in a megathrust earthquake at the trench. In previous studies of the differential bathymetry (DB) before and after the earthquake to determine coseismic fault slip, only the rigid-body translation component of the upper plate deformation was considered. In this work, we construct Synthetic Differential Bathymetry (SDB) using an elastic deformation model and make comparisons with the observed DB. We use a 3-D elastic Finite Element model with actual fault geometry of the Japan trench subduction zone and allowing the rupture to breach the trench. The SDB can well predict short-wavelength variations in the observed DB. Our tests using different coseismic slip models show that the internal elastic deformation of the hanging wall plays an important role in generating DB. Comparing the SDB with the observed DB suggests that the largest slip is located within ~ 50 km from the trench. The SDB proves to be the most effective tool to evaluate the performance of different rupture models in predicting near-trench slip. Our SDB work will further explore the updip slip variation. The SDB may help to constrain the slip gradient in the updip direction and may help to determine whether the large shallow slip in the Tohoku earthquake plateaued at the trench or before reaching the trench. Resolving these issues will provide some of the key tests for various competing models that were

  14. Elimination of trench defects and V-pits from InGaN/GaN structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smalc-Koziorowska, Julita; Grzanka, Ewa; Czernecki, Robert

    2015-03-09

    The microstructural evolution of InGaN/GaN multiple quantum wells grown by metalorganic chemical vapor phase epitaxy was studied as a function of the growth temperature of the GaN quantum barriers (QBs). We observed the formation of basal stacking faults (BSFs) in GaN QBs grown at low temperature. The presence of BSFs terminated by stacking mismatch boundaries (SMBs) leads to the opening of the structure at the surface into a V-shaped trench loop. This trench may form above an SMB, thereby terminating the BSF, or above a junction between the SMB and a subsequent BSF. Fewer BSFs and thus fewer trench defectsmore » were observed in GaN QBs grown at temperatures higher than 830 °C. Further increase in the growth temperature of the GaN QBs led to the suppression of the threading dislocation opening into V-pits.« less

  15. RF dual-gate-trench LDMOS on InGaAs with improved performance

    NASA Astrophysics Data System (ADS)

    Payal, M.; Singh, Y.

    2018-02-01

    A new power dual-gate-trench LDMOSFET (DGTLDMOS) structure implemented on emerging InGaAs material is proposed. The proposed device consists of two gates out of which one gate is placed horizontally on the surface while other gate is located vertically in a trench. The dual-gate structure of DGTLDMOS creates two channels in p-base which carry current simultaneously from drain to source. This not only enhances the drain current (ID) but also reduces specific on-resistance (Ron,sp) and improves the peak transconductance (gm) resulting higher cut-off frequency (fT) and maximum oscillation frequency (fmax). Another trench filled with Al2O3 is placed in the drift region between gate and drain to enhance reduced-surface-field effect leading to higher breakdown voltage (Vbr) even at increased drift region doping. Based on 2D simulations, it is demonstrate that a DGTLDMOS designed for Vbr of 90 V achieves 2.2 times higher ID, 10 times reduction in Ron,sp, 1.8 times improvement in gm, 2.8 times increase in fT, and 1.8 times improvement in fmax with 3.3 times reduction in cell pitch as compared to the conventional LDMOS.

  16. Chemical Waste Landfill Annual Post-Closure Care Report Calendar Year 2014

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchell, Michael Marquand; Little, Bonnie Colleen

    The CWL is a 1.9-acre remediated interim status landfill located in the southeastern corner of SNL/NM Technical Area III (Figures 2-1 and 2-2) undergoing post-closure care in accordance with the PCCP (NMED October 2009 and subsequent revisions). From 1962 until 1981, the CWL was used for the disposal of chemical and solid waste generated by SNL/NM research activities. Additionally, a small amount of radioactive waste was disposed of during the operational years. Disposal of liquid waste in unlined pits and trenches ended in 1981, and after 1982 all liquid waste disposal was terminated. From 1982 through 1985, only solid wastemore » was disposed of at the CWL, and after 1985 all waste disposal ended. The CWL was also used as a hazardous waste drum-storage facility from 1981 to 1989. A summary of the CWL disposal history is presented in the Closure Plan (SNL/NM December 1992) along with a waste inventory based upon available disposal records and information.« less

  17. Anisotropic relaxation behavior of InGaAs/GaAs selectively grown in narrow trenches on (001) Si substrates

    NASA Astrophysics Data System (ADS)

    Guo, W.; Mols, Y.; Belz, J.; Beyer, A.; Volz, K.; Schulze, A.; Langer, R.; Kunert, B.

    2017-07-01

    Selective area growth of InGaAs inside highly confined trenches on a pre-patterned (001) Si substrate has the potential of achieving a high III-V crystal quality due to high aspect ratio trapping for improved device functionalities in Si microelectronics. If the trench width is in the range of the hetero-layer thickness, the relaxation mechanism of the mismatched III-V layer is no longer isotropic, which has a strong impact on the device fabrication and performance if not controlled well. The hetero-epitaxial nucleation of InxGa1-xAs on Si can be simplified by using a binary nucleation buffer such as GaAs. A pronounced anisotropy in strain release was observed for the growth of InxGa1-xAs on a fully relaxed GaAs buffer with a (001) surface inside 20 and 100 nm wide trenches, exploring the full composition range from GaAs to InAs. Perpendicular to the trench orientation (direction of high confinement), the strain release in InxGa1-xAs is very efficiently caused by elastic relaxation without defect formation, although a small compressive force is still induced by the trench side walls. In contrast, the strain release along the trenches is governed by plastic relaxation once the vertical film thickness has clearly exceeded the critical layer thickness. On the other hand, the monolithic deposition of mismatched InxGa1-xAs directly into a V-shaped trench bottom with {111} Si planes leads instantly to a pronounced nucleation of misfit dislocations along the {111} Si/III-V interfaces. In this case, elastic relaxation no longer plays a role as the strain release is ensured by plastic relaxation in both directions. Hence, using a ternary seed layer facilitates the integration of InxGa1-xAs covering the full composition range.

  18. Corrective action investigation plan for CAU Number 453: Area 9 Landfill, Tonopah Test Range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    This Corrective Action Investigation Plan (CAIP) contains the environmental sample collection objectives and criteria for conducting site investigation activities at the Area 9 Landfill, Corrective Action Unit (CAU) 453/Corrective Action (CAS) 09-55-001-0952, which is located at the Tonopah Test Range (TTR). The TTR, included in the Nellis Air Force Range, is approximately 255 kilometers (140 miles) northwest of Las Vegas, Nevada. The Area 9 Landfill is located northwest of Area 9 on the TTR. The landfill cells associated with CAU 453 were excavated to receive waste generated from the daily operations conducted at Area 9 and from range cleanup whichmore » occurred after test activities.« less

  19. Capacitive radio frequency discharges with a single ring-shaped narrow trench of various depths to enhance the plasma density and lateral uniformity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtsu, Y., E-mail: ohtsuy@cc.saga-u.ac.jp; Matsumoto, N.; Schulze, J.

    2016-03-15

    Spatial structures of the electron density and temperature in ring-shaped hollow cathode capacitive rf plasma with a single narrow trench of 2 mm width have been investigated at various trench depths of D = 5, 8, 10, 12, and 15 mm. It is found that the plasma density is increased in the presence of the trench and that the radial profile of the plasma density has a peak around the narrow hollow trench near the cathode. The density becomes uniform further away from the cathode at all trench depths, whereas the electron temperature distribution remains almost uniform. The measured radial profiles of the plasmamore » density are in good agreement with a theoretical diffusion model for all the trench depths, which explains the local density increase by a local enhancement of the electron heating. Under the conditions investigated, the trench of 10 mm depth is found to result in the highest plasma density at various axial and radial positions. The results show that the radial uniformity of the plasma density at various axial positions can be improved by using structured electrodes of distinct depths rather than planar electrodes.« less

  20. Incidence of Trench Breakouts Following Applications of Trench Insert Barriers to Control Root Transmission of Ceratocytis Fagacearum in Texas Live Oaks, 1998

    Treesearch

    A. Dan Wilson; D.G. Lester

    1999-01-01

    Fourth-year field evaluations of four trench insert materials, including water-permeable Typar® polypropylene spunbonded fabric, Biobarrier® or Typar® with trifluralin-impregnated nodules, and water-impermeable polyethylene Geomembrane liners of two thicknesses (20 and 30 mil), were conducted to further test the effectiveness of these physical and/or chemical...

  1. Advocacy for Kids: A View from the Residential Trenches.

    ERIC Educational Resources Information Center

    Parsons, Jon R.

    1995-01-01

    Presents the concept of advocacy in the trenches, wherein residential care staff intercede with and for dysfunctional families, dysfunctional children, and the bureaucracy. This advocacy emphasizes individualized treatment and case-by-case networking, focusing not on broad causes but on what is in the best interest of each child. (ET)

  2. Sulfate Deposition in Regolith Exposed in Trenches on the Plains Between the Spirit Landing Site and Columbia Hills in Gusev Crater, Mars

    NASA Technical Reports Server (NTRS)

    Wang, Alian; Haskin, L. A.; Squyres, S. W.; Arvidson, R.; Crumpler, L.; Gellert, R.; Hurowitz, J.; Schroeder, C.; Tosca, N.; Herkenhoff, K.

    2005-01-01

    During its exploration within Gusev crater between sol 01 and sol 158, the Spirit rover dug three trenches (Fig. 1) to expose the subsurface regolith [1, 2, 9]. Laguna trench (approx. 6 cm deep, approx.203 m from the rim of Bonneville crater) was dug in Laguna Hollow at the boundary of the impact ejecta from Bonneville crater and the surrounding plains. The Big Hole trench (approx. 6-7 cm deep) and The Boroughs trench (approx. 11 cm deep) were dug in the plains between the Bonneville crater and the Columbia Hills (approx.556 m and approx.1698 m from the rim of Bonneville crater respectively). The top, wall and floor regolith of the three trenches were investigated using the entire set of Athena scientific instruments [10].

  3. Formation of metal and dielectric liners using a solution process for deep trench capacitors.

    PubMed

    Ham, Yong-Hyun; Kim, Dong-Pyo; Baek, Kyu-Ha; Park, Kun-Sik; Kim, Moonkeun; Kwon, Kwang-Ho; Shin, Hong-Sik; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We demonstrated the feasibility of metal and dielectric liners using a solution process for deep trench capacitor application. The deep Si trench via with size of 10.3 microm and depth of 71 microm were fabricated by Bosch process in deep reactive ion etch (DRIE) system. The aspect ratio was about 7. Then, nano-Ag ink and poly(4-vinylphenol) (PVPh) were used to form metal and dielectric liners, respectively. The thicknesses of the Ag and PVPh liners were about 144 and 830 nm, respectively. When the curing temperature of Ag film increased from 120 to 150 degrees C, the sheet resistance decreased rapidly from 2.47 to 0.72 Omega/sq and then slightly decreased to 0.6 Omega/sq with further increasing the curing temperature beyond 150 degrees C. The proposed liner formation method using solution process is a simple and cost effective process for the high capacity of deep trench capacitor.

  4. 125. HYDRAULIC CONTROLS FOR MAST TRENCH DOORS ON LEFT SIDE ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    125. HYDRAULIC CONTROLS FOR MAST TRENCH DOORS ON LEFT SIDE OF HYDRAULIC CONTROL PANEL IN UMBILICAL MAST PUMP ROOM (209), LSB (BLDG. 751) - Vandenberg Air Force Base, Space Launch Complex 3, Launch Pad 3 East, Napa & Alden Roads, Lompoc, Santa Barbara County, CA

  5. Tuning the sensing range of silicon pressure sensor by trench etching technology

    NASA Astrophysics Data System (ADS)

    Chou, Yu-Tuan; Lin, Hung-Yi; Hu, Hsin-Hua

    2006-01-01

    The silicon pressure sensor has been developed for over thirty years and widely used in automobiles, medical instruments, commercial electronics, etc. There are many different specifications of silicon pressure sensors that cover a very large sensing range, from less than 1 psi to as high as 1000 psi. The key elements of the silicon pressure sensor are a square membrane and the piezoresistive strain gages near the boundary of the membrane. The dimensions of the membrane determine the full sensing range and the sensitivity of the silicon sensor, including thickness and in-plane length. Unfortunately, in order to change the sensing range, the manufacturers need to order a customized epi wafer to get the desired thickness. All masks (usually six) have to be re-laid and re-fabricated for different membrane sizes. The existing technology requires at least three months to deliver the prototype for specific customer requests or the new application market. This research proposes a new approach to dramatically reduce the prototyping time from three months to one week. The concept is to tune the rigidity of the sensing membrane by modifying the boundary conditions without changing the plenary size. An extra mask is utilized to define the geometry and location of deep-RIE trenches and all other masks remain the same. Membranes with different depths and different patterns of trenches are designed for different full sensing ranges. The simulation results show that for a 17um thick and 750um wide membrane, the adjustable range by tuning trench depth is about 45% (from 5um to 10um), and can go to as high as 100% by tuning both the pattern and depth of the trenches. Based on an actual test in a product fabrication line, we verified that the total delivery time can be minimized to one week to make the prototyping very effective and cost-efficient.

  6. Adsorption and degradation of 14C-bisphenol A in a soil trench.

    PubMed

    Shen, Jian; Wang, Xin-Ze; Zhang, Zhen; Sui, Yan-Ming; Wu, Hai-Lu; Feng, Ji-Meng; Tong, Xin-Nan; Zhang, Zhen-Yu

    2017-12-31

    Bisphenol A (BPA) has caused widespread concern among scholars as a result of its estrogenic toxicity. It exists mainly in natural waters, sediments, and soil, as well as sewage and wastewater sludge. Considering that BPA is a common environmental pollutant that is removed along with chemical oxygen demand (COD), nitrogen, and phosphorus in drainage treatment systems, it is important to research the fate of BPA in sewage treatment systems. In this research, laboratory batch experiments on soil degradation and adsorption were conducted with 14 C-BPA, aiming to discuss the transport and degradation characteristics of BPA in both simulated facilities and a soil trench. Based on the experimental results, the Freundlich model could be applied to fit the isothermal adsorption curve of the BPA in soil. A low mobility characteristic of BPA was discovered. The mineralization rate of BPA was fast and that of the reaction showed small fluctuations. After degradation, 21.3 and 17.7% of the BPA groups (the experimental group treated with ammonia oxidase (AMO) inhibitor and the control group) were converted into 14 CO 2 , respectively. This indicates that the nitrification and degradation of BPA had a certain competitive relationship. Besides, nitrification did not significantly affect the soil residue of BPA. Through the soil trench test, the average removal rate of BPA in the soil trench was 85.5%. 14 CO 2 was discharged via the mineralization of BPA, accounting for 2.5% of the initial input. BPA easily accumulated in the bottom soil of the soil trench. BPA and its metabolites in the effluent accounted for 14.5% of the initial dosage. The residual extractable BPA and its metabolites in the soil accounted for 51.3%, and the remaining part of the unextractable residue represented 19.8% of the initial radioactive dosage. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Closure Report for Corrective Action Unit 139: Waste Disposal Sites, Nevada Test Site, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NSTec Environmental Restoration

    2009-07-31

    Corrective Action Unit (CAU) 139 is identified in the Federal Facility Agreement and Consent Order (FFACO) as 'Waste Disposal Sites' and consists of the following seven Corrective Action Sites (CASs), located in Areas 3, 4, 6, and 9 of the Nevada Test Site: CAS 03-35-01, Burn Pit; CAS 04-08-02, Waste Disposal Site; CAS 04-99-01, Contaminated Surface Debris; CAS 06-19-02, Waste Disposal Site/Burn Pit; CAS 06-19-03, Waste Disposal Trenches; CAS 09-23-01, Area 9 Gravel Gertie; and CAS 09-34-01, Underground Detection Station. Closure activities were conducted from December 2008 to April 2009 according to the FFACO (1996, as amended February 2008) andmore » the Corrective Action Plan for CAU 139 (U.S. Department of Energy, National Nuclear Security Administration Nevada Site Office, 2007b). The corrective action alternatives included No Further Action, Clean Closure, and Closure in Place with Administrative Controls. Closure activities are summarized. CAU 139, 'Waste Disposal Sites,' consists of seven CASs in Areas 3, 4, 6, and 9 of the NTS. The closure alternatives included No Further Action, Clean Closure, and Closure in Place with Administrative Controls. This CR provides a summary of completed closure activities, documentation of waste disposal, and confirmation that remediation goals were met. The following site closure activities were performed at CAU 139 as documented in this CR: (1) At CAS 03-35-01, Burn Pit, soil and debris were removed and disposed as LLW, and debris was removed and disposed as sanitary waste. (2) At CAS 04-08-02, Waste Disposal Site, an administrative UR was implemented. No postings or post-closure monitoring are required. (3) At CAS 04-99-01, Contaminated Surface Debris, soil and debris were removed and disposed as LLW, and debris was removed and disposed as sanitary waste. (4) At CAS 06-19-02, Waste Disposal Site/Burn Pit, no work was performed. (5) At CAS 06-19-03, Waste Disposal Trenches, a native soil cover was installed, and a UR was

  8. Unreviewed Disposal Question Evaluation: Impact of New Information since 2008 PA on Current Low-Level Solid Waste Operations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flach, G.; Smith, F.; Hamm, L.

    2014-10-06

    of this UDQE has rendered the projected final SOF for SLIT9 less than the WITS Admin Limit. With respect to future disposal unit operations in the East Slit Trench Group, consideration of new information for Slit Trench#14 (SLIT14) reduced the current SOF for the limiting All-Pathways 200-1000 year period (AP2) by an order of magnitude and by one quarter for the Beta-Gamma 12-100 year period (BG2) pathway. On the balance, updates to K{sub d} values and dose factors and elimination of CDP factors (generally favorable) more than compensated for the detrimental impact of a more rigorous treatment of plume dispersion. These observations suggest that future operations in the East Slit Trench Group can be conducted with higher confidence using current inventory limits, and that limits could be increased if desired for future low-level waste disposal units. The same general conclusion applies to future ST’s in the West Slit Trench Group based on the Impacted Final SOFs for existing ST’s in that area.« less

  9. 31. VIEW LOOKING EAST DOWN THE FLAME TRENCH OF THE ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    31. VIEW LOOKING EAST DOWN THE FLAME TRENCH OF THE STATIC TEST TOWER AS A JUPITER ROCKET IS BEING HOISTED INTO POSITION. DATE AND PHOTOGRAPHER UNKNOWN, MSFC PHOTO LAB. - Marshall Space Flight Center, Saturn Propulsion & Structural Test Facility, East Test Area, Huntsville, Madison County, AL

  10. 6. AERIAL VIEW LOOKING NORTHWEST SHOWING SALVAGE ARCHAEOLOGY TRENCH, ERECTING ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    6. AERIAL VIEW LOOKING NORTHWEST SHOWING SALVAGE ARCHAEOLOGY TRENCH, ERECTING SHOP, ADMINISTRATION BUILDING, FITTING SHOP, MILLWRIGHT SHOP. DOLPHIN MANUFACTURING CO. AND BARBOUR FLAX SPINNING CO. IN LOWER LEFT, SUM HYDROELECTRIC IN UPPER RIGHT. - Rogers Locomotive & Machine Works, Spruce & Market Streets, Paterson, Passaic County, NJ

  11. Variations in seismic velocity distribution along the Ryukyu (Nansei-Shoto) Trench subduction zone at the northwestern end of the Philippine Sea plate

    NASA Astrophysics Data System (ADS)

    Nishizawa, Azusa; Kaneda, Kentaro; Oikawa, Mitsuhiro; Horiuchi, Daishi; Fujioka, Yukari; Okada, Chiaki

    2017-06-01

    The Ryukyu (Nansei-Shoto) island arc-trench system, southwest of Japan, is formed by the subduction of the Philippine Sea (PHS) plate. Among the subduction zones surrounding the Japan Islands, the Ryukyu arc-trench system is unique in that its backarc basin, the Okinawa Trough, is the area with current extensively active rifting. The length of the trench is around 1400 km, and the geological and geophysical characteristics vary significantly along the trench axis. We conducted multichannel seismic (MCS) reflection and wide-angle seismic surveys to elucidate the along-arc variation in seismic structures from the island arc to the trench regions, shooting seven seismic lines across the arc-trench system and two along-arc lines in the island arc and the forearc areas. The obtained P-wave velocity models of the Ryukyu arc crust were found to be heterogeneous (depending on the seismic lines), but they basically consist of upper, middle, and lower crusts, indicating a typical island arc structure. Beneath the bathymetric depressions cutting the island arc—for example, the Kerama Gap and the Miyako Saddle—the MCS record shows many across-arc normal faults, which indicates the presence of an extensional regime along the island arc. In the areas from the forearc to the trench, the subduction of the characteristic seafloor features on the PHS plate affects seismic structures; the subducted bathymetric high of the Amami Plateau is detected in the northern trench: the Luzon-Okinawa fracture zone beneath the middle and southern trenches. There are low-velocity (< 4.5 km/s) wedges along the forearc areas, except for off Miyako-jima Island. The characteristic high gravity anomaly at the forearc off Miyako-jima Island is caused not by a bathymetric high of a large-scale accretionary wedge but by shallower materials with a high P-wave velocity of 4.5 km/s.[Figure not available: see fulltext.

  12. Environmental Geochemistry and Acid Mine Drainage Evaluation of an Abandoned Coal Waste Pile at the Alborz-Sharghi Coal Washing Plant, NE Iran

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jodeiri Shokri, Behshad, E-mail: b.jodeiri@hut.ac.ir; Doulati Ardejani, Faramarz; Ramazi, Hamidreza

    In this paper, an abandoned waste coal pile, which is resulted from Alborz-Sharghi coal washing plant, NE of Iran was mineralogically and geochemically characterized to evaluate pyrite oxidation, acid mine drainage (AMD) generation, and trace element mobility. After digging ten trenches and vertical sampling, a quantitative method including the atomic absorption test, and the quality-based methods including optical study were carried out for determination of pyrite fractions in the waste pile. The geochemical results revealed that the fraction of remaining pyrite increased with depth, indicating that pyrite oxidation is limited to the shallower depths of the pile which were confirmedmore » by variations of sulfate, pH, EC, and carbonate with depth of the pile. To evaluate the trend of trace elements and mineralogical constituents of the waste particles, the samples were analyzed by using XRD, ICP-MS, and ICP-OES methods. The results showed the secondary and neutralizing minerals comprising gypsum have been formed below the oxidation zone. Besides, positive values of net neutralization potential indicated that AMD generation has not taken in the waste pile. In addition, variations of trace elements with depth reveal that Pb and Zn exhibited increasing trends from pile surface toward the bottom sampling trenches while another of them such as Cu and Ni had decreasing trends with increasing depth of the waste pile.« less

  13. Seismicity in the source areas of the 1896 and 1933 Sanriku earthquakes and implications for large near-trench earthquake faults

    NASA Astrophysics Data System (ADS)

    Obana, Koichiro; Nakamura, Yasuyuki; Fujie, Gou; Kodaira, Shuichi; Kaiho, Yuka; Yamamoto, Yojiro; Miura, Seiichi

    2018-03-01

    In the northern part of the Japan Trench, the 1933 Showa-Sanriku earthquake (Mw 8.4), an outer-trench, normal-faulting earthquake, occurred 37 yr after the 1896 Meiji-Sanriku tsunami earthquake (Mw 8.0), a shallow, near-trench, plate-interface rupture. Tsunamis generated by both earthquakes caused severe damage along the Sanriku coast. Precise locations of earthquakes in the source areas of the 1896 and 1933 earthquakes have not previously been obtained because they occurred at considerable distances from the coast in deep water beyond the maximum operational depth of conventional ocean bottom seismographs (OBSs). In 2015, we incorporated OBSs designed for operation in deep water (ultradeep OBSs) in an OBS array during two months of seismic observations in the source areas of the 1896 and 1933 Sanriku earthquakes to investigate the relationship of seismicity there to outer-rise normal-faulting earthquakes and near-trench tsunami earthquakes. Our analysis showed that seismicity during our observation period occurred along three roughly linear trench-parallel trends in the outer-trench region. Seismic activity along these trends likely corresponds to aftershocks of the 1933 Showa-Sanriku earthquake and the Mw 7.4 normal-faulting earthquake that occurred 40 min after the 2011 Tohoku-Oki earthquake. Furthermore, changes of the clarity of reflections from the oceanic Moho on seismic reflection profiles and low-velocity anomalies within the oceanic mantle were observed near the linear trends of the seismicity. The focal mechanisms we determined indicate that an extensional stress regime extends to about 40 km depth, below which the stress regime is compressional. These observations suggest that rupture during the 1933 Showa-Sanriku earthquake did not extend to the base of the oceanic lithosphere and that compound rupture of multiple or segmented faults is a more plausible explanation for that earthquake. The source area of the 1896 Meiji-Sanriku tsunami earthquake is

  14. Logs and data from trenches across the Hayward Fault at Tyson's Lagoon (Tule Pond), Fremont, Alameda County, California

    USGS Publications Warehouse

    Linenkaemper, James J.; Dawson, Timothy E.; Personius, Stephen F.; Seitz, Gordon G.; Reidy, Liam M.; Schwartz, David P.

    2002-01-01

    INTRODUCTION The purpose of this publication is to make available detailed trench logs (sheets 1, 2), radiocarbon dates (table 1) and pollen data (fig. 1) obtained as a result of an intensive subsurface investigation of the Hayward Fault at Tyson's Lagoon (Tule Pond) from August to November 2000 (figs. 1, 2 on sheet 1). The Hayward Fault is recognized to be among the most hazardous in the United States (Working Group on California Earthquake Probabilities, 1999). This document makes available geologic evidence for historical and prehistoric surfacerupturing earthquakes that were recorded at the site. Prehistoric earthquakes deduced from geologic evidence are called paleoearthquakes. Establishing a chronology of paleoearthquakes is of immediate use in resolving the level of hazard posed by the Hayward Fault for producing large earthquakes in the future. Preliminary findings of this investigation have been presented in Lienkaemper and others (2001). A formal report on our conclusions based on these data is in preparation. The investigation at Tyson's Lagoon is ongoing, so these products should not be considered final. Lienkaemper, Dawson, and Personius interpreted the geology and logged the trenches. Seitz and Reidy performed analyses on radiocarbon and pollen samples, respectively. Schwartz led the critical-review field team. Previous trenching work was done at Tyson's Lagoon (figs. 2, 3 on sheet 1). Lienkaemper (1992) references the location of most of those trenches. The earlier trenching was generally for the evaluation of local faultrupture hazard, except for the study of Williams (1993), which was a paleoearthquake investigation. An unpublished study by J.N. Alt in 1998 (shown on our site map as trenches 98A and 98B, fig. 3, on sheet 1), also sought evidence of paleoearthquakes. Alt's study and one by Woodward-Clyde and Associates (1970; trenches 70A to 70G, fig. 3) were located south of Walnut Avenue in one of the few areas that still remain undisturbed and

  15. Phacoemulsification using a chisel-shaped illuminator: enhanced depth trench, one-shot crack, and phaco cut.

    PubMed

    Wi, Jaemin; Seo, Hyejin; Lee, Jong Yeon; Nam, Dong Heun

    2016-01-01

    To evaluate the efficacy and outcomes of intracameral illuminator-assisted nucleofractis technique in cataract surgery. Since June 2012, this novel technique has been performed in all cataract cases by one surgeon (approximately 300 cases of various densities). Trenching continues until the posterior plate white reflex between an endonucleus and an epinucleus is identified (enhanced depth trench). After trenching, cracking is initiated with minimal separation force, and completion of cracking is confirmed by posterior capsule reflex (one-shot crack). With followability enhanced by an elliptical phaco mode, the divided nucleus is efficiently cut into small fragments by a chisel-shaped illuminator (phaco cut). We have not experienced any capsular bag or zonular complications, and the effective phacoemulsification time seemed to be shorter than that with the conventional technique. This technique simplifies the complete division of the nucleus, which is the most challenging step in safe and efficient phacoemulsification.

  16. The Geoid: Effect of compensated topography and uncompensated oceanic trenches

    USGS Publications Warehouse

    Chase, C.G.; McNutt, Marcia K.

    1982-01-01

    The geoid is becoming increasingly important in interpretation of global tectonics. Most of the topography of the earth is isostatically compensated, so removal of its effect from the geoid is appropriate before tectonic modeling. The oceanic trenches, however, are dynamically depressed features and cannot be isostatically compensated in the classical way. Continental topography compensated at 35 km gives intracontinental geoidal undulations of up to 15 m over mountain ranges in a spherical harmonic expansion to order and degree 22. Oceanic topography compensated at 40 km, reasonable for the thermally supported long wavelengths, matches the +10 m difference between old continents and old oceans in a detailed NASA/GSFC geoid. Removing the assumed compensation for the oceanic trenches leaves negative anomalies of up to 9 m amplitude caused by their uncompensated mass deficit. This mass deficit acts as a partial "regional compensation" for the excess mass of the subducting slabs, and partly explains why geoidal (and gravity) anomalies over the cold slabs are less than thermal models predict.

  17. Tsunami Modeling of Hikurangi Trench M9 Events: Case Study for Napier, New Zealand

    NASA Astrophysics Data System (ADS)

    Williams, C. R.; Nyst, M.; Farahani, R.; Bryngelson, J.; Lee, R.; Molas, G.

    2015-12-01

    RMS has developed a tsunami model for New Zealand for the insurance industry to price and to manage their tsunami risks. A key tsunamigenic source for New Zealand is the Hikurangi Trench that lies offshore on the eastside of the North Island. The trench is the result of the subduction of the Pacific Plate beneath the North Island at a rate of 40-45 mm/yr. Though there have been no M9 historical events on the Hikurangi Trench, events in this magnitude range are considered in the latest version of the National Seismic Hazard Maps for New Zealand (Stirling et al., 2012). The RMS modeling approaches the tsunami lifecycle in three stages: event generation, ocean wave propagation, and coastal inundation. The tsunami event generation is modeled based on seafloor deformation resulting from an event rupture model. The ocean wave propagation and coastal inundation are modeled using a RMS-developed numerical solver, implemented on graphic processing units using a finite-volume approach to approximate two-dimensional, shallow-water wave equations over the ocean and complex topography. As the tsunami waves enter shallow water and approach the coast, the RMS model calculates the propagation of the waves along the wet-dry interface considering variable land friction. The initiation and characteristics of the tsunami are based on the event rupture model. As there have been no historical M9 events on the Hikurangi Trench, this rupture characterization posed unique challenges. This study examined the impacts of a suite of event rupture models to understand the key drivers in the variations in the tsunami inundation footprints. The goal was to develop a suite of tsunamigenic event characterizations that represent a range of potential tsunami outcomes for M9 events on the Hikurangi Trench. The focus of this case study is the Napier region as it represents an important exposure concentration in the region and has experience tsunami inundations in the past including during the 1931 Ms7

  18. Tonga Trench gabbros and peridotites: A suit of temporal and spatial forearc materials

    NASA Astrophysics Data System (ADS)

    Michibayashi, K.; Shinkai, Y.; Tani, K.; Uehara, S.; Harigane, Y.; Ishii, T.; Bloomer, S. H.

    2012-12-01

    The Tonga trench is one of the deepest oceanic regions in the world (10,866 m). Various types of rocks have been dredged and drilled at several localities on the landward slopes of the trench during Boomerang Leg8 in 1996. In particular, very pristine peridotites outcrop at the most deep landward trench slope. We show that the trench can be divided into two regions: southern region and northern region. The peridotites in the southern region have high-Cr# (0.46-0.83) which were typical of forearc peridotites, whereas the peridotites in the northern region have evidences of the reaction with magma during partial melting. Olivine fabrics are characterized by E-type and D-type. Although E-type and D-type are no clear relationship of mineral composition, grain size and equilibrium temperature, the only difference between E-type and D-type were fabric intensities: D-type has higher fabric intensity than that for E-type. Geochronological study revealed that the gabbros in the southern region have the oldest ages of ~52 Ma that are as old as the oldest rocks in the Izu-Bonin-Mariana arc recording the subduction infancy (e.g., Ishizuka et al., 2011 EPSL). We argue that the southern region preserves the oldest mantle fabrics that took place during the subduction infancy, where pristine and serpentinized peridotites have been deformed in the region where high strain field occurred due to the dragged flow. Eventually, they expose in a very neat condition (i.e. active tectonic erosion and fast ascent rate) resulting from an unique tectonic setting including fast subducting plate (24 cm/yr), fast spreading plate (15 cm/yr) and slab rollback.

  19. Trojan Tour and Rendezvous (TTR): A New Frontiers Mission to Explore the Origin and Evolution of the Early Solar System

    NASA Astrophysics Data System (ADS)

    Bell, J. F., III; Olkin, C.; Castillo, J. C.

    2015-12-01

    The orbital properties, compositions, and physical properties of the diverse populations of small outer solar system bodies provide a forensic map of how our solar system formed and evolved. Perhaps the most potentially diagnostic, but least explored, of those populations are the Jupiter Trojan asteroids, which orbit at ~5 AU in the L4 and L5 Lagrange points of Jupiter. More than 6200 Jupiter Trojans are presently known, but these are predicted to be only a small fraction of the 500,000 to 1 million Trojans >1 km in size. The Trojans are hypothesized to be either former Kuiper Belt Objects (KBOs) that were scattered into the inner solar system by early giant planet migration and then trapped in the 1:1 Jupiter mean motion resonance, or bodies formed near 5 AU in a much more quiescent early solar system, and then trapped at L4 and L5. The 2011 Planetary Science Decadal Survey identified important questions about the origin and evolution of the solar system that can be addressed by studying of the Trojan asteroids, including: (a) How did the giant planets and their satellite systems accrete, and is there evidence that they migrated to new orbital positions? (b) What is the relationship between large and small KBOs? Is the small population derived by impact disruption of the large one? (c) What kinds of surface evolution, radiation chemistry, and surface-atmosphere interactions occur on distant icy primitive bodies? And (d) What are the sources of asteroid groups (Trojans and Centaurs) that remain to be explored by spacecraft? The Trojan Tour and Rendezvous (TTR) is a New Frontiers-class mission designed to answer these questions, and to test hypotheses for early giant planet migration and solar system evolution. Via close flybys of a large number of these objects,, and orbital characterization of at least one large Trojan, TTR will enable the first-time exploration of this population. Our primary mission goals are to characterize the overall surface geology

  20. Trojan Tour and Rendezvous (TTR): A New Frontiers Mission to Conduct the First Detailed Reconnaissance of the Jupiter Trojan Asteroids

    NASA Astrophysics Data System (ADS)

    Bell, James F.; Olkin, Cathy; Castillo-Rogez, Julie

    2015-11-01

    Among the most potentially diagnostic but least explored populations of small bodies are the Jupiter Trojan asteroids, which orbit at ~5 AU in the L4 and L5 Lagrange points of Jupiter. The Trojans provide a unique perspective on solar system history, because their locations and physical, compositional, and mineralogic properties preserve evidence for important gravitational interactions among the giant planets. The locations and orbital properties of more than 6200 Jupiter Trojans are now known, but that is likely only a small fraction of a population of up to ~1e6 Trojans >1 km in size. The Trojans are hypothesized to be either former KBOs scattered into the inner solar system by early giant planet migration and then trapped in L4 and L5, or bodies formed near 5 AU in a more quiescent early solar system.Important Planetary Decadal Survey questions that can be addressed by studying the Trojans include: (a) How did the giant planets and their satellite systems accrete, and is there evidence that they migrated to new orbital positions? (b) What is the relationship between large and small KBOs? Is the small population derived by impact disruption of the large one? (c) What kinds of surface evolution, radiation chemistry, and surface-atmosphere interactions occur on distant icy primitive bodies? And (d) What are the sources of asteroid groups (Trojans and Centaurs) that remain to be explored by spacecraft?Here we describe the Trojan Tour and Rendezvous (TTR) New Frontiers mission concept, which is designed to answer these Decadal questions and to test hypotheses for early giant planet migration and solar system evolution. Via close flybys of many of these objects, and orbital characterization of at least one large Trojan, TTR will enable the initial up-close exploration of this population. Our primary mission goals are to characterize the overall surface geology, geochemistry and mineralogy of these worlds; to characterize their internal structure and dynamical

  1. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  2. Sensor assembly method using silicon interposer with trenches for three-dimensional binocular range sensors

    NASA Astrophysics Data System (ADS)

    Nakajima, Kazuhiro; Yamamoto, Yuji; Arima, Yutaka

    2018-04-01

    To easily assemble a three-dimensional binocular range sensor, we devised an alignment method for two image sensors using a silicon interposer with trenches. The trenches were formed using deep reactive ion etching (RIE) equipment. We produced a three-dimensional (3D) range sensor using the method and experimentally confirmed that sufficient alignment accuracy was realized. It was confirmed that the alignment accuracy of the two image sensors when using the proposed method is more than twice that of the alignment assembly method on a conventional board. In addition, as a result of evaluating the deterioration of the detection performance caused by the alignment accuracy, it was confirmed that the vertical deviation between the corresponding pixels in the two image sensors is substantially proportional to the decrease in detection performance. Therefore, we confirmed that the proposed method can realize more than twice the detection performance of the conventional method. Through these evaluations, the effectiveness of the 3D binocular range sensor aligned by the silicon interposer with the trenches was confirmed.

  3. The use of the AOA TTR-4P GPS receiver in operation at the BIPM for real-time restitution of GPS time

    NASA Technical Reports Server (NTRS)

    Thomas, Claudine

    1994-01-01

    The Global Positioning System is an outstanding tool for the dissemination of time. Using mono-channel C/A-code GPS time receivers, the restitution of GPS time through the satellite constellation presents a peak-to-peak discrepancy of several tens of nanoseconds without SA but may be as high as several hundreds of nanoseconds with SA. As a consequence, civil users are more and more interested in implementing hardware and software methods for efficient restitution of GPS time, especially in the framework of the project of a real-time prediction of UTC (UTCp) which could be available in the form of time differences (UTCp - GPS time). Previous work, for improving the real-time restitution of GPS time with SA, to the level obtained without SA, focused on the implementation of a Kalman filter based on past data and updated at each new observation. An alternative solution relies upon the statistical features of the noise brought about by SA; it has already been shown that the SA noise is efficiently reduced by averaging data from numerous satellites observed simultaneously over a sufficiently long time. This method was successfully applied to data from a GPS time receiver, model AOA TTR-4P, connected to the cesium clock kept at the BIPM. This device, a multi-channel, dual frequency, P-code GPS time receiver, is one of the first TTR-4P units in operation in a civil laboratory. Preliminary comparative studies of this new equipment with conventional GPS time receivers are described in this paper. The results of an experimental restitution of GPS time, obtained in June 1993, are also detailed: 3 to 6 satellites were observed simultaneously with a sample interval of 15 s, an efficient smoothing of SA noise was realized by averaging data on all observed satellites over more than 1 hour. When the GPS system is complete in 1994, 8 satellites will be observable continuously from anywhere in the world and the same level of uncertainty will be obtained using a shorter averaging

  4. Pad 39B Flame Trench Upgrades and modifications

    NASA Image and Video Library

    2016-03-03

    Upgrades and modifications continue to the flame trench at Launch Pad 39B at NASA’s Kennedy Space Center in Florida. Pad B is being refurbished to support the launch of NASA’s Space Launch System rocket. The Ground Systems Development and Operations (GSDO) Program at Kennedy is helping transform the space center into a multi-user spaceport and prepare for Exploration Mission-1, deep-space missions, and the journey to Mars.

  5. Movement of a tritium plume in shallow groundwater at a legacy low-level radioactive waste disposal site in eastern Australia.

    PubMed

    Hughes, C E; Cendón, D I; Harrison, J J; Hankin, S I; Johansen, M P; Payne, T E; Vine, M; Collins, R N; Hoffmann, E L; Loosz, T

    2011-10-01

    Between 1960 and 1968 low-level radioactive waste was buried in a series of shallow trenches near the Lucas Heights facility, south of Sydney, Australia. Groundwater monitoring carried out since the mid 1970s indicates that with the exception of tritium, no radioactivity above typical background levels has been detected outside the immediate vicinity of the trenches. The maximum tritium level detected in ground water was 390 kBq/L and the median value was 5400 Bq/L, decay corrected to the time of disposal. Since 1968, a plume of tritiated water has migrated from the disposal trenches and extends at least 100 m from the source area. Tritium in rainfall is negligible, however leachate from an adjacent and fill represents a significant additional tritium source. Study data indicate variation in concentration levels and plume distribution in response to wet and dry climatic periods and have been used to determine pathways for tritium migration through the subsurface.

  6. Comparison between infaunal communities of the deep floor and edge of the Tonga Trench: Possible effects of differences in organic matter supply

    NASA Astrophysics Data System (ADS)

    Leduc, Daniel; Rowden, Ashley A.; Glud, Ronnie N.; Wenzhöfer, Frank; Kitazato, Hiroshi; Clark, Malcolm R.

    2016-10-01

    Hadal trenches are characterised by environmental conditions not found in any other environment, thereby providing new opportunities to understand the processes that shape deep-sea benthic communities. Technological advances have led to an increase in the number of investigations in hadal trenches over the last two decades. However, more quantitative samples including the deepest parts of trenches is needed to better understand trends in benthic diversity, abundance, biomass and community structure in these extreme habitats, and how these may be shaped by environmental and/or evolutionary factors. In this study, we describe and compare the abundance, biomass, vertical distribution in the sediment, diversity, and community structure of nematodes and other infauna in sediments from the Horizon Deep ( 10 800 m) in the Tonga Trench and a site on the edge of the trench ( 6250 m). Mean nematode abundance was six times greater at the Horizon Deep site (387 ind. 10 cm-2) than at the trench edge site (65 ind. 10 cm-2). A similar pattern was observed for biomass (15 vs 2 μgDW 10 cm-2, respectively), which likely resulted from elevated organic matter supply at the Horizon Deep site. There was no significant difference in nematode species richness between the two sites, but diversity measured using rarefaction was significantly greater at the trench edge site than at the Horizon Deep site [ES(20); 13.8 vs 7.8]. Dominance was much more pronounced in the Horizon Deep, which may be due to competitive exclusion by a small number of opportunistic species. Nematode community structure differed significantly both between sites and among sediment depth layers. The presence of subsurface peaks in pigment concentrations, bacteria abundance, and nematode abundance at the Horizon Deep site is consistent with a recent turbidite event, and may also reflect high rates of bioturbation by larger fauna resulting from high food availability. Determining the relative influences of different

  7. PRESTO-II: a low-level waste environmental transport and risk assessment code

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fields, D.E.; Emerson, C.J.; Chester, R.O.

    PRESTO-II (Prediction of Radiation Effects from Shallow Trench Operations) is a computer code designed for the evaluation of possible health effects from shallow-land and, waste-disposal trenches. The model is intended to serve as a non-site-specific screening model for assessing radionuclide transport, ensuing exposure, and health impacts to a static local population for a 1000-year period following the end of disposal operations. Human exposure scenarios considered include normal releases (including leaching and operational spillage), human intrusion, and limited site farming or reclamation. Pathways and processes of transit from the trench to an individual or population include ground-water transport, overland flow, erosion,more » surface water dilution, suspension, atmospheric transport, deposition, inhalation, external exposure, and ingestion of contaminated beef, milk, crops, and water. Both population doses and individual doses, as well as doses to the intruder and farmer, may be calculated. Cumulative health effects in terms of cancer deaths are calculated for the population over the 1000-year period using a life-table approach. Data are included for three example sites: Barnwell, South Carolina; Beatty, Nevada; and West Valley, New York. A code listing and example input for each of the three sites are included in the appendices to this report.« less

  8. Factors affecting the recognition of faults exposed in exploratory trenches

    USGS Publications Warehouse

    Bonilla, Manuel G.; Lienkaemper, James J.

    1991-01-01

    Trenching-a widely used method for evaluating fault activity-has limitations that can mislead investigators. Some segments of fault strands in trench walls may not be visible, and this nonvisibility can lead to incorrect interpretations of time of most recent displacement and recurrence intervals on a fault. We examined the logs of 163 trench exposures and tabulated data on more than 1,200 fault strands to investigate three categories of nonvisibility: (1) strands with obscure (invisible or poorly visible) segments, (2) strands that die out upward, and (3) strands that die out downward. About 14 percent of all the strands have obscure segments. Of the 143 strands on which it is possible to recognize dieout up (limited to strands for which position of ground surface at time of faulting is known), 45 percent do die out upward, and the fraction exceeds 70 percent for strike-slip and reverse faults. Thus a fault strand overlain by an apparently undisturbed deposit is not necessarily older than the deposit. More than 30 percent of all the strands die out downward, providing more evidence that fault strands can end for reasons other than being covered by deposits younger than the fault. Analysis of trench-log data revealed various relations between geologic factors and nonvisibility of fault strands. For example, fault type affects the incidence of nonvisibility, which is generally most common on strike-slip faults, less common on reverse faults, and least common on normal fau Its. The type of material penetrated by the fault also influences nonvisibility, which tends to be more common in soil horizons and sand, and less common in gravel. Dieout down is weakly influenced by fault displacement, decreasing in frequency with increase in displacement; the frequencies of obscure segments and dieout up do not vary consistently with fault displacement. Frequency of obscure segments generally decreases with increase in length of obscure segments, and frequency of dieout up

  9. Floodplain/wetlands assessment for the interceptor trench field study near the Weldon Spring Quarry, Weldon Spring Site, Missouri

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Van Lonkhuyzen, R.A.

    1999-12-15

    The US Department of Energy proposes to construct a groundwater interceptor trench near the Weldon Spring Quarry at the Weldon Spring Site in Missouri. The trench would be located near two palustrine wetland areas. Impacts to wetland hydrology and biotic communities are expected to be negligible. No long-term adverse impacts to floodplains are expected.

  10. Flexural models of trench/outer rise topography of coronae on Venus with axisymmetric spherical shell elastic plates

    NASA Technical Reports Server (NTRS)

    Moore, W.; Schubert, Gerald; Sandwell, David T.

    1992-01-01

    Magellan altimetry has revealed that many coronae on Venus have trenches or moats around their peripheries and rises outboard of the trenches. This trench/outer rise topographic signature is generally associated with the tectonic annulus of the corona. Sandwell and Schubert have interpreted the trench/outer rise topography and the associated tectonic annulus around coronae to be the result of elastic bending of the Venus lithosphere (though the tectonic structures are consequences of inelastic deformation of the lithosphere). They used two-dimensional elastic plate flexure theory to fit topographic profiles across a number of large coronae and inferred elastic lithosphere thicknesses between about 15 and 40 km, similar to inferred values of elastic thickness for the Earth's lithosphere at subduction zones around the Pacific Ocean. Here, we report the results of using axisymmetric elastic flexure theory for the deformation of thin spherical shell plates to interpret the trench/outer rise topography of the large coronae modeled by Sandwell and Schubert and of coronae as small as 250 km in diameter. In the case of a corona only a few hundred kilometers in diameter, the model accounts for the small planform radius of the moat and the nonradial orientation of altimetric traces across the corona. By fitting the flexural topography of coronae we determine the elastic thickness and loading necessary to account for the observed flexure. We calculate the associated bending moment and determine whether the corona interior topographic load can provide the required moment. We also calculate surface stresses and compare the stress distribution with the location of annular tectonic features.

  11. Improving OBS operations in ultra-deep ocean during the Southern Mariana Trench expeditions

    NASA Astrophysics Data System (ADS)

    Zeng, X.; Lin, J.; Xu, M.; Zhou, Z.

    2017-12-01

    The Mariana Trench Research Initiative, led by the South China Sea Institute of Oceanology of the Chinese Academy of Sciences and through international collaboration, focuses on investigating the deep and shallow lithospheric structure, earthquake characteristics, extreme geological environments, and the controlling geodynamic mechanisms for the formation of Earth's deepest basins in the southern Mariana Trench. Two multidisciplinary research expeditions were executed during December 2016 and June 2017, respectively, on board R/V Shiyan 3. A main task of the Mariana Initiative is to conduct the Southern Mariana OBS Experiment (SMOE), the first OBS seismic experiment across the Challenger Deep. The SMOE expeditions include both active and passive source seismic experiments and employed a large number of broadband OBS instruments. Due to the deep water, rough weather, strong winds, and other unfavorable factors, it was challenging to deploy/recover the OBSs. During the two expeditions we developed and experimented with a number of ways to improve the success rate of OBS operations in the harsh ultra-deep ocean environment of the Southern Mariana Trench. All newly acquired OBSs underwent a series of uniquely designed deep-ocean tests to improve the instrument performance and maximize reliability during their deployment under the ultra-high pressure conditions. The OBS deployment and recovery followed a unified standard operation procedure and aided by an instrumental checklist, which were specifically designed and strictly enforced for operation during the expeditions. Furthermore, an advanced ship-based radio positioning system was developed to rapidly and accurately locate the OBS instruments when they reached the sea surface; the system proved its effectiveness even under extreme weather conditions. Through the development and application of the novel methods for operation in deep oceans, we overcame the rough sea and other unfavorable factors during the first two

  12. Surface characterization of InP trenches embedded in oxide using scanning probe microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mannarino, Manuel, E-mail: manuel.mannarino@imec.be, E-mail: manuelmannarino@gmail.com; Chintala, Ravi; Vandervorst, Wilfried

    2015-12-14

    Metrology for structural and electrical analyses at device level has been identified as one of the major challenges to be resolved for the sub-14 nm technology nodes. In these advanced nodes, new high mobility semiconductors, such as III–V compounds, are grown in narrow trenches on a Si substrate. Probing the nature of the defects, the defect density, and the role of processing steps on the surface of such structures are prime metrology requirements. In order to enable defect analysis on a (III–V) surface, a proper sample preparation for oxide removal is of primary importance. In this work, the effectiveness of differentmore » chemical cleanings and thermal annealing procedures is investigated on both blanket InP and oxide embedded InP trenches by means of scanning probe microscopy techniques. It is found that the most effective approach is a combination of an HCl-based chemical cleaning combined with a low-temperature thermal annealing leading to an oxide free surface with atomically flat areas. Scanning tunneling microscopy (STM) has been the preferred method for such investigations on blanket films due to its intrinsic sub-nm spatial resolution. However, its application on oxide embedded structures is non-trivial. To perform STM on the trenches of interest (generally <20 nm wide), we propose a combination of non-contact atomic force microscopy and STM using the same conductive atomic force microscopy tip Our results prove that with these procedures, it is possible to perform STM in narrow InP trenches showing stacking faults and surface reconstruction. Significant differences in terms of roughness and terrace formation are also observed between the blanket and the oxide embedded InP.« less

  13. A Re-Os Study of Depleted Trench Peridotites from Northern Mariana

    NASA Astrophysics Data System (ADS)

    Ghosh, T.; Snow, J. E.; Heri, A. R.; Brandon, A. D.; Ishizuka, O.

    2017-12-01

    Trench peridotites provide information about the influence of subduction initiation on the extent of mantle wedge melting. They preserve melting records throughout subduction history, and as a result, likely experience multiple melt extraction events leading to successive depletion of melt/fluid mobile major and trace elements. To track melting histories of trench peridotites, Re-Os and PGEs can be used as reliable tracers to constrain early melt extraction or re-fertilization events. The Izu-Bonin-Mariana arc, being the largest intra-oceanic subduction system, provides an excellent area to study the formation of supra-subduction zone mantle and crust. Residual peridotite (harzburgite and dunite) samples were collected by dredging from the landward slope of the northern Mariana Trench. The samples are serpentinized to various extents (typical of abyssal peridotites), leaving behind relict grains of spinel, enstatite and olivine embedded within a serpentine matrix along with occasional interstitial diopside. Major element analyses of primary minerals reveal a wide range of variations in Cr# of spinels from 0.31-0.85 indicating 16-20% of melt fraction with dunites apparently experiencing the highest amount of partial melting. For Re-Os and PGE geochemistry, samples with high amounts of spinel (>4 vol %) and variable Cr# were chosen. Initial results show that bulk rock 187Os/188Os ratios range from 0.1113 to 0.1272. All of the samples are sub-chondritic, but in some cases, they are more radiogenic than average abyssal peridotites. Os abundances vary from 1-9 ppb. Sub-chondritic values can be attributed to the samples having evolved from a Re-depleted mantle source indicating a previous melt-extraction event. The cpx-harzburgites, having lower Cr# ( 0.4) are more radiogenic than ultra depleted dunites (Cr# 0.8), which might indicate preferential removal of Os during an apparent higher degree of partial melting experienced by dunites. The higher 187Os/188Os ratios of

  14. Appropriate Technology for Treating Wastewater at Remote Sites on Army Installations: Preliminary Findings

    DTIC Science & Technology

    1984-04-01

    firing ranges, and training areas--four conventional methods have been used to treat human wastes: trenching and cat holing, pit latrines, vault toilets...stations, and training and recrea- tional areas. The Army now uses four conventional methods to treat human wastes at such sites: trenching and cat ...holing, pit latrines, vault toilets, and chemical toilets ("port-a-pots"). Trenching and cat holing are used when troops are on bivouac; waste is

  15. Lithium-Metal Infused Trenches: Progress toward a Divertor Solution

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Fiflis, P.; Christenson, M.; Szott, M.; Xu, W.; Jung, S.; Morgan, T. W.; Kalathiparambil, K.

    2014-10-01

    The application of liquid metal, especially liquid lithium, as a plasma facing component (PFC) has the capacity to offer a strong alternative to solid PFCs by reducing damage concerns and enhancing plasma performance. The Liquid-Metal Infused Trenches (LiMIT) concept is a liquid metal divertor alternative which employs thermoelectric current from either plasma or external heating in tandem with the toroidal field to self-propel liquid lithium through a series of trenches. LiMIT has been tested in several devices, namely HT-7, the UIUC SLiDE and TELS facilities and Magnum PSI at heat fluxes of up to 3 MW/m-2. Results of these experiments, including velocity and temperature measurements, power handling considerations, and preliminary vapor shielding results will be discussed, focusing on the 117 shots performed at Magnum scanning magnetic fields and heat fluxes up to ~ 0.3 T and 3 MW/m-2. Concerns over tritium retention and MHD droplet ejection will additionally be addressed. LiMIT has also been proposed to function as a limiter on the EAST moveable limiter arm and tests have been performed with a prototype module inclined at various angles.

  16. P-wave Velocity Structure Across the Mariana Trench and Implications for Hydration

    NASA Astrophysics Data System (ADS)

    Eimer, M. O.; Wiens, D.; Lizarralde, D.; Cai, C.

    2017-12-01

    Estimates of the water flux at subduction zones remain uncertain, particularly the amount of water brought into the trench by the subducting plate. Normal faulting related to the bending of the incoming plate has been proposed to provide pathways for water to hydrate the crust and upper mantle. A passive and active source seismic experiment spanning both the incoming plate and forearc was conducted in 2012 in central Mariana to examine the role of hydration at subduction zones. The active-source component of the survey used the R/V M.G. Langsethairgun array and 68 short period sensors, including suspended hydrophones, deployed on 4 transects. This study at the Mariana trench offers a comparison to related studies of incoming plate hydration in Middle America, where differing thermal structures related to plate age predict different stability fields for hydrous minerals. The forearc structure is also of interest, since Mariana is characterized by large serpentine seamounts and may have a serpentinized mantle wedge. The velocity structure will also be important for the relocation of earthquakes in the incoming plate, since the seismicity can offer a constraint for the depth extent of these bending faults. We examine the P-wave velocity structure along a 400-km long wide-angle refraction transect perpendicular to the trench and spanning both the forearc and incoming plate. Preliminary results indicate a velocity reduction in the crust and uppermost mantle at the bending region of the incoming plate, relative to the plate's structure away from the trench. This reduction suggests that outer-rise faults extend into the upper mantle and may have promoted serpentinization of that material. Mantle Pn refraction phases are not observed in the forearc, consistent with the ambient noise tomography results that show upper-mantle velocities similar to that of the lower crust. The lack of contrast between the upper mantle and crustal velocities from the ambient noise has been

  17. Trench logs, terrestrial lidar system imagery, and radiocarbon data from the kilometer-62 site on the Greenville Fault, southeastern Alameda County, California, 2014

    USGS Publications Warehouse

    Lienkaemper, James J.; DeLong, Stephen B.; Avdievitch, Nikita N.; Pickering, Alexandra J; Guilderson, Thomas P.

    2015-01-01

    In 2014, we investigated an abrupt 8.5-meter (m), right-laterally deflected stream channel located near the Greenville Fault in southeastern Alameda County, California (-121.56224° E, 37.53430° N) that we discovered using 0.5-m resolution, 2011 aerial lidar imagery flown along the active fault trace. Prior to trenching we surveyed the site using a terrestrial lidar system (TLS) to document the exact geomorphic expression of this deflected stream channel before excavating a trench adjacent to it. We trenched perpendicular to the fault hoping to document the prehistoric history of earthquake ruptures along the fault. However, the alluvial stratigraphy that we document in these trench logs shows conclusively that this trench did not expose any active fault trace. Using other local geomorphic evidence for the fault location, a straight fault scarp immediately north of this stream projects slightly upslope of the west end of our trench and may be the actual location of the active fault trace. Five radiocarbon samples establish age control for the alluvial sequence documented in the trench, which may in the future be useful in constraining the long-term slip rate of the Greenville Fault. The deflection had been caused by an abrupt nontectonic termination of unit u30, a relatively thick (0.15–0.35 m) silt that is more erosion resistant than the adjacent cohesionless sand and gravel. 

  18. Bending-related faulting and mantle serpentinization at the Middle America trench.

    PubMed

    Ranero, C R; Morgan, J Phipps; McIntosh, K; Reichert, C

    2003-09-25

    The dehydration of subducting oceanic crust and upper mantle has been inferred both to promote the partial melting leading to arc magmatism and to induce intraslab intermediate-depth earthquakes, at depths of 50-300 km. Yet there is still no consensus about how slab hydration occurs or where and how much chemically bound water is stored within the crust and mantle of the incoming plate. Here we document that bending-related faulting of the incoming plate at the Middle America trench creates a pervasive tectonic fabric that cuts across the crust, penetrating deep into the mantle. Faulting is active across the entire ocean trench slope, promoting hydration of the cold crust and upper mantle surrounding these deep active faults. The along-strike length and depth of penetration of these faults are also similar to the dimensions of the rupture area of intermediate-depth earthquakes.

  19. Assessment of Trench Inserts as Barriers to Root Transmission for Control of Oak Wilt in Texas Live Oaks

    Treesearch

    A. Dan Wilson; D.G. Lester

    1996-01-01

    Four trench insert materials, including water-permeable Typar® polyethylene spunbonded fabric, Biobarrier® or Typar® with trifluralin-impregnated nodules, and water-impermeable polyethylene Geomembrane liners of two thicknesses (20 and 30 mil) were tested for effectiveness in improving trenches as physical barriers to root transmission for control of oak wilt. Research...

  20. Heterogeneous distribution of pelagic sediments incoming the Japan Trench possibly controlling slip propagation on shallow plate boundary fault

    NASA Astrophysics Data System (ADS)

    Yamaguchi, A.; Nakamura, Y.; Fukuchi, R.; Kurano, H.; Ikehara, K.; Kanamatsu, T.; Arai, K.; Usami, K.; Ashi, J.

    2017-12-01

    Catastrophic tsunami of the 2011 Tohoku Earthquake was triggered by large coseismic slip reached to the Japan Trench axis (e.g. Fujiwara et al., 2011, Science; Kodaira et al., 2012, Nature Geoscience). Results of the IODP Expedition 343 (JFAST) suggest that small friction of smectite-rich pelagic clay caused slip propagation on shallow plate boundary fault (Ujiie et al., 2013, Science; Kameda et al., 2015, Geology; Moore et al., 2015, Geosphere). On the other hand, JAMSTEC high-resolution seismic profiles show that incoming sediments have large heterogeneities in thicknesses, and two areas of extremely thin sediments on the Pacific Plate (thickness less than 100 m) were found at around 39°N (Nakamura et al., AGU 2017, this session). To reconcile whether the smectite-rich pelagic clay even exists in these areas, we sampled surface sediments during the R/V Shinsei Maru KS-15-3 cruise. Seven piston cores were retrieved from seaward trench slope, horst, graben, and graben edge. Core lithologies are mainly diatomaceous ooze/clay including tephra layers, not resemble to pelagic clays discovered in JFAST. Ages of tephra layers were estimated by correlating mineral assemblages and refractive indices of volcanic glasses to Japanese widespread tephras. Averaged sedimentation rates of seaward trench slope, horst, graben, and graben edge are estimated to be 25-30, 6.5-20, 45, 0.9 cm/kyr, respectively. These sedimentation rates imply that sediments on seaward trench slope and horst have been deposited in the last 160-500 kyr, suggesting that entire pelagic sediments, including smectite-rich pelagic clay, have been removed by some reasons in the last 0.5 million years. Possible reason for such modification of sediment is near-trench igneous activity known as petit-spot volcanism (Hirano et al., 2006, Science). The lack of smectite-rich pelagic clay near 39°N of the Japan Trench is consistent with results of tsunami inversions proposing shallow large coseismic slip propagated

  1. Slow slip near the trench at the Hikurangi subduction zone, New Zealand.

    PubMed

    Wallace, Laura M; Webb, Spahr C; Ito, Yoshihiro; Mochizuki, Kimihiro; Hino, Ryota; Henrys, Stuart; Schwartz, Susan Y; Sheehan, Anne F

    2016-05-06

    The range of fault slip behaviors near the trench at subduction plate boundaries is critical to know, as this is where the world's largest, most damaging tsunamis are generated. Our knowledge of these behaviors has remained largely incomplete, partially due to the challenging nature of crustal deformation measurements at offshore plate boundaries. Here we present detailed seafloor deformation observations made during an offshore slow-slip event (SSE) in September and October 2014, using a network of absolute pressure gauges deployed at the Hikurangi subduction margin offshore New Zealand. These data show the distribution of vertical seafloor deformation during the SSE and reveal direct evidence for SSEs occurring close to the trench (within 2 kilometers of the seafloor), where very low temperatures and pressures exist. Copyright © 2016, American Association for the Advancement of Science.

  2. 14. Photocopy of engineering drawing. PROJECT WS315A: INSTRUMENTATION TRENCH DETAILSSTRUCTURAL, ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    14. Photocopy of engineering drawing. PROJECT WS-315A: INSTRUMENTATION TRENCH DETAILS-STRUCTURAL, 17, APRIL 1956. - Cape Canaveral Air Station, Launch Complex 17, Facility 28401, East end of Lighthouse Road, Cape Canaveral, Brevard County, FL

  3. 36. HISTORIC GENERAL VIEW LOOKING NORTH DOWN THE FLAME TRENCH ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    36. HISTORIC GENERAL VIEW LOOKING NORTH DOWN THE FLAME TRENCH AT THE TEST STAND. NOTE THE MOTORIZED LIFT TO THE LEFT OF THE TEST STAND, USED TO ACCESS THE INSTRUMENTATION PLATFORM ('BIRDCAGE') MOUNTED ON TOP OF THE ROCKET DURING TEST FIRINGS. - Marshall Space Flight Center, Redstone Rocket (Missile) Test Stand, Dodd Road, Huntsville, Madison County, AL

  4. Submarine slope failures along the convergent continental margin of the Middle America Trench

    NASA Astrophysics Data System (ADS)

    Harders, Rieka; Ranero, CéSar R.; Weinrebe, Wilhelm; Behrmann, Jan H.

    2011-06-01

    We present the first comprehensive study of mass wasting processes in the continental slope of a convergent margin of a subduction zone where tectonic processes are dominated by subduction erosion. We have used multibeam bathymetry along ˜1300 km of the Middle America Trench of the Central America Subduction Zone and deep-towed side-scan sonar data. We found abundant evidence of large-scale slope failures that were mostly previously unmapped. The features are classified into a variety of slope failure types, creating an inventory of 147 slope failure structures. Their type distribution and abundance define a segmentation of the continental slope in six sectors. The segmentation in slope stability processes does not appear to be related to slope preconditioning due to changes in physical properties of sediment, presence/absence of gas hydrates, or apparent changes in the hydrogeological system. The segmentation appears to be better explained by changes in slope preconditioning due to variations in tectonic processes. The region is an optimal setting to study how tectonic processes related to variations in intensity of subduction erosion and changes in relief of the underthrusting plate affect mass wasting processes of the continental slope. The largest slope failures occur offshore Costa Rica. There, subducting ridges and seamounts produce failures with up to hundreds of meters high headwalls, with detachment planes that penetrate deep into the continental margin, in some cases reaching the plate boundary. Offshore northern Costa Rica a smooth oceanic seafloor underthrusts the least disturbed continental slope. Offshore Nicaragua, the ocean plate is ornamented with smaller seamounts and horst and graben topography of variable intensity. Here mass wasting structures are numerous and comparatively smaller, but when combined, they affect a large part of the margin segment. Farther north, offshore El Salvador and Guatemala the downgoing plate has no large seamounts but

  5. Summary of Uranium Solubility Studies in Concrete Waste Forms and Vadose Zone Environments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Golovich, Elizabeth C.; Wellman, Dawn M.; Serne, R. Jeffrey

    2011-09-30

    One of the methods being considered for safely disposing of Category 3 low-level radioactive wastes is to encase the waste in concrete. Concrete encasement would contain and isolate the waste packages from the hydrologic environment and act as an intrusion barrier. The current plan for waste isolation consists of stacking low-level waste packages on a trench floor, surrounding the stacks with reinforced steel, and encasing these packages in concrete. These concrete-encased waste stacks are expected to vary in size with maximum dimensions of 6.4 m long, 2.7 m wide, and 4 m high. The waste stacks are expected to havemore » a surrounding minimum thickness of 15 cm of concrete encasement. These concrete-encased waste packages are expected to withstand environmental exposure (solar radiation, temperature variations, and precipitation) until an interim soil cover or permanent closure cover is installed and to remain largely intact thereafter. Any failure of concrete encasement may result in water intrusion and consequent mobilization of radionuclides from the waste packages. This report presents the results of investigations elucidating the uranium mineral phases controlling the long-term fate of uranium within concrete waste forms and the solubility of these phases in concrete pore waters and alkaline, circum-neutral vadose zone environments.« less

  6. Electrodeposition of Gold to Conformally Fill High Aspect Ratio Nanometric Silicon Grating Trenches: A Comparison of Pulsed and Direct Current Protocols

    PubMed Central

    Znati, Sami A.; Chedid, Nicholas; Miao, Houxun; Chen, Lei; Bennett, Eric E.; Wen, Han

    2016-01-01

    Filling high-aspect-ratio trenches with gold is a frequent requirement in the fabrication of x-ray optics as well as micro-electronic components and other fabrication processes. Conformal electrodeposition of gold in sub-micron-width silicon trenches with an aspect ratio greater than 35 over a grating area of several square centimeters is challenging and has not been described in the literature previously. A comparison of pulsed plating and constant current plating led to a gold electroplating protocol that reliably filled trenches for such structures. PMID:27042384

  7. Quaternary Sediment Accumulation in the Aleutian Trench: Implications for Dehydration Reaction Progress and Pore Pressure Development Offshore Alaska

    NASA Astrophysics Data System (ADS)

    Meridth, L. N.; Screaton, E.; Jaeger, J. M.; James, S. R.; Villaseñor, T. G.

    2015-12-01

    Sediment inputs to subduction zones impart a significant control on diagenetic reaction progress, fluid production and pore pressure development and thus affect hydrologic and tectonic behavior during subduction. Intensified glaciation following the mid-Pleistocene transition increased sediment flux to the Gulf of Alaska. Rapid sediment accumulation (>1 km/my) in the Aleutian Trench increases overburden and should accelerate dehydration of hydrous sedimentary components by elevating temperatures in the incoming sediment column. These processes have the potential to generate fluid overpressures in the mud-dominated, low permeability sediments deposited on the incoming plate, offshore SE Alaska. Mineralogical analyses on incoming sediments from Deep Sea Drilling Project Leg 18 and Integrated Ocean Drilling Program Expedition 341 show that both smectite and Opal-A are present as hydrous mineral phases. A 1-D numerical model was developed to track dehydration reaction progress and pore pressures in the incoming sediment column from the abyssal plain to the Aleutian Trench. Simulated temperatures in the incoming column increase due to the insulating effect of trench sediments. As a result, trench sedimentation causes smectite dehydration to begin and Opal-A dehydration to nearly reach completion at the deformation front. Simulated excess pore pressures in the proto-decollement zone increase from nearly hydrostatic to almost half of lithostatic due to the rapid deposition of trench sediments. The 1-D modeling results were incorporated into a 2-D model that follows the underthrust column at the deformation front into the subduction zone. Simulated results of the 2-D flow model illustrate the effects of lateral flow on pore pressure distribution following subduction.

  8. Use of OSL dating to establish the stratigraphic framework of Quaternary eolian sediments, Anton scarp upper trench, Northeastern Colorado High Plains, USA

    USGS Publications Warehouse

    Mahan, S.A.; Noe, D.C.; McCalpin, J.P.

    2009-01-01

    This paper contains the results of the optically stimulated luminescence (OSL) dating used to establish stratigraphic ages and relationships of eolian sediments in a trench in northeastern Colorado, USA. This trench was located in the upper face of the Anton scarp, a major topographic lineament trending NW-SE for a distance of 135 km, in anticipation of intersecting near-surface faulting. The trench was 180 m long, 4.5-6.0 m deep, and exposed 22 m of stratigraphic section, most of which dipped gently west and was truncated by gulley channeling at the face of the scarp. No direct evidence of faulting was found in the upper trench. The stratigraphy from the trench was described, mapped and dated using OSL on quartz and potassium feldspar, and 14C obtained from woody material. OSL dating identified two upper loess units as Peoria Loess and Gilman Canyon Loess, deposited between 16 and 30 ka ago. The bottom layers of the trench were substantially older, giving OSL ages in excess of 100 ka. These older ages are interpreted as underestimates, owing to saturation of the fast component of OSL. Using OSL and 14C dating, we can constrain the erosion and down cutting of the scarp face as occurring between 16 and 5.7 ka. As the trenching investigation continues in other parts of the scarp face, the results of this preliminary study will be of importance in relating the ages of the strata that underlie different parts of the scarp, and in determining whether Quaternary faulting was a mechanism that contributed to the formation of this regional geomorphic feature.

  9. Calendar year 2002 annual site environmental report for Tonopah Test Range, Nevada and Kauai Test Facility, Hawaii.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wagner, Katrina; Sanchez, Rebecca V.; Mayeux, Lucie

    2003-09-01

    Tonopah Test Range (TTR) in Nevada and Kauai Test Facility (KTF) in Hawaii are government-owned, contractor-operated facilities operated by Sandia Corporation, a subsidiary of Lockheed Martin Corporation. The U.S. Department of Energy (DOE), National Nuclear Security Administration (NNSA), through the Sandia Site Office (SSO), in Albuquerque, NM, oversees TTR and KTF's operations. Sandia Corporation conducts operations at TTR in support of DOE/NNSA's Weapons Ordnance Program and has operated the site since 1957. Westinghouse Government Services subcontracts to Sandia Corporation in administering most of the environmental programs at TTR. Sandia Corporation operates KTF as a rocket preparation launching and tracking facility.more » This Annual Site Environmental Report (ASER) summarizes data and the compliance status of the environmental protection and monitoring program at TTR and KTF through Calendar Year (CY) 2002. The compliance status of environmental regulations applicable at these sites include state and federal regulations governing air emissions, wastewater effluent, waste management, terrestrial surveillance, and Environmental Restoration (ER) cleanup activities. Sandia Corporation is responsible only for those environmental program activities related to its operations. The DOE/NNSA, Nevada Site Office (NSO) retains responsibility for the cleanup and management of ER TTR sites. Currently, there are no ER Sites at KTF. Environmental monitoring and surveillance programs are required by DOE Order 5400.1, General Environmental Protection Program (DOE 1990) and DOE Order 231.1, Environment, Safety, and Health Reporting (DOE 1996).« less

  10. Calendar year 2003 : annual site enviromental report for Tonopah Test Range, Nevada and Kauai Test Facility, Hawaii.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wagner, Katrina; Sanchez, Rebecca V.; Mayeux, Lucie

    2004-09-01

    Tonopah Test Range (TTR) in Nevada and Kauai Test Facility (KTF) in Hawaii are government-owned, contractor-operated facilities operated by Sandia Corporation, a subsidiary of Lockheed Martin Corporation. The U.S. Department of Energy (DOE), National Nuclear Security Administration (NNSA), through the Sandia Site Office (SSO), in Albuquerque, NM, manages TTR and KTF's operations. Sandia Corporation conducts operations at TTR in support of DOE/NNSA's Weapons Ordnance Program and has operated the site since 1957. Westinghouse Government Services subcontracts to Sandia Corporation in administering most of the environmental programs at TTR. Sandia Corporation operates KTF as a rocket preparation launching and tracking facility.more » This Annual Site Environmental Report (ASER) summarizes data and the compliance status of the environmental protection and monitoring program at TTR and KTF through Calendar Year (CY) 2003. The compliance status of environmental regulations applicable at these sites include state and federal regulations governing air emissions, wastewater effluent, waste management, terrestrial surveillance, and Environmental Restoration (ER) cleanup activities. Sandia Corporation is responsible only for those environmental program activities related to its operations. The DOE/NNSA, Nevada Site Office (NSO) retains responsibility for the cleanup and management of ER TTR sites. Currently, there are no ER Sites at KTF. Environmental monitoring and surveillance programs are required by DOE Order 450.1, Environmental Protection Program (DOE 2003) and DOE Order 231.1 Chg 2., Environment, Safety, and Health Reporting (DOE 1996).« less

  11. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Griffith, Stacy Rene; Agogino, Karen; Li, Jun

    Tonopah Test Range (TTR) in Nevada and Kauai Test Facility (KTF) in Hawaii are government-owned, contractor-operated facilities managed and operated by Sandia Corporation (Sandia), a wholly owned subsidiary of Lockheed Martin Corporation. The U.S. Department of Energy (DOE), National Nuclear Security Administration (NNSA), through the Sandia Field Office (SFO), in Albuquerque, New Mexico, administers the contract and oversees contractor operations at TTR and KTF. Sandia manages and conducts operations at TTR in support of the DOE/NNSA’s Weapons Ordnance Program and has operated the site since 1957. Navarro Research and Engineering subcontracts to Sandia in administering most of the environmental programsmore » at TTR. Sandia operates KTF as a rocket preparation launching and tracking facility. This Annual Site Environmental Report summarizes data and the compliance status of the sustainability, environmental protection, and monitoring program at TTR and KTF through Calendar Year 2013. The compliance status of environmental regulations applicable at these sites include state and federal regulations governing air emissions, wastewater effluent, waste management, terrestrial surveillance, Environmental Restoration (ER) cleanup activities, and the National Environmental Policy Act. Sandia is responsible only for those environmental program activities related to its operations. The DOE/NNSA/Nevada Field Office retains responsibility for the cleanup and management of TTR ER sites. Environmental monitoring and surveillance programs are required by DOE Order 231.1B, Environment, Safety, and Health Reporting (DOE 2012).« less

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Montoya, Amber L.; Wagner, Katrina; Goering, Teresa Lynn

    Tonopah Test Range (TTR) in Nevada and Kauai Test Facility (KTF) in Hawaii are government-owned, contractor-operated facilities operated by Sandia Corporation, a subsidiary of Lockheed Martin Corporation. The U.S. Department of Energy (DOE), National Nuclear Security Administration (NNSA), through the Sandia Site Office (SSO), in Albuquerque, NM, manages TTR and KTF's operations. Sandia Corporation conducts operations at TTR in support of DOE/NNSA's Weapons Ordnance Program and has operated the site since 1957. Westinghouse Government Services subcontracts to Sandia Corporation in administering most of the environmental programs at TTR. Sandia Corporation operates KTF as a rocket preparation launching and tracking facility.more » This Annual Site Environmental Report (ASER) summarizes data and the compliance status of the environmental protection and monitoring program at TTR and KTF through Calendar Year (CY) 2004. The compliance status of environmental regulations applicable at these sites include state and federal regulations governing air emissions, wastewater effluent, waste management, terrestrial surveillance, and Environmental Restoration (ER) cleanup activities. Sandia Corporation is responsible only for those environmental program activities related to its operations. The DOE/NNSA, Nevada Site Office (NSO) retains responsibility for the cleanup and management of ER TTR sites. Currently, there are no ER Sites at KTF. Environmental monitoring and surveillance programs are required by DOE Order 450.1, Environmental Protection Program (DOE 2005) and DOE Order 231.1A, Environment, Safety, and Health Reporting (DOE 2004b).« less

  13. Elasto-plastic deformation and plate weakening due to normal faulting in the subducting plate along the Mariana Trench

    NASA Astrophysics Data System (ADS)

    Zhou, Z.; Lin, J.

    2017-12-01

    We investigated variations in the elasto-plastic deformation of the subducting plate along the Mariana Trench through an analysis of flexural bending, normal fault characteristics, and geodynamic modeling. It was observed that most of the normal faults were initiated along the outer-rise region and grew toward the trench axis with strikes that are mostly subparallel to the local trend of the trench axis. The average trench relief is more than 5 km in the southern region while only about 2 km in the northern and central regions. Fault throws were measured to be significantly greater in the southern region (maximum 320 m) than the northern and central regions (maximum 200 m). The subducting plate was modeled as an elasto-plastic slab subjected to tectonic loading along the trench axis. The "apparent" slab-pull dip angle of the subducting plate, calculated from the ratio of the inverted vertical loading versus horizontal tensional force, was significantly larger in the southern region (51-64°) than in the northern (22-35°) and central (20-34°) regions, which is consistent with the seismologically determined dip angle within the shallow part of the subducting slab. This result suggests that the differences in the plate flexure and normal faulting characteristics along the Mariana Trench might be influenced, at least in part, by significant variations in the dip angle within the shallow part of the subducting plate. Normal faults were modeled to penetrate to a maximum depth of 15, 14, and 25 km in the upper mantle for the northern, central, and southern regions, respectively, which is consistent with the depths of available relocated normal faulting earthquakes in the central region. We calculated that the average reduction of the effective elastic plate thickness Te due to normal faulting is 31% in the southern region, which is almost twice that in both the northern and central regions ( 16%). Furthermore, model results revealed that the stress reduction associated

  14. Meiofauna abundance and community patterns along a transatlantic transect in the Vema Fracture Zone and in the hadal zone of the Puerto Rico trench

    NASA Astrophysics Data System (ADS)

    Schmidt, Christina; Escobar Wolf, Kaibil; Lins, Lidia; Martínez Arbizu, Pedro; Brandt, Angelika

    2018-02-01

    Despite the increasing sampling effort that occurred in the deep-sea environment during the last decades, knowledge about meiofauna ecology in trenches and Fracture Zones is still scarce. Based on the lack of this information, a longitudinal transect across the Vema Fracture Zone in the North Atlantic was sampled to test whether meiofauna abundances differ between Northeast and Northwest Atlantic basins, separated by the Mid-Atlantic Ridge. Also, for examination of meiofauna depth pattern, the Puerto Rico trench floor, its upper trench slope and the Western North Atlantic abyssal were investigated. In this study, meiofauna communities were dominated by Nematoda (93%) and Copepoda (4%). The highest total abundance of meiofauna was found in the Puerto Rico trench and the lowest in the Western basin. We found significant differences between the Eastern and Western Atlantic basins, which were potentially caused by differences in current regimes. Stronger currents observed in the Western basin possibly led to the coarser sediment grain size observed in this region, and consequently to the lower abundances of the major groups found there. Besides grain size, the total abundance of meiofauna was significantly correlated with total nitrogen, total organic carbon, and water depth. Moreover, our study reveals a trend of increasing abundance of total meiofauna with increasing water depth in the Puerto Rico trench. Also, significant differences between the Western abyssal and the Puerto Rico trench were discovered. Generally, the meiofauna abundance in the investigated area decreased from East to West but increased with increasing water depth in the Puerto Rico trench. Due to funnelling of organic sediments increased food availability towards deeper regions in trenches could occur and promote higher abundance.

  15. Waveform complexity caused by near trench structure and its impact on earthquake source study: application to the 2015 Illapel earthquake sequence

    NASA Astrophysics Data System (ADS)

    Qian, Y.; Wei, S.; Wu, W.; Ni, S.

    2017-12-01

    Among various types of 3D heterogeneity in the Earth, trench might be the most complex systems, which includes rapidly varying bathymetry and usually thick sediment below water layer. These structure complexities can cause substantial waveform complexities on seismograms, but their corresponding impact on the earthquake source studies has not yet been well understood. Here we explore those effects via studies of two moderate aftershocks (one near the coast while the other close to the Peru-Chile trench axis) in the 2015 Illapel earthquake sequence. The horizontal locations and depths of these two events are poorly constrained and the reported results of various agencies display substantial variations. Thus, we first relocated the epicenters using the P-wave first arrivals and determined other parameters by waveform fitting. In a jackknifing way, we found that the trench event has large differences between regional and teleseismic solutions, in particular for depth, while the coastal event shows consistent results. The teleseismic P/Pdiff waves between these two events also display distinctly different features. More specifically, the trench event has more complex P/Pdiff waves and stronger coda waves, in terms of amplitude and duration (longer than 100s). The coda waves are coherent across stations at different distances and azimuths, indicating a more likely origin of scattering waves due to 3D heterogeneity near trench. To quantitatively model those 3D effects, we adopted a hybrid waveform simulation approach that computes the 3D wavefield in the source region by the Spectral Element Method (SEM) and then propagates the wavefield to teleseismic and shadow zone distances through the Direct Solution Method (DSM). We incorporated the GEBCO bathymetry and water layer into the SEM simulations and assumed the IASP91 1D model for DSM computation. Comparing with the poor 1D synthetics fitting to the data, we do obtain dramatic improvement in 3D waveform fittings across a

  16. Opportunity Trenches Martian Soil

    NASA Technical Reports Server (NTRS)

    2004-01-01

    The Mars Exploration Rover Opportunity dragged one of its wheels back and forth across the sandy soil at Meridiani Planum to create a hole (bottom left corner) approximately 50 centimeters (19.7 inches) long by 20 centimeters (7.9 inches) wide by 9 centimeters (3.5 inches) deep. The rover's instrument deployment device, or arm, will begin studying the fresh soil at the bottom of this trench later today for clues to its mineral composition and history. Scientists chose this particular site for digging because previous data taken by the rover's miniature thermal emission spectrometer indicated that it contains crystalline hematite, a mineral that sometimes forms in the presence of water. The brightness of the newly-exposed soil is thought to be either intrinsic to the soil itself, or a reflection of the Sun. Opportunity's lander is in the center of the image, and to the left is the rock outcrop lining the inner edge of the small crater that encircles the rover and lander. This mosaic image is made up of data from the rover's navigation and hazard-avoidance cameras.

  17. Logs and data from trenches across the Berryessa Fault at the Jerd Creek site, northeastern Napa County, California, 2011-2012

    USGS Publications Warehouse

    Lienkaemper, James J.; Rosa, Carla M.; Cappelle, Ian J.; Wolf, Evan M.; Knepprath, Nichole E.; Piety, Lucille A.; Derouin, Sarah A.; Reidy, Liam M.; Redwine, Joanna L.; Sickler, Robert R.

    2014-01-01

    The primary purpose of this report is to provide drafted field logs of exploratory trenches excavated across the Berryessa Fault section of the northern Green Valley Fault (Lienkaemper, 2012; Lienkaemper and others, 2013) in 2011 and 2012 that show evidence for at least one surface-rupturing earthquake in the past few centuries. The site location and site detail are shown on sheet 1. The trench logs are shown on sheets 1, 2, 3 and 4. We also provide radiocarbon ages used for chronological modeling of the earthquake history and a field description of a soil profile in one trench. A formal report based on these logs and data is in preparation.

  18. Flexural modeling of the elastic lithosphere at an ocean trench: A parameter sensitivity analysis using analytical solutions

    NASA Astrophysics Data System (ADS)

    Contreras-Reyes, Eduardo; Garay, Jeremías

    2018-01-01

    The outer rise is a topographic bulge seaward of the trench at a subduction zone that is caused by bending and flexure of the oceanic lithosphere as subduction commences. The classic model of the flexure of oceanic lithosphere w (x) is a hydrostatic restoring force acting upon an elastic plate at the trench axis. The governing parameters are elastic thickness Te, shear force V0, and bending moment M0. V0 and M0 are unknown variables that are typically replaced by other quantities such as the height of the fore-bulge, wb, and the half-width of the fore-bulge, (xb - xo). However, this method is difficult to implement with the presence of excessive topographic noise around the bulge of the outer rise. Here, we present an alternative method to the classic model, in which lithospheric flexure w (x) is a function of the flexure at the trench axis w0, the initial dip angle of subduction β0, and the elastic thickness Te. In this investigation, we apply a sensitivity analysis to both methods in order to determine the impact of the differing parameters on the solution, w (x). The parametric sensitivity analysis suggests that stable solutions for the alternative approach requires relatively low β0 values (<15°), which are consistent with the initial dip angles observed in seismic velocity-depth models across convergent margins worldwide. The predicted flexure for both methods are compared with observed bathymetric profiles across the Izu-Mariana trench, where the old and cold Pacific plate is characterized by a pronounced outer rise bulge. The alternative method is a more suitable approach, assuming that accurate geometric information at the trench axis (i.e., w0 and β0) is available.

  19. FY2010 ANNUAL REVIEW E-AREA LOW-LEVEL WASTE FACILITY PERFORMANCE ASSESSMENT AND COMPOSITE ANALYSIS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Butcher, T.; Swingle, R.; Crapse, K.

    2011-01-01

    The E-Area Low-Level Waste Facility (ELLWF) consists of a number of disposal units described in the Performance Assessment (PA)(WSRC, 2008b) and Composite Analysis (CA)(WSRC, 1997; WSRC, 1999): Low-Activity Waste (LAW) Vault, Intermediate Level (IL) Vault, Trenches (Slit Trenches [STs], Engineered Trenches [ETs], and Component-in-Grout [CIG] Trenches), and Naval Reactor Component Disposal Areas (NRCDAs). This annual review evaluates the adequacy of the approved 2008 ELLWF PA along with the Special Analyses (SAs) approved since the PA was issued. The review also verifies that the Fiscal Year (FY) 2010 low-level waste (LLW) disposal operations were conducted within the bounds of the PA/SAmore » baseline, the Savannah River Site (SRS) CA, and the Department of Energy (DOE) Disposal Authorization Statement (DAS). Important factors considered in this review include waste receipts, results from monitoring and research and development (R&D) programs, and the adequacy of controls derived from the PA/SA baseline. Sections 1.0 and 2.0 of this review are a summary of the adequacy of the PA/SA and CA, respectively. An evaluation of the FY2010 waste receipts and the resultant impact on the ELLWF is summarized in Section 3.1. The results of the monitoring program, R&D program, and other relevant factors are found in Section 3.2, 3.3 and 3.4, respectively. Section 4.0 contains the CA annual determination similarly organized. SRS low-level waste management is regulated under DOE Order 435.1 (DOE, 1999a) and is authorized under a DAS as a federal permit. The original DAS was issued by the DOE-Headquarters (DOE-HQ) on September 28, 1999 (DOE, 1999b) for the operation of the ELLWF and the Saltstone Disposal Facility (SDF). The 1999 DAS remains in effect for the regulation of the SDF. Those portions of that DAS applicable to the ELLWF were superseded by revision 1 of the DAS on July 15, 2008 (DOE, 2008b). The 2008 PA and DAS were officially implemented by the facility on October 31

  20. Results of hydrologic research at a low-level radioactive-waste disposal site near Sheffield, Illinois

    USGS Publications Warehouse

    Ryan, Barbara J.

    1989-01-01

    Ten years of hydrologic research have been conducted by the U.S. Geological Survey at a commercial low-level radioactive-waste disposal site near Sheffield, Illinois. Research included studies of microclimate, evapotranspiration, and tritium release by plants; runoff and land modification; water movement through a trench cover; water and tritium movement in the unsaturated zone; gases in the unsaturated zone; water and tritium movement in the saturated zone; and water chemistry. Implications specific to each research topic and those based on overlapping research topics are summarized as to their potential effect on the selection, characterization, design, operation, and decommissioning processes of future low-level radioactive-waste disposal sites. Unconsolidated deposits at the site are diverse in lithologic character and are spatially and stratigraphically complex. Thickness of these Quaternary deposits ranges from 3 to 27 meters and averages 17 meters. The unconsolidated deposits overlay 140 meters of Pennsylvanian shale, mudstone, siltstone, and coal. Approximately 90,500 cubic meters of waste were buried from August 1967 through August 1978, in 21 trenches that were constructed in glacial materials by using a cut-and-fill process. Trenches generally were constructed below grade and ranged from 11 to 180 meters long, 2.4 to 21 meters wide, and 2.4 to about 7.9 meters deep. Research on microclimate and evapotranspiration at the site was conducted from July 1982 through June 1984. Continuous measurements were made of precipitation, incoming and reflected solar (shortwave) radiation, incoming and emitted terrestrial (longwave) radiation, horizontal windspeed and direction, wet- and dry-bulb air temperature, barometric pressure, soil-heat fluxes, and soil temperature. Soil-moisture content, for this research phase, was measured approximately biweekly. Evapotranspiration rates were estimated by using three techniques--energy budget, aerodynamic profile, and water

  1. The geochemical characteristics and sedimentary environment of abyss and hadal sediments of Yap-trench

    NASA Astrophysics Data System (ADS)

    Huang, Y.; Wang, M.; Sun, C.; Yang, G.; Ding, H.

    2017-12-01

    Based on ICP-ES analysis, concentrations of 6 constant elements and 9 trace elements in five sediment columnar samples collected from Yap-trench by the Jiaolong Submersiblein June, 2016, were determined. According to the distribution of elements, the sources of sediment and the implications on sedimentary environment were investigated through the correlation of elements and the ratios between special elements. The results showed that the carbonate compensation depthwas between 4500m and 5000m, and the depth of 5000m should be an ideal condition for the formation of iron and manganese nodules. Based on the ratios of Fe/Al and Ti/Al, and the correlation of elements, we inferred that Yap-trench sediments were mainly derived from biogenic, terrestrial, volcanic and autogenic source. The values of Ni/Co and V/Cr indicated that the depositional environment belongs to the oxidative environment and might have inflow of the Antarctic bottom oxygen-rich water. The high content of Ca in the 371-Yap-S02 station below 4cm indicated that this area should be no large-scale volcanic eruption, and volcanic material in the sediment may come from the Mariana volcanic arc. The Caroline ridge located in the east of Yap-trench keep sinking due to plate subduction.

  2. Source of high tsunamis along the southernmost Ryukyu trench inferred from tsunami stratigraphy

    NASA Astrophysics Data System (ADS)

    Ando, Masataka; Kitamura, Akihisa; Tu, Yoko; Ohashi, Yoko; Imai, Takafumi; Nakamura, Mamoru; Ikuta, Ryoya; Miyairi, Yosuke; Yokoyama, Yusuke; Shishikura, Masanobu

    2018-01-01

    Four paleotsunamis deposits are exposed in a trench on the coastal lowland north of the southern Ryukyu subduction zone trench. Radiocarbon ages on coral and bivalve shells show that the four deposits record tsunamis date from the last 2000 yrs., including a historical tsunami with a maximum run-up of 30 m in 1771, for an average recurrence interval of approximately 600 yrs. Ground fissures in a soil beneath the 1771 tsunami deposit may have been generated by stronger shaking than recorded by historical documents. The repeated occurrence of the paleotsunami deposits supports a tectonic source model on the plate boundary rather than a nontectonic source model, such as submarine landslides. Assuming a thrust model at the subduction zone, the seismic coupling ratio may be as low as 20%.

  3. Slab Roll-Back and Trench Retreat As Controlling Factor for Island-Arc Related Basin Evolution: A Case Study from Southern Central America

    NASA Astrophysics Data System (ADS)

    Brandes, C.; Winsemann, J.

    2014-12-01

    Slab roll-back and trench retreat are important factors for basin subsidence, magma generation and volcanism in arc-trench systems. From the sedimentary and tectonic record of the Central American island-arc it is evident that repeated slab roll-back and trench retreats occurred since the Late Cretaceous. These trench retreats were most probably related to the subduction of oceanic plateaus and seamounts. Evidence for trench retreats is given by pulses of uplift in the outer-arc area, followed by subsidence in both the fore-arc and back-arc basins. The first slab roll-back probably occurred during the Early Paleocene indicated by the collapse of carbonate platforms, and the re-deposition of large carbonate blocks into deep-water turbidites. At this time the island-arc was transformed from an incipient non-extensional stage into an extensional stage. A new pulse of uplift or decreased subsidence, respectively during the Late Eocene is attributed to subduction of rough crust, a subsequent slab detachment and the establishment of a new subduction zone further westward. Strong uplift especially affected the outer arc of the North Costa Rican arc segment. In the Sandino Fore-arc basin very coarse-grained deep-water channel-levee complexes were deposited. These deposits contain large well-rounded andesitic boulders and are rich in reworked shallow-water carbonates pointing to uplift of the inner fore-arc. Evidence for the subsequent trench retreat is given by an increased subsidence during the early Oligocene in the Sandino Fore-arc Basin and the collapse of the Barra Honda platform in North Costa Rica. Another trench retreat might have occurred in Miocene times. A phase of higher subsidence from 18 to 13 Ma is documented in the geohistory curve of the North Limon Back-arc Basin. After a short pulse of uplift the subsidence increased to approx. 300 m/myr.

  4. Slab roll-back and trench retreat as controlling factor for basin subsidence in southern Central America

    NASA Astrophysics Data System (ADS)

    Brandes, Christian; Winsemann, Jutta

    2015-04-01

    Slab roll-back and trench retreat are important factors for basin subsidence, magma generation and volcanism in arc-trench systems. Based on the sedimentary and tectonic record of the southern Central American island-arc we conclude that repeated phases of slab roll-back and trench retreats occurred the arc-trench system since the Late Cretaceous. These trench retreats were most probably related to the subduction of oceanic plateaus and seamounts and effected both the fore-arc and back-arc evolution. We used numerical basin modelling techniques to analyse the burial history of fore-arc and back-arc basins in Central America and combined the results with field data of the sedimentological evolution of the basin-fills. From the basin models, geohistory curves were extracted for the fore-arc and back-arc basins to derive the subsidence evolution. The Sandino Fore-arc Basin is characterized by low subsidence during the first 40 Myr. Since the Late Cretaceous the basin has a linear moderate subsidence with a phase of accelerated subsidence in the Oligocene. In the North and South Limón Back-arc Basin, subsidence started at approximately the same time as in the Sandino Fore-arc Basin. The North and South Limón Basins show a linear subsidence trend in the Paleocene and Eocene. Evidence for trench retreats is given by pulses of uplift in the outer-arc area, followed by subsidence in both the fore-arc and back-arc basins. The first slab roll-back probably occurred during the Early Paleocene. This is indicated by the collapse of carbonate platforms, and the re-deposition of large carbonate blocks into deep-water turbidites. A new pulse of uplift or decreased subsidence, respectively during the Late Eocene is attributed to subduction of rough crust. A subsequent slab detachment and the establishment of a new subduction zone further westward was described by Walther et al. (2000). Strong uplift affected the entire fore-arc area, which led to the deposition of very coarse

  5. Trench-parallel flow beneath the nazca plate from seismic anisotropy.

    PubMed

    Russo, R M; Silver, P G

    1994-02-25

    Shear-wave splitting of S and SKS phases reveals the anisotropy and strain field of the mantle beneath the subducting Nazca plate, Cocos plate, and the Caribbean region. These observations can be used to test models of mantle flow. Two-dimensional entrained mantle flow beneath the subducting Nazca slab is not consistent with the data. Rather, there is evidence for horizontal trench-parallel flow in the mantle beneath the Nazca plate along much of the Andean subduction zone. Trench-parallel flow is attributale utable to retrograde motion of the slab, the decoupling of the slab and underlying mantle, and a partial barrier to flow at depth, resulting in lateral mantle flow beneath the slab. Such flow facilitates the transfer of material from the shrinking mantle reservoir beneath the Pacific basin to the growing mantle reservoir beneath the Atlantic basin. Trenchparallel flow may explain the eastward motions of the Caribbean and Scotia sea plates, the anomalously shallow bathymetry of the eastern Nazca plate, the long-wavelength geoid high over western South America, and it may contribute to the high elevation and intense deformation of the central Andes.

  6. After Rasping by Phoenix in 'Snow White' Trench, Sol 60

    NASA Technical Reports Server (NTRS)

    2008-01-01

    NASA's Phoenix Mars Lander used the motorized rasp on the back of its robotic arm scoop during the mission's 60th Martian day, or sol, (July 26, 2008) to penetrate a hard layer at the bottom of a trench informally called 'Snow White.' This view, taken by the lander's Surface Stereo Imager and presented in approximately true color, shows the trench later the same sol.

    Most of the 16 holes left by a four-by-four array of rasp placements are visible in the central area of the image.

    A total 3 cubic centimeters, or about half a teaspoon, of material was collected in the scoop. Material in the scoop was collected both by the turning rasp, which threw material into the scoop through an opening at the back of the scoop, and by the scoop's front blade, which was run over the rasped area to pick up more shavings.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  7. Determining RUSLE P-factors for stonebunds and trenches in rangeland and cropland, Northern Ethiopia

    NASA Astrophysics Data System (ADS)

    Taye, Gebeyehu; Poesen, Jean; Vanmaercke, Matthias; Van Wesemael, Bas; Tesfay, Samuel; Teka, Daniel; Nyssen, Jan; Deckers, Jozef; Haregeweyn, Nigussie

    2017-04-01

    The implementation of soil and water conservation (SWC) measures in the Ethiopian highlands is a top priority to reduce soil erosion rates and to enhance the sustainability of agroecosystem. Nonetheless, the effectiveness of many of these measures for different hillslope and land use conditions remains currently poorly understood. As a result, the overall effects of these measures at regional or catchment scale remain hard to quantify. This study addresses this knowledge gap by determining the cover-management (C) and support practice (P) factors of the Revised Universal Soil Loss Equation (RUSLE), for commonly used SWC measures in semi-arid environments (i.e. stone bunds, trenches and a combination of both). Calculations were based on soil loss data collected with runoff plots in Tigray, northern Ethiopia (i.e. 21 runoff plots of 600 to 1000 m2, monitored during 2010, 2011 and 2012). The runoff plots were installed in rangeland and cropland sites corresponding to a gentle (5%), medium (12%) and steep (16%) slope gradients. The C and P factors of the RUSLE were calculated following the recommended standard procedures. Results show that the C-factor for rangeland ranges from 0.31 to 0.98 and from 0.06 to 0.39 for cropland. For rangeland, this large variability is due to variations in vegetation cover caused by grazing. In cropland, C-factors vary with tillage practices and crop types. The calculated P-factors ranged from 0.32 to 0.74 for stone bunds, from 0.07 to 0.65 for trenches and from 0.03 to 0.22 for a combination of both stone bunds and trenches. This variability is partly due to variations in the density of the implemented measures in relation to land use (cropland vs rangeland) and slope angles. However, also annual variations in P factor values are highly significant. Especially trenches showed a very significant decline of effectiveness over time, which is attributable to their reduced static storage capacity as a result of sediment deposition (e.g. for

  8. Improved shallow trench isolation and gate process control using scatterometry based metrology

    NASA Astrophysics Data System (ADS)

    Rudolph, P.; Bradford, S. M.

    2005-05-01

    The ability to control critical dimensions of structures on semiconductor devices is essential to improving die yield and device performance. As geometries shrink, accuracy of the metrology equipment has increasingly become a contributing factor to the inability to detect shifts which result in yield loss. Scatterometry provides optical measurement that better enables process control of critical dimensions. Superior precision, accuracy, and higher throughput can be achieved more cost effectively through the use of this technology in production facilities. This paper outlines the implementation of Scatterometry based metrology in a production facility. The accuracy advantage it has over conventional Scanning Electron Microscope (SEM) measurement is presented. The Scatterometry tool used has demonstrated repeatability on the order of 3σ < 1 nm at STI-Etch-FICD for CD and Trench Depth (TD), and Side Wall Angle (SWA) measurements to within 0.1 degrees. Poly CD also shows 3σ < 1 nm, and poly thickness measurement 3σ < 2.5 Å. Scatterometry has capabilities which include measurement of CD, structure height and trench depth, Sidewall angle (SWA), and film thickness. The greater accuracy and the addition of in-situ Trench depth and sidewall angle have provided new measurement capabilities. There are inherent difficulties in implementing scatterometry in production wafer fabs. Difficulties with photo resist measurements, film characterization and stack set-up will be discussed. In addition, there are challenges due to the quantity data generated, in how to organize and store this data effectively. A comparison of the advantages and shortcomings of the method are presented.

  9. The Characteristics of Turbidite Beds of Southwest Ryukyu Trench Floor: A new Approach From the X-ray Fluorescence Core Scanning Analysis

    NASA Astrophysics Data System (ADS)

    Hsiung, K. H.; Kanamatsu, T.; Ikehara, K.; Usami, K.; Saito, S.; Murayama, M.

    2017-12-01

    The southwest Ryukyu Trench near Taiwan is an ideal place for source-to-sink studies based on the distinctive sediment transport route between the terrestrial sediment source in Taiwan and the marine sink in the Ryukyu Trench. Using the bathymetric and seismic reflection data, we develop a sediment transport routes for understanding the ultimate sink of the southwest Ryukyu Trench floor. The southwest Ryukyu Trench floor can be regarded as the most distal depositional basin and isolated from the Ryukyu forearc basins. In addition, part of sediment from the proximal sources of the Ryukyu Islands and Yaeyama accretionary prism could be transported to the trench floor. We collected the piston core, PC04, from the southwest Ryukyu Trench floor of 6,147 m water depth in 3.23 m core length from cruise KR15-18, 2015. The coring site locates behind the natural levee of an obvious channel in the Ryukyu trench floor. The PC04 is composed of gray silty clay interbedded with numerous silt layers. Most of the silt layers are less than 2 cm in thickness. Based upon the core observation, X-ray fluorescence core scanning analysis and 14C age determinations, thirty-seven individual and thin beds were determined as turbidites. The results of X-ray fluorescence core scanning analysis provide continuous and high-resolution (1.0 mm of each point) assessment of relative change in the elemental ratios. Ca/Fe is a proxy for the terrigenous component of the sediment, indicating the High Ca and low Fe of each turbidite layers. Zr/Rb ratios of the marine sediments commonly used in the reflection of the original grain size variation. A large part of deep-sea turbidite beds are characterized by high Ca/Fe and Zr/Rb ratio values. These turbidite beds can be linked spatially over a distance of ˜200 km via submarine canyons within the Taiwan orogen. However, it is difficult to be linked temporally to certain events.

  10. Plasmon resonance and perfect light absorption in subwavelength trench arrays etched in gallium-doped zinc oxide film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hendrickson, Joshua R., E-mail: joshua.hendrickson.4@us.af.mil; Leedy, Kevin; Cleary, Justin W.

    Near-perfect light absorption in subwavelength trench arrays etched in highly conductive gallium-doped zinc oxide films was experimentally observed in the mid infrared regime. At wavelengths corresponding to the resonant excitation of surface plasmons, up to 99% of impinging light is efficiently trapped and absorbed in the periodic trenches. Scattering cross sectional calculations reveal that each individual trench acts like a vertical split ring resonator with a broad plasmon resonance spectrum. The coupling of these individual plasmon resonators in the grating structure leads to enhanced photon absorption and significant resonant spectral linewidth narrowing. Ellipsometry measurements taken before and after device fabricationmore » result in different permittivity values for the doped zinc oxide material, indicating that localized annealing occurred during the plasma etching process due to surface heating. Simulations, which incorporate a 50 nm annealed region at the zinc oxide surface, are in a good agreement with the experimental results.« less

  11. Environmental waste site characterization utilizing aerial photographs and satellite imagery: Three sites in New Mexico, USA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Van Eeckhout, E.; Pope, P.; Becker, N.

    1996-04-01

    The proper handling and characterization of past hazardous waste sites is becoming more and more important as world population extends into areas previously deemed undesirable. Historical photographs, past records, current aerial satellite imagery can play an important role in characterizing these sites. These data provide clear insight into defining problem areas which can be surface samples for further detail. Three such areas are discussed in this paper: (1) nuclear wastes buried in trenches at Los Alamos National Laboratory, (2) surface dumping at one site at Los Alamos National Laboratory, and (3) the historical development of a municipal landfill near Lasmore » Cruces, New Mexico.« less

  12. Coupling intensity and isostatic competition between subducting slab and overriding plate control trench motions and tectonics of the overriding plate

    NASA Astrophysics Data System (ADS)

    Wu, G.; Moresi, L. N.

    2017-12-01

    Trench motions not only reflect tectonic regimes on the overriding plate but also shed light on the competition between subducting slab and overriding plate, however, major controls over trench advance or retreat and their consequences are still illusive. We use 2D thermo-mechanical experiments to study the problem. We find that the coupling intensity particularly in the uppermost 200 km and the isostatic competition between subducting slab and overriding plate largely determine trench motion and tectonics of in the overriding plate. Coupling intensity is the result of many contributing factors, including frictional coefficient of brittle part of the subducting interface and the viscosity of the ductile part, thermal regime and rheology of the overriding plate, and water contents and magmatic activity in the subducting slab and overriding plate. In this study, we are not concerned with the dynamic evolution of individual controlling parameter but simply use effective media. For instance, we impose simple model parameters such as frictional coefficient and vary the temperature and strain-rate dependent viscosity of the weak layer between the subducting slab and overriding plate. In the coupled end-member case, strong coupling leads to strong corner flow, depth-dependent compression/extension, and mantle return flow on the overriding plate side. It results in fast trench retreat, broad overriding plate extension, and even slab breakoff. In the decoupled end-member case, weak coupling causes much weaker response on the overriding plate side compared with the coupled end-member case, and the subducting slab can be largely viewed as a conveyer belt. We find that the isostatic competition between the subducting slab and overriding plate also has a major control over trench motion, and may better be viewed in 3D models. This is consistent with the findings in previous 3D studies that trench motion is most pronounced close to the slab edge. Here we propose that the

  13. Review of the transport of selected radionuclides in the interim risk assessment for the Radioactive Waste Management Complex, Waste Area Group 7 Operable Unit 7-13/14, Idaho National Engineering and Environmental Laboratory, Idaho

    USGS Publications Warehouse

    Rousseau, Joseph P.; Landa, Edward R.; Nimmo, John R.; Cecil, L. DeWayne; Knobel, LeRoy L.; Glynn, Pierre D.; Kwicklis, Edward M.; Curtis, Gary P.; Stollenwerk, Kenneth G.; Anderson, Steven R.; Bartholomay, Roy C.; Bossong, Clifford R.; Orr, Brennon R.

    2005-01-01

    The U.S. Department of Energy (DOE) requested that the U.S. Geological Survey conduct an independent technical review of the Interim Risk Assessment (IRA) and Contaminant Screening for the Waste Area Group 7 (WAG-7) Remedial Investigation, the draft Addendum to the Work Plan for Operable Unit 7-13/14 WAG-7 comprehensive Remedial Investigation and Feasibility Study (RI/FS), and supporting documents that were prepared by Lockheed Martin Idaho Technologies, Inc. The purpose of the technical review was to assess the data and geotechnical approaches that were used to estimate future risks associated with the release of the actinides americium, uranium, neptunium, and plutonium to the Snake River Plain aquifer from wastes buried in pits and trenches at the Subsurface Disposal Area (SDA). The SDA is located at the Radioactive Waste Management Complex in southeastern Idaho within the boundaries of the Idaho National Engineering and Environmental Laboratory. Radionuclides have been buried in pits and trenches at the SDA since 1957 and 1952, respectively. Burial of transuranic wastes was discontinued in 1982. The five specific tasks associated with this review were defined in a ?Proposed Scope of Work? prepared by the DOE, and a follow-up workshop held in June 1998. The specific tasks were (1) to review the radionuclide sampling data to determine how reliable and significant are the reported radionuclide detections and how reliable is the ongoing sampling program, (2) to assess the physical and chemical processes that logically can be invoked to explain true detections, (3) to determine if distribution coefficients that were used in the IRA are reliable and if they have been applied properly, (4) to determine if transport model predictions are technically sound, and (5) to identify issues needing resolution to determine technical adequacy of the risk assessment analysis, and what additional work is required to resolve those issues.

  14. Distribution and composition of dissolved amino acids in seawater at the Yap Trench

    NASA Astrophysics Data System (ADS)

    Yan, Y.; Xie, L.; Sun, C.; Yang, G.; Ding, H.

    2017-12-01

    The distributions and compositions of total hydrolyzed amino acids ( THAA) , dissolved combined amino acids ( DCAA) and dissolved free amino acids ( DFAA) were investigated after analyzing seawater samples collected from different depths by CTD and from the sediment-seawater interface by the Jiaolong submersible, at 4 stations located in the Yap Trench in June, 2016. The results showed that the average concentration of THAA was (2.44±0.85) μmol /L, while the average concentrations of DCAA and DFAA were (1.97±0.82) μmol /L and (0.47±0.34)μmol /L, respectively.The concentrations of THAA and DCAA displayed a decreasing trend from surface layer to deep layer. In the vertical distribution, the concentrations of THAA varied differently in superficial layer (above 1000 meters). THAA, DFAA and DCAA had a similar concentrations below 1000 meter depth. In the study area, major constituents of dissolved amino acids were methionine, threonine , histidine, glutamic acid , valine and glycine. At the Yap Trench, neutral dissolved amino acids were dominant in total dissolved amino acids. The trend of vertical distributions of various types of THAA, DFAA, and DCAA were similar with the total THAA, DFAA, and DCAA. In sediment-seawater interface, the seawater in the northwest of the trench has high concentrations of THAA and DCAA, while the concentrations of DFAA were similar in the seawater at the sediment-seawater interface.

  15. GLORIA imagery links sedimentation in Aleutian Trench to Yakutat margin via surveyor channel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carlson, P.R.; Bruns, T.R.; Mann, D.M.

    1990-06-01

    GLORIA side-scan sonar imagery shows that the continental slope developing along the active margin of the Gulf of Alaska is devoid of large submarine canyons, in spite of the presence of large glacially formed sea valleys that cross the continental shelf. In the western and northern Gulf, discontinuous, actively growing deformation structures disrupt or divert the downslope transport of sediment into the Aleutian Trench. To the east of Middleton Island, the slope is intensively gullied and incised only by relatively small canyons. At the base of the gullied slope between Pamplona Spur and Alsek Valley, numerous small slope gullies coalescemore » into three turbidity current channels that merge to form the Surveyor deep-sea channel. About 350 km from the margin, the channel crosses the structural barrier formed by the Kodiak-Bowie Seamount chain and heads south for another 150 km where it bends northerly, perhaps influenced by the oceanic basement relief of the Patton Seamounts. The channel, now up to 5 km wide and deeply entrenched to 450 m, continues northerly for 200 km where it intercepts the Aleutian Trench, some 700 km from the Yakutat margin. South of Surveyor Channel, GLORIA imagery revealed evidence of another older channel. The older channel meanders through a gap in the seamount chain and eventually bends northwesterly. This now inactive, largely buried channel may have carried turbidity currents to the Aleutian Trench concurrent with the active Surveyor Channel.« less

  16. Evaluation of trench and slotted drain maintenance and cleaning : Phase 1 : final report.

    DOT National Transportation Integrated Search

    2017-03-01

    Trench and slotted drains are increasingly being used by ODOT to remove storm water from the roadways. These drains have to be properly cleaned and maintained to prevent vehicles from hydroplaning, eliminate flooding and avoid premature roadway failu...

  17. Determining sources of deep-sea mud by organic matter signatures in the Sunda trench and Aceh basin off Sumatra

    NASA Astrophysics Data System (ADS)

    Omura, Akiko; Ikehara, Ken; Arai, Kohsaku; Udrekh

    2017-12-01

    The content, optically determined properties, and stable isotope composition of organic carbon in fine-grained sediment cores were analyzed to investigate the origins of deep-sea sediments deposited in the Aceh forearc basin and on the Sunda trench floor off Sumatra from the late Pleistocene to the Holocene. In the Aceh basin, the depositional frequency of turbidite mud decreased as sea level rose during the deglaciation. The terrigenous organic carbon content was high at the end of the last glacial period, whereas during the deglaciation most of the organic carbon was of marine origin. In the Sunda trench, the Holocene turbidites consisted of remobilized slope sediments from two different sources: sediments derived from the old Bengal/Nicobar fan included thermally matured organic fragments, whereas those derived from the trench slope contained little terrigenous organic carbon.

  18. Animation of 'Dodo' and 'Goldilocks' Trenches

    NASA Technical Reports Server (NTRS)

    2008-01-01

    [figure removed for brevity, see original site] Click on image for animation

    A pan and zoom animation of the informally named 'Dodo' (on left) and 'Goldilocks' (on right) trenches as seen by the Surface Stereo Imager (SSI) aboard NASA's Phoenix Mars Lander. This animation was based on conditions on the Martian surface on Sol 17 (June 11, 2008), the 17th Martian day of the mission. 'Baby Bear' is the name of the sample taken from 'Goldilocks' and delivered to the Thermal and Evolved-Gas Analyzer (TEGA) instrument.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  19. Frost seen on Snow White Trench

    NASA Technical Reports Server (NTRS)

    2008-01-01

    The Surface Stereo Imager (SSI) on NASA's Phoenix Mars Lander took this shadow-enhanced false color image of the 'Snow White' trench, on the eastern end of Phoenix's digging area. The image was taken on Sol 144, or the 144th day of the mission, Oct. 20, 2008. Temperatures measured on Sol 151, the last day weather data were received, showed overnight lows of minus128 Fahrenheit (minus 89 Celsius) and day time highs in the minus 50 F (minus 46 C) range. The last communication from the spacecraft came on Nov. 2, 2008.

    The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  20. Structural changes and shallow geological structure of the isolated basins in the forearc slope of the Japan Trench

    NASA Astrophysics Data System (ADS)

    Misawa, A.; Arai, K.; Fujiwara, T.; Sato, M.; Shin'ichiro, Y.; Hirata, K.; Kanamatsu, T.

    2017-12-01

    On the forearc slope of the Japan Trench is a typical subsidence region associated with the subduction erosion in the Japan Trench. Arai et al. (2014) reported the existence of the isolated basins with widths of up to several tens of kilometers using the seismic profiles that acquired before the 2011 Tohoku earthquake (Mw 9.0) in the forearc slope. The isolated basin probably formed due to subsidence accompanying the regional activity of normal fault systems in the forearc slope. Arai et al. (2014) suggested that the geological structures of the forearc slope along the Japan Trench are typical of those resulting from subduction erosion and proposed that the episodic subsidence accompanied by normal faulting is the most recent deformation. During the 2011 large earthquake, seafloor on the landward slope of the Japan Trench moved 50 m east-southeast toward trench (Fujiwara et al., 2011). In addition, aftershock activity after the 2011 large earthquake have predominated in the activity of the normal fault system. Therefore, there have a possibility that new isolated basin is formed after the 2011 large earthquake in the forearc slope of the Japan Trench. In order to capture the structural change in the isolated basins, we compared the seismic profiles acquired before (Multi-Channel Seismic (MCS) data acquired with KR07-05 cruise) and after (Single-Channel Seismic (SCS) data acquired with NT15-07 cruise) the 2011 large earthquake. However, the large-scale structural changes are not identified around the isolated basin. In order to capture the small-scale structural change in the shallow part of the isolated basins using high-resolution data, we make an attempt at the marine geological and geophysical survey in the offshore Tohoku region using R/V Shinsei-Maru of JAMSTEC (KS-17-8 cruise) in August 2017. In this cruise, we plan to carry out the following surveys; (1) swath bathymetric survey, (2) high-resolution parametric subbottom profiler (SBP) survey, (3) geomagnetic

  1. Evaluation of Anisotropic Biaxial Stress Induced Around Trench Gate of Si Power Transistor Using Water-Immersion Raman Spectroscopy

    NASA Astrophysics Data System (ADS)

    Suzuki, Takahiro; Yokogawa, Ryo; Oasa, Kohei; Nishiwaki, Tatsuya; Hamamoto, Takeshi; Ogura, Atsushi

    2018-05-01

    The trench gate structure is one of the promising techniques to reduce on-state resistance (R on) for silicon power devices, such as insulated gate bipolar transistors and power metal-oxide-semiconductor field-effect transistors. In addition, it has been reported that stress is induced around the trench gate area, modifying the carrier mobilities. We evaluated the one-dimensional distribution and anisotropic biaxial stress by quasi-line excitation and water-immersion Raman spectroscopy, respectively. The results clearly confirmed anisotropic biaxial stress in state-of-the-art silicon power devices. It is theoretically possible to estimate carrier mobility using piezoresistance coefficients and anisotropic biaxial stress. The electron mobility was increased while the hole mobility was decreased or remained almost unchanged in the silicon (Si) power device. The stress significantly modifies the R on of silicon power transistors. Therefore, their performance can be improved using the stress around the trench gate.

  2. Bait attending fishes of the abyssal zone and hadal boundary: Community structure, functional groups and species distribution in the Kermadec, New Hebrides and Mariana trenches

    NASA Astrophysics Data System (ADS)

    Linley, T. D.; Stewart, A. L.; McMillan, P. J.; Clark, M. R.; Gerringer, M. E.; Drazen, J. C.; Fujii, T.; Jamieson, A. J.

    2017-03-01

    Baited landers were deployed at 83 stations at four locations in the west Pacific Ocean from bathyal to hadal depths: The Kermadec Trench, the New Hebrides Trench, the adjoining South Fiji Basin and the Mariana Trench. Forty-seven putative fish species were observed. Distinct fish faunal groups were identified based on maximum numbers and percentage of observations. Both analyses broadly agreed on the community structure: A bathyal group at <3000 m in the New Hebrides and Kermadec trenches, an abyssal group (3039 - 4692 m) in the Kermadec Trench, an abyssal-hadal transition zone (AHTZ) group (Kermadec: 4707-6068 m, Mariana: 4506-6198 m, New Hebrides: 2578-6898 m, South Fiji Basin: 4074-4101 m), and a hadal group of endemic snailfish in the Kermadec and Mariana trenches (6750-7669 m and 6831-8143 m respectively). The abyssal and hadal groups were absent from the New Hebrides Trench. Depth was the single factor that best explained the biological variation between samples (16%), the addition of temperature and average surface primary production for the previous year increased this to 36% of variation. The absence of the abyssal group from the New Hebrides Trench and South Fiji Basin was due to the absence of macrourids (Coryphaenoides spp.), which defined the group. The macrourids may be energetically limited in these areas. In their absence the species of the AHTZ group appear released of competition with the macrourids and are found far shallower at these sites. The fish groups had distinct feeding strategies while attending the bait: The bathyal and abyssal groups were almost exclusively necrophagous, the AHTZ group comprised predatory and generalist feeders, while the hadal snailfishes were exclusively predators. With increasing depth, predation was found to increase while scavenging decreased. The data suggest scavenging fish fauna do not extend deeper than the hadal boundary.

  3. Fault trends on the seaward slope of the Aleutian Trench: Implications for a laterally changing stress field tied to a westward increase in oblique convergence

    USGS Publications Warehouse

    Mortera-Gutierrez, C. A.; Scholl, D. W.; Carlson, R.L.

    2003-01-01

    Normal faults along the seaward trench slope (STS) commonly strike parallel to the trench in response to bending of the oceanic plate into the subduction zone. This is not the circumstance for the Aleutian Trench, where the direction of convergence gradually changes westward, from normal to transform motion. GLORIA side-scan sonar images document that the Aleutian STS is dominated by faults striking oblique to the trench, west of 179??E and east of 172??W. These images also show a pattern of east-west trending seafloor faults that are aligned parallel to the spreading fabric defined by magnetic anomalies. The stress-strain field along the STS is divided into two domains west and east, respectively, of 179??E. Over the western domain, STS faults and nodal planes of earthquakes are oriented oblique (9??-46??) to the trench axis and (69??-90??) to the magnetic fabric. West of 179??E, STS fault strikes change by 36?? from the E-W trend of STS where the trench-parallel slip gets larger than its orthogonal component of convergence. This rotation indicates that horizontal stresses along the western domain of the STS are deflected by the increasing obliquity in convergence. An analytical model supports the idea that strikes of STS faults result from a superposition of stresses associated with the dextral shear couple of the oblique convergence and stresses caused by plate bending. For the eastern domain, most nodal planes of earthquakes strike parallel to the outer rise, indicating bending as the prevailing mechanism causing normal faulting. East of 172??W, STS faults strike parallel to the magnetic fabric but oblique (10??-26??) to the axis of the trench. On the basis of a Coulomb failure criterion the trench-oblique strikes probably result from reactivation of crustal faults generated by spreading. Copyright 2003 by the American Geophysical Union.

  4. Evaluation of Schottky barrier height on 4H-SiC m-face \\{ 1\\bar{1}00\\} for Schottky barrier diode wall integrated trench MOSFET

    NASA Astrophysics Data System (ADS)

    Kobayashi, Yusuke; Ishimori, Hiroshi; Kinoshita, Akimasa; Kojima, Takahito; Takei, Manabu; Kimura, Hiroshi; Harada, Shinsuke

    2017-04-01

    We proposed an Schottky barrier diode wall integrated trench MOSFET (SWITCH-MOS) for the purposes of shrinking the cell pitch and suppressing the forward degradation of the body diode. A trench Schottky barrier diode (SBD) was integrated into a trench gate MOSFET with a wide shielding p+ region that protected the trench bottoms of both the SBD and the MOS gate from high electrical fields in the off state. The SBD was placed on the trench sidewall of the \\{ 1\\bar{1}00\\} plane (m-face). Static and transient simulations revealed that SWITCH-MOS sufficiently suppressed the bipolar current that induced forward degradation, and we determined that the optimum Schottky barrier height (SBH) was from 0.8 to 2.0 eV. The SBH depends on the crystal planes in 4H-SiC, but the SBH of the m-face was unclear. We fabricated a planar m-face SBD for the first time, and we obtained SBHs from 1.4 to 1.8 eV experimentally with titanium or nickel as a Schottky metal.

  5. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Agogino, Karen; Sanchez, Rebecca

    Tonopah Test Range (TTR) in Nevada and Kauai Test Facility (KTF) in Hawaii are government-owned, contractor-operated facilities operated by Sandia Corporation (Sandia), a wholly owned subsidiary of Lockheed Martin Corporation. The U.S. Department of Energy (DOE)/National Nuclear Security Administration (NNSA), through the Sandia Site Offi ce (SSO), in Albuquerque, NM, administers the contract and oversees contractor operations at TTR and KTF. Sandia manages and conducts operations at TTR in support of the DOE/NNSA’s Weapons Ordnance Program and has operated the site since 1957. Washington Group International subcontracts to Sandia in administering most of the environmental programs at TTR. Sandia operatesmore » KTF as a rocket preparation launching and tracking facility. This Annual Site Environmental Report (ASER) summarizes data and the compliance status of the environmental protection and monitoring program at TTR and KTF through Calendar Year (CY) 2007. The compliance status of environmental regulations applicable at these sites include state and federal regulations governing air emissions, wastewater effluent, waste management, terrestrial surveillance, and Environmental Restoration (ER) cleanup activities. Sandia is responsible only for those environmental program activities related to its operations. The DOE/NNSA/Nevada Site Offi ce (NSO) retains responsibility for the cleanup and management of ER TTR sites. Currently, there are no ER Sites at KTF. Environmental monitoring and surveillance programs are required by DOE Order 450.1, Environmental Protection Program (DOE 2007a) and DOE Manual 231.1-1A, Environment, Safety, and Health Reporting Manual (DOE 2007).« less

  6. How broad and deep is the region of chemical alteration of oceanic plates at trenches?

    NASA Astrophysics Data System (ADS)

    Ranero, C. R.; Grevemeyer, I.; Barckhausen, U.

    2017-12-01

    Different lines of evidence indicate that oceanic plates are affected by pervasive bending-related deformation approaching ocean trenches. Results from active-seismic work support that deformation provides paths for exchange between hydrosphere and lithosphere, possibly causing chemical alteration of the incoming lithosphere. Much work focused on the potential transformation of peridotite to serpentine in the uppermost mantle of incoming plates, but there is no consensus on the region where it may occur or the intensity of alteration, let alone on limiting factors for the process. Teleseismic (large-great) earthquakes with normal-fault mechanism in the outer rise region have been often called to speculate on the depth of penetration of plate hydration. However, large-great outer-rise earthquakes may be related to stress changes due to slab pull after decoupling along the inter-plate boundary, and not necessarily controlled by bending stresses only. If so, the majority of the time the depth of water percolation may be related to local bending stresses expressed by micro-earthquakes rather than large events. Seismic images and multibeam bathymetry from lithosphere of similar thermal thickness from different trenches display a remarkable variability of the intensity of bending-related deformation along the subduction zones where plate age does not change significantly indicating that the intensity of deformation (not the depth) and perhaps hydration is very variable in space and not controlled by plate age. Seismic images showing hundreds of kilometers perpendicular to the trench into the incoming plate show that the bending-related deformation reaches mantle under the outer rise, well before the lithosphere plunges into the trench and develops the marked bend-faulting fabric observable in bathymetric maps. Thus, alteration occurs in a hundreds-of-km wide area, with deformation intensity related to local characteristics, and deformation depth to plate age.

  7. 76 FR 12714 - Proposed Information Collection; Comment Request; Marianas Trench Marine National Monument...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-03-08

    ... Collection; Comment Request; Marianas Trench Marine National Monument Knowledge and Attitudes Survey AGENCY... questions on awareness, knowledge, and attitudes regarding the Monument, preferences for management and... activities. Additional questions include experiences with and attitudes toward existing uses of coastal and...

  8. Highly nonlinear sub-micron silicon nitride trench waveguide coated with gold nanoparticles

    NASA Astrophysics Data System (ADS)

    Huang, Yuewang; Zhao, Qiancheng; Sharac, Nicholas; Ragan, Regina; Boyraz, Ozdal

    2015-05-01

    We demonstrate the fabrication of a highly nonlinear sub-micron silicon nitride trench waveguide coated with gold nanoparticles for plasmonic enhancement. The average enhancement effect is evaluated by measuring the spectral broadening effect caused by self-phase-modulation. The nonlinear refractive index n2 was measured to be 7.0917×10-19 m2/W for a waveguide whose Wopen is 5 μm. Several waveguides at different locations on one wafer were measured in order to take the randomness of the nanoparticle distribution into consideration. The largest enhancement is measured to be as high as 10 times. Fabrication of this waveguide started with a MEMS grade photomask. By using conventional optical lithography, the wide linewidth was transferred to a <100> wafer. Then the wafer was etched anisotropically by potassium hydroxide (KOH) to engrave trapezoidal trenches with an angle of 54.7º. Side wall roughness was mitigated by KOH etching and thermal oxidation that was used to generate a buffer layer for silicon nitride waveguide. The guiding material silicon nitride was then deposited by low pressure chemical vapor deposition. The waveguide was then patterned with a chemical template, with 20 nm gold particles being chemically attached to the functionalized poly(methyl methacrylate) domains. Since the particles attached only to the PMMA domains, they were confined to localized regions, therefore forcing the nanoparticles into clusters of various numbers and geometries. Experiments reveal that the waveguide has negligible nonlinear absorption loss, and its nonlinear refractive index can be greatly enhanced by gold nano clusters. The silicon nitride trench waveguide has large nonlinear refractive index, rendering itself promising for nonlinear applications.

  9. Rooting Characteristics of Vegetation Near Areas 3 and 5 Radioactive Waste Management Sites at the Nevada Test Site--Part 1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    D. J. Hansen

    2003-09-30

    The U.S. Department of Energy emplaced high-specific-activity low-level radioactive wastes and limited quantities of classified transuranic wastes in Greater Confinement Disposal (GCD) boreholes from 1984 to 1989. The boreholes are located at the Area 5 Radioactive Waste Management Site (RWMS) on the Nevada Test Site (NTS) in southern Nevada. The boreholes were backfilled with native alluvium soil. The surface of these boreholes and trenches is expected to be colonized by native vegetation in the future. Considering the long-term performance of the disposal facilities, bioturbation (the disruption of buried wastes by biota) is considered a primary release mechanism for radionuclides disposedmore » in GCD boreholes as well as trenches at both Areas 3 and 5 RWMSs. This report provides information about rooting characteristics of vegetation near Areas 3 and 5 RWMSs. Data from this report are being used to resolve uncertainties involving parameterization of performance assessment models used to characterize the biotic mixing of soils and radionuclide transport processes by biota. The objectives of this study were to: (1) survey the prior ecological literature on the NTS and identify pertinent information about the vegetation, (2) conduct limited field studies to describe the current vegetation in the vicinity of Areas 3 and 5 RWMSs so as to correlate findings with more extensive vegetation data collected at Yucca Mountain and the NTS, ( 3 ) review prior performance assessment documents and evaluate model assumptions based on current ecological information, and (4) identify data deficiencies and make recommendations for correcting such deficiencies.« less

  10. Estimation of depth to magnetic source using maximum entropy power spectra, with application to the Peru-Chile Trench

    USGS Publications Warehouse

    Blakely, Richard J.

    1981-01-01

    Estimations of the depth to magnetic sources using the power spectrum of magnetic anomalies generally require long magnetic profiles. The method developed here uses the maximum entropy power spectrum (MEPS) to calculate depth to source on short windows of magnetic data; resolution is thereby improved. The method operates by dividing a profile into overlapping windows, calculating a maximum entropy power spectrum for each window, linearizing the spectra, and calculating with least squares the various depth estimates. The assumptions of the method are that the source is two dimensional and that the intensity of magnetization includes random noise; knowledge of the direction of magnetization is not required. The method is applied to synthetic data and to observed marine anomalies over the Peru-Chile Trench. The analyses indicate a continuous magnetic basement extending from the eastern margin of the Nazca plate and into the subduction zone. The computed basement depths agree with acoustic basement seaward of the trench axis, but deepen as the plate approaches the inner trench wall. This apparent increase in the computed depths may result from the deterioration of magnetization in the upper part of the ocean crust, possibly caused by compressional disruption of the basaltic layer. Landward of the trench axis, the depth estimates indicate possible thrusting of the oceanic material into the lower slope of the continental margin.

  11. Experimental Model for Successful Liver Cell Therapy by Lenti TTR-YapERT2 Transduced Hepatocytes with Tamoxifen Control of Yap Subcellular Location

    PubMed Central

    Yovchev, Mladen; Jaber, Fadi L.; Lu, Zhonglei; Patel, Shachi; Locker, Joseph; Rogler, Leslie E.; Murray, John W.; Sudol, Marius; Dabeva, Mariana D.; Zhu, Liang; Shafritz, David A.

    2016-01-01

    Liver repopulation by transplanted hepatocytes has not been achieved previously in a normal liver microenvironment. Here we report that adult rat hepatocytes transduced ex vivo with a lentivirus expressing a human YapERT2 fusion protein (hYapERT2) under control of the hepatocyte-specific transthyretin (TTR) promoter repopulate normal rat liver in a tamoxifen-dependent manner. Transplanted hepatocytes expand very slowly but progressively to produce 10% repopulation at 6 months, showing clusters of mature hepatocytes that are fully integrated into hepatic parenchyma, with no evidence for dedifferentiation, dysplasia or malignant transformation. Thus, we have developed the first vector designed to regulate the growth control properties of Yap that renders it capable of producing effective cell therapy. The level of liver repopulation achieved has significant translational implications, as it is 2-3x the level required to cure many monogenic disorders of liver function that have no underlying hepatic pathology and is potentially applicable to diseases of other tissues and organs. PMID:26763940

  12. Trench investigation along the Merida section of the Bocono fault (central Venezuelan Andes), Venezuela

    USGS Publications Warehouse

    Audemard, F.; Pantosti, D.; Machette, M.; Costa, C.; Okumura, K.; Cowan, H.; Diederix, H.; Ferrer, C.

    1999-01-01

    The Bocono fault is a major NE-SW-trending, dextral fault that extends for about 500 km along the backbone of the Venezuelan Andes. Several large historical earthquakes in this region have been attributed to the Bocono fault, and some of these have been recently associated with specific parts through paleoseismologic investigations. A new trench study has been performed, 60 km to the northeast of Merida in the central Venezuelan Andes, where the fault forms a releasing bend, comprising two conspicuous late Holocene fault strands that are about 1 km apart. The southern and northern strands carry about 70% and 30% (respectively) of the 7-10 mm/yr net slip rate measured in this sector, which is based on a 40 vs. 85-100 m right-lateral offset of the Late Pleistocene Los Zerpa moraines. A trench excavated on the northern strand of the fault (near Morros de los Hoyos, slightly northeast of Apartaderos) across a twin shutter ridge and related sag pond exposed two main fault zones cutting Late Pleistocene alluvial and Holocene peat deposits. Each zone forms a shutter ridge with peat deposits ponded against the uplifted block. The paleoearthquake reconstruction derived from this trench allow us to propose the occurrence of at least 6-8 earthquakes in the past 9000 yr, yielding a maximum average recurrence interval of about 1100-1500 yr. Based on the northern strands average slip rate (2.6 mm/yr), such as earthquake sequence should have accommodated about 23 m of slip since 9 ka, suggesting that the maximum slip per event ranges between 3 and 4 m. No direct evidence for the large 1812 earthquake has been found in the trench, although this earthquake may have ruptured this section of the fault. Further paleoseismic studies will investigate the possibility that this event occurred in the Bocono fault, but ruptured mainly its southern strand in this region.

  13. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    NASA Astrophysics Data System (ADS)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  14. Seismic anisotropy and mantle flow in the Hellenic subduction zone: The possible effects of trench retreat and slab tear at both ends.

    NASA Astrophysics Data System (ADS)

    Evangelidis, Christos

    2017-04-01

    The upper mantle anisotropy pattern in the entire area of the Hellenic subduction zone have been analyzed for fast polarization directions and delay times to investigate the complex 3D pattern of mantle flow around the subducting slab. All previous studies do incorporate a significant number of measurements in the backarc area of the Aegean and in two cross-sections along the Hellenic subduction system. However, the transitional area from oceanic to continental subduction in the Western Hellenic trench has not been adequately sampled so far. Moreover, the eastern termination of the Hellenic subduction and the possible origin of a trench parallel anisotropy remains unclear. Here, I focus on the two possible ends of the high curvature Hellenic arc. I have now measured SKS splitting parameters from all broadband stations of the Hellenic Unified Seismic Network (HUSN), that they have not been measured before, specially concentrated in the transitional area from oceanic to continental subduction system. Complementary, using the Source-Side splitting technique to teleseismic S-wave records from intermediate depth earthquake in the Hellenic trench, the anisotropy measurements are increased in regions where no stations are installed. In western Greece, the Hellenic subduction system is separated by the Cephalonia Transform Fault (CTF), a dextral offset of 100 km, into the northern and southern segments, which are characterized by different convergence rates and slab composition. Recent seismic data show that north of CTF there is a subducted continental lithosphere in contrast to the region south of CTF where the on-going subduction is oceanic. The new measurements, combined with previously published observations, provide the most complete up-to-date spatial coverage for the area. Generally, the pronounced zonation of seismic anisotropy across the subduction zone, as inferred from other studies, is also observed here. Fast SKS splitting directions are trench-normal in the

  15. SUBGRADE MONOLITHIC ENCASEMENT STABILIZATION OF CATEGORY 3 LOW LEVEL WASTE (LLW)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    PHILLIPS, S.J.

    2004-02-03

    A highly efficient and effective technology has been developed and is being used for stabilization of Hazard Category 3 low-level waste at the U.S. Department of Energy's Hanford Site. Using large, structurally interconnected monoliths, which form one large monolith that fills a waste disposal trench, the patented technology can be used for final internment of almost any hazardous, radioactive, or toxic waste or combinations of these waste materials packaged in a variety of sizes, shapes, and volumes within governmental regulatory limits. The technology increases waste volumetric loading by 100 percent, area use efficiency by 200 percent, and volumetric configuration efficiencymore » by more than 500 percent over past practices. To date, in excess of 2,010 m{sup 3} of contact-handled and remote-handled low-level radioactive waste have been interned using this patented technology. Additionally, in excess of 120 m{sup 3} of low-level radioactive waste requiring stabilization in low-diffusion coefficient waste encasement matrix has been disposed using this technology. Greater than five orders of magnitude in radiation exposure reduction have been noted using this method of encasement of Hazard Category 3 waste. Additionally, exposure monitored at all monolith locations produced by the slip form technology is less than 1.29 x E-07 C {center_dot} kg{sup -1}. Monolithic encasement of Hazard Category 3 low-level waste and other waste category materials may be successfully accomplished using this technology at nominally any governmental or private sector waste disposal facility. Additionally, other waste materials consisting of hazardous, radioactive, toxic, or mixed waste materials can be disposed of using the monolithic slip form encasement technology.« less

  16. Coseismic seafloor deformation in the trench region during the Mw8.8 Maule megathrust earthquake.

    PubMed

    Maksymowicz, A; Chadwell, C D; Ruiz, J; Tréhu, A M; Contreras-Reyes, E; Weinrebe, W; Díaz-Naveas, J; Gibson, J C; Lonsdale, P; Tryon, M D

    2017-04-05

    The M w 8.8 megathrust earthquake that occurred on 27 February 2010 offshore the Maule region of central Chile triggered a destructive tsunami. Whether the earthquake rupture extended to the shallow part of the plate boundary near the trench remains controversial. The up-dip limit of rupture during large subduction zone earthquakes has important implications for tsunami generation and for the rheological behavior of the sedimentary prism in accretionary margins. However, in general, the slip models derived from tsunami wave modeling and seismological data are poorly constrained by direct seafloor geodetic observations. We difference swath bathymetric data acquired across the trench in 2008, 2011 and 2012 and find ~3-5 m of uplift of the seafloor landward of the deformation front, at the eastern edge of the trench. Modeling suggests this is compatible with slip extending seaward, at least, to within ~6 km of the deformation front. After the M w 9.0 Tohoku-oki earthquake, this result for the Maule earthquake represents only the second time that repeated bathymetric data has been used to detect the deformation following megathrust earthquakes, providing methodological guidelines for this relatively inexpensive way of obtaining seafloor geodetic data across subduction zone.

  17. Special Analysis for the Disposal of the Lawrence Livermore National Laboratory EnergyX Macroencapsulated Waste Stream at the Area 5 Radioactive Waste Management Site, Nevada National Security Site, Nye County, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shott, Gregory J.

    This special analysis (SA) evaluates whether the Lawrence Livermore National Laboratory (LLNL) EnergyX Macroencapsulated waste stream (B LAMACRONCAP, Revision 1) is suitable for disposal by shallow land burial (SLB) at the Area 5 Radioactive Waste Management Site (RWMS) at the Nevada National Security Site (NNSS). The LLNL EnergyX Macroencapsulated waste stream is macroencapsulated mixed waste generated during research laboratory operations and maintenance (LLNL 2015). The LLNL EnergyX Macroencapsulated waste stream required a special analysis due to tritium (3H), cobalt-60 (60Co), cesium-137 (137Cs), and radium-226 (226Ra) exceeding the NNSS Waste Acceptance Criteria (WAC) Action Levels (U.S. Department of Energy, National Nuclearmore » Security Administration Nevada Field Office [NNSA/NFO] 2015).The results indicate that all performance objectives can be met with disposal of the waste stream in a SLB trench. Addition of the LLNL EnergyX Macroencapsulated inventory slightly increases multiple performance assessment results, with the largest relative increase occurring for the all-pathways annual total effective dose (TED). The maximum mean and 95th percentile 222Rn flux density remain less than the performance objective throughout the compliance period. The LLNL EnergyX Macroencapsulated waste stream is suitable for disposal by SLB at the Area 5 RWMS. The waste stream is recommended for approval without conditions.« less

  18. Inert gases in fines at three levels of the trench at Van Serg Crater

    NASA Technical Reports Server (NTRS)

    Jordan, J. L.; Heymann, D.

    1975-01-01

    Inert-gas measurements were conducted with three soil samples collected from a trench of about 17 cm depth which had been dug at Station 9, approximately 60-m southeast of the rim of Van Serg Crater on the moon. The particular trench is interesting because it is located in the continuous ejecta blanket of a relatively young crater. The results of the inert-gas measurements are presented in a table. They confirm an earlier conclusion reported by Heymann et al. (1974) that fines from Station 9 are among the most gas rich in the whole landing site. The three fines are agglutinate rich and most of the trapped gas is contained in the constructional particles. Agglutinate contents of fines tend to decrease rapidly for particles greater than about 250 micrometers.

  19. New seafloor map of the Puerto Rico trench helps assess earthquake and tsunami hazards

    NASA Astrophysics Data System (ADS)

    Brink, Uri ten; Danforth, William; Polloni, Christopher; Andrews, Brian; Llanes, Pilar; Smith, Shepard; Parker, Eugene; Uozumi, Toshihiko

    2004-09-01

    The Puerto Rico Trench, the deepest part of the Atlantic Ocean, is located where the North American (NOAM) plate is subducting under the Caribbean plate (Figure l). The trench region may pose significant seismic and tsunami hazards to Puerto Rico and the U.S.Virgin Islands, where 4 million U.S. citizens reside. Widespread damage in Puerto Rico and Hispaniola from an earthquake in 1787 was estimated to be the result of a magnitude 8 earthquake north of the islands [McCann et al., 2004]. A tsunami killed 40 people in NW Puerto Rico following a magnitude 7.3 earthquake in 1918 [Mercado and McCann, 1998]. Large landslide escarpments have been mapped on the seafloor north of Puerto Rico [Mercado et al., 2002; Schwab et al., 1991],although their ages are unknown.

  20. New seafloor map of the Puerto Rico Trench helps assess earthquake and tsunami hazards

    USGS Publications Warehouse

    ten Brink, Uri S.; Danforth, William; Polloni, Christopher; Andrews, Brian D.; Llanes Estrada, Pilar; Smith, Shepard; Parker, Eugene; Uozumi, Toshihiko

    2004-01-01

    The Puerto Rico Trench, the deepest part of the Atlantic Ocean, is located where the North American (NOAM) plate is subducting under the Caribbean plate (Figure l). The trench region may pose significant seismic and tsunami hazards to Puerto Rico and the U.S.Virgin Islands, where 4 million U.S. citizens reside. Widespread damage in Puerto Rico and Hispaniola from an earthquake in 1787 was estimated to be the result of a magnitude 8 earthquake north of the islands [McCann et al., 2004]. A tsunami killed 40 people in NW Puerto Rico following a magnitude 7.3 earthquake in 1918 [Mercado and McCann, 1998]. Large landslide escarpments have been mapped on the seafloor north of Puerto Rico [Mercado et al., 2002; Schwab et al., 1991],although their ages are unknown.

  1. Tsunami Numerical Simulation for Hypothetical Giant or Great Earthquakes along the Izu-Bonin Trench

    NASA Astrophysics Data System (ADS)

    Harada, T.; Ishibashi, K.; Satake, K.

    2013-12-01

    We performed tsunami numerical simulations from various giant/great fault models along the Izu-Bonin trench in order to see the behavior of tsunamis originated in this region and to examine the recurrence pattern of great interplate earthquakes along the Nankai trough off southwest Japan. As a result, large tsunami heights are expected in the Ryukyu Islands and on the Pacific coasts of Kyushu, Shikoku and western Honshu. The computed large tsunami heights support the hypothesis that the 1605 Keicho Nankai earthquake was not a tsunami earthquake along the Nankai trough but a giant or great earthquake along the Izu-Bonin trench (Ishibashi and Harada, 2013, SSJ Fall Meeting abstract). The Izu-Bonin subduction zone has been regarded as so-called 'Mariana-type subduction zone' where M>7 interplate earthquakes do not occur inherently. However, since several M>7 outer-rise earthquakes have occurred in this region and the largest slip of the 2011 Tohoku earthquake (M9.0) took place on the shallow plate interface where the strain accumulation had considered to be a little, a possibility of M>8.5 earthquakes in this region may not be negligible. The latest M 7.4 outer-rise earthquake off the Bonin Islands on Dec. 22, 2010 produced small tsunamis on the Pacific coast of Japan except for the Tohoku and Hokkaido districts and a zone of abnormal seismic intensity in the Kanto and Tohoku districts. Ishibashi and Harada (2013) proposed a working hypothesis that the 1605 Keicho earthquake which is considered a great tsunami earthquake along the Nankai trough was a giant/great earthquake along the Izu-Bonin trench based on the similarity of the distributions of ground shaking and tsunami of this event and the 2010 Bonin earthquake. In this study, in order to examine the behavior of tsunamis from giant/great earthquakes along the Izu-Bonin trench and check the Ishibashi and Harada's hypothesis, we performed tsunami numerical simulations from fault models along the Izu-Bonin trench

  2. Slab stagnation and buckling in the mantle transition zone: Rheology, phase transition, trench migration, and seismic structure

    NASA Astrophysics Data System (ADS)

    Bina, Craig; Cizkova, Hana

    2014-05-01

    Subducting slabs may exhibit buckling instabilities and consequent folding behavior in the mantle transition zone for various combinations of dynamical parameters, accompanied by temporal variations in dip angle, plate velocity, and trench retreat. Parameters governing such behavior include both viscous forces (slab and mantle rheology) and buoyancy forces (slab thermal structure and mineral phase relations). 2D numerical experiments show that many parameter sets lead to slab deflection at the base of the transition zone, typically accompanied by quasi-periodic oscillations (consistent with previous scaling analyses) in largely anticorrelated plate and rollback velocities, resulting in undulating stagnant slabs as buckle folds accumulate subhorizontally atop the lower mantle. Slab interactions with mantle phase transitions are important components of this process (Bina and Kawakatsu, 2010; Čížková and Bina, 2013). For terrestrial parameter sets, trench retreat is found to be nearly ubiquitous, and trench advance is quite rare - due to both rheological structure and ridge-push effects (Čížková and Bina, 2013). Recent analyses of global plate motions indicate that significant trench advance is also rare on Earth, being largely restricted to the Izu-Bonin arc (Matthews et al., 2013). Consequently, we explore the conditions necessary for terrestrial trench advance through dynamical models involving the unusual geometry associated with the Philippine Sea region. Detailed images of buckled stagnant slabs are difficult to resolve due to smoothing effects inherent in seismic tomography, but velocity structures computed for compositionally layered slabs, using laboratory data on relevant mineral assemblages, can be spatially low-pass filtered for comparison with tomographic images of corresponding resolution. When applied to P-wave velocity anomalies from stagnant slab material beneath northeast China, model slabs which undulate due to compound buckling fit

  3. Sediment properties and water movement through shallow unsaturated alluvium at an arid site for disposal of low-level radioactive waste near Beatty, Nye County, Nevada

    USGS Publications Warehouse

    Fischer, Jeffrey M.

    1992-01-01

    A commercial disposal facility for low-level radioactive waste has been in operation near Beatty, Nevada, since 1962. The facility is in the arid Amargosa Desert where wastes are buried in trenches excavated into unsaturated alluvial sediments. Thick unsaturated zones in arid environments offer many potential advantages for disposal of radioactive wastes, but little is known about the natural movement of water near such facilities. Thus, a study was begun in 1982 to better define the direction and rates of water movement through the unsaturated zone in undisturbed sediments near the disposal facility. This report discusses the analyses of data collected between 1983 and 1988.

  4. Bathymetric Terrain Model of the Puerto Rico Trench and the Northeastern Caribbean Region for Marine Geological Investigations

    USGS Publications Warehouse

    Andrews, Brian D.; ten Brink, Uri S.; Danforth, William W.; Chaytor, Jason D.; Granja-Bruna, J; Carbo-Gorosabel, A

    2014-01-01

    Multibeam bathymetry data collected in the Puerto Rico Trench and Northeast Caribbean region are compiled into a seamless bathymetric terrain model for broad-scale geological investigations of the trench system. These data, collected during eight separate surveys between 2002 and 2013, covering almost 180,000 square kilometers are published here in large format map sheet and digital spatial data. This report describes the common multibeam data collection, and processing methods used to produce the bathymetric terrain model and corresponding data source polygon. Details documenting the complete provenance of the data are also provided in the metadata in the Data Catalog section.

  5. GPS determined eastward Sundaland motion with respect to Eurasia confirmed by earthquakes slip vectors at Sunda and Philippine trenches

    NASA Astrophysics Data System (ADS)

    Chamot-Rooke, N.; Le Pichon, X.

    1999-12-01

    GPS measurements acquired over Southeast Asia in 1994 and 1996 in the framework of the GEODYSSEA program revealed that a large piece of continental lithosphere comprising the Indochina Peninsula, Sunda shelf and part of Indonesia behaves as a rigid `Sundaland' platelet. A direct adjustment of velocity vectors obtained in a Eurasian frame of reference shows that Sundaland block is rotating clockwise with respect to Eurasia around a pole of rotation located south of Australia. We present here an additional check of Sundaland motion that uses earthquakes slip vectors at Sunda and Philippine trenches. Seven sites of the GEODYSSEA network are close to the trenches and not separated from them by large active faults (two at Sumatra Trench, three at Java Trench and two at the Philippine Trench). The difference between the vector at the station and the adjacent subducting plate vector defines the relative subduction motion and should thus be aligned with the subduction earthquake slip vectors. We first derive a frame-free solution that minimizes the upper plate (or Sundaland) motion. When corrected for Australia-Eurasia and Philippines-Eurasia NUVEL1-A motion, the misfit between GPS and slip vectors azimuths is significant at 95% confidence, indicating that the upper plate does not belong to Eurasia. We then examine the range of solutions compatible with the slip vectors azimuths and conclude that the minimum velocity of Sundaland is a uniform 7-10 mm/a eastward velocity. However, introducing the additional constraint of the fit of the GEODYSSEA sites with the Australian IGS reference ones, or tie with the NTUS Singapore station, leads to a much narrower range of solutions. We conclude that Sundaland has an eastward velocity of about 10 mm/a on its southern boundary increasing to 16-18 mm/a on its northern boundary.

  6. Ridge-trench collision in Archean and Post-Archean crustal growth: Evidence from southern Chile

    NASA Technical Reports Server (NTRS)

    Nelson, E. P.; Forsythe, R. D.

    1988-01-01

    The growth of continental crust at convergent plate margins involves both continuous and episodic processes. Ridge-trench collision is one episodic process that can cause significant magmatic and tectonic effects on convergent plate margins. Because the sites of ridge collision (ridge-trench triple junctions) generally migrate along convergent plate boundaries, the effects of ridge collision will be highly diachronous in Andean-type orogenic belts and may not be adequately recognized in the geologic record. The Chile margin triple junction (CMTJ, 46 deg S), where the actively spreading Chile rise is colliding with the sediment-filled Peru-Chile trench, is geometrically and kinematically the simplest modern example of ridge collision. The south Chile margin illustrates the importance of the ridge-collision tectonic setting in crustal evolution at convergent margins. Similarities between ridge-collision features in southern Chile and features of Archean greenstone belts raise the question of the importance of ridge collision in Archean crustal growth. Archean plate tectonic processes were probably different than today; these differences may have affected the nature and importance of ridge collision during Archean crustal growth. In conclusion, it is suggested that smaller plates, greater ridge length, and/or faster spreading all point to the likelihood that ridge collision played a greater role in crustal growth and development of the greenstone-granite terranes during the Archean. However, the effects of modern ridge collision, and the processes involved, are not well enough known to develop specific models for the Archean ridge collison.

  7. A new species of Abyssobrotula (Ophidiiformes, Ophidiidae) from the Kuril-Kamchatka Trench.

    PubMed

    Ohashi, Shinpei; Nielsen, Jørgen G

    2016-07-01

    A new abyssal ophidiid fish, Abyssobrotula hadropercularis, is described on the basis of two specimens caught at two stations at ca. 5000 meters of depth in the Kuril-Kamchatka Trench. The genus Abyssobrotula Nielsen, 1977 was previously known from the type species, A. galatheae Nielsen, 1977 of which 40-50 specimens now are reported from all oceans at depths greater than 2000 m. One of the specimens from the Puerto Rico Trench captured at 8370 m is still the deepest record for fishes. The new species differs from A. galatheae in having great number of pectoral-fin rays 14-15 (vs 10-11); greater eye diameter (1.2-1.3% SL vs 0.7-0.9% SL); larger prepelvic 14.0-14.5% SL (vs 10.5-12.5% SL) and preanal lengths 42.5% SL (vs 33.0-41.5% SL); opercular spine strongly developed (vs poorly developed); gill rakers on anterior arch robust and close-set (vs rakers thin and well separated). The description of A. hadropercularis makes it necessary to slightly modify the generic diagnosis.

  8. Multi-layer waste containment barrier

    DOEpatents

    Smith, Ann Marie; Gardner, Bradley M.; Nickelson, David F.

    1999-01-01

    An apparatus for constructing an underground containment barrier for containing an in-situ portion of earth. The apparatus includes an excavating device for simultaneously (i) excavating earthen material from beside the in-situ portion of earth without removing the in-situ portion and thereby forming an open side trench defined by opposing earthen sidewalls, and (ii) excavating earthen material from beneath the in-situ portion of earth without removing the in-situ portion and thereby forming a generally horizontal underground trench beneath the in-situ portion defined by opposing earthen sidewalls. The apparatus further includes a barrier-forming device attached to the excavating device for simultaneously forming a side barrier within the open trench and a generally horizontal, multi-layer barrier within the generally horizontal trench. The multi-layer barrier includes at least a first layer and a second layer.

  9. Seasonal and annual changes in soil respiration in relation to soil temperature, water potential and trenching.

    PubMed

    Lavigne, M B; Foster, R J; Goodine, G

    2004-04-01

    Soil respiration (rs), soil temperature (Ts) and volumetric soil water content were measured in a balsam fir (Abies balsamea (L.) Mill.) ecosystem from 1998 to 2001. Seasonal variation in root and microbial respiration, and covariation in abiotic factors confounded interpretation of the effects of Ts and soil water potential (Psis) on rs. To minimize the confounding effect of temperature, we analyzed the effect of Psis on rs during the summers of 1998-2000 when changes in Ts were slight. Soil respiration declined 25-50% in response to modest water stress (minimum Psis of -0.6 to -0.2 MPa), and between years, there was substantial variation in the relationship between rs and Psis. In the summer of 2000, 2-m2 plots were subjected to drought for 1 month and other plots were irrigated. The relationship between summertime rs and Psis in the experimental plots was similar to that estimated from the survey data obtained during the same summer. In late spring and early autumn of 2001, 2-m2 trenched and untrenched plots were subjected to drought or exposed to rainfall. It was dry in the early autumn and there was severe soil drying (Psis of -10 MPa in untrenched plots and -2 MPa in trenched plots). In spring, rs in untrenched plots responded more to modest water stress than rs in trenched plots, indicating that root respiration is more sensitive than microbial respiration to water stress at this time of year. The response to abiotic factors differed significantly between spring and autumn in untrenched plots but not in trenched plots, indicating that root activity was greater in early autumn than in late spring, and that roots acclimated to the sustained, severe water stress experienced before and during the autumn.

  10. Teleseismic P wave coda from oceanic trench and other bathymetric features

    NASA Astrophysics Data System (ADS)

    Wu, W.; Ni, S.

    2012-12-01

    Teleseismic P waves are essential for studying rupture processes of great earthquakes, either in the back projection method or in finite fault inversion method involving of quantitative waveform modeling. In these studies, P waves are assumed to be direct P waves generated by localized patches of the ruptured fault. However, for some oceanic earthquakes happening near the subductiontrenches or mid-ocean ridges, we observed strong signals between P and PP are often observed on theat telseseismic networkdistances. These P wave coda signals show strong coherence and their amplitudes are sometimes comparable with those of the direct P wave or even higher for some special frequenciesfrequency band. With array analysis, we find that the coda's slowness is very close to that of the direct P wave, suggesting that they are generated near the source region. As the earthquakes occur near the trenches or mid-ocean ridges which are both featured by rapid variation of bathymetry, the coda waves are very probably generated by the scattered surface wave or S wave at the irregular bathymetry. Then, we apply the realistic bathymetry data to calculate the 3D synthetics and the coda can be well predicted by the synthetics. So the topography/bathymetry is confirmed to be the main source of the coda. The coda waves are so strong that it may affect the imaging rupture processes of ocean earthquakes, so the topography/bathymetry effect should be taken into account. However, these strong coda waves can also be used utilized to locate the oceanic earthquakes. The 3D synthetics demonstrate that the coda waves are dependent on both the specific bathymetry and the location of the earthquake. Given the determined bathymetry, the earthquake location can be constrained by the coda, e.g. the distance between trench and the earthquake can be determine from the relative arrival between the P wave and its coda which is generated by the trench. In order to locate the earthquakes using the bathymetry

  11. 29 CFR Appendix C to Subpart P of... - Timber Shoring for Trenches

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    .... Stable rock is exempt from shoring requirements and therefore, no data are presented for this condition... § 1926.652. (A) When loads imposed by structures or by stored material adjacent to the trench weigh in excess of the load imposed by a two-foot soil surcharge. The term “adjacent” as used here means the area...

  12. 29 CFR Appendix C to Subpart P of... - Timber Shoring for Trenches

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    .... Stable rock is exempt from shoring requirements and therefore, no data are presented for this condition... § 1926.652. (A) When loads imposed by structures or by stored material adjacent to the trench weigh in excess of the load imposed by a two-foot soil surcharge. The term “adjacent” as used here means the area...

  13. 29 CFR Appendix C to Subpart P of... - Timber Shoring for Trenches

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    .... Stable rock is exempt from shoring requirements and therefore, no data are presented for this condition... § 1926.652. (A) When loads imposed by structures or by stored material adjacent to the trench weigh in excess of the load imposed by a two-foot soil surcharge. The term “adjacent” as used here means the area...

  14. 29 CFR Appendix C to Subpart P of... - Timber Shoring for Trenches

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    .... Stable rock is exempt from shoring requirements and therefore, no data are presented for this condition... § 1926.652. (A) When loads imposed by structures or by stored material adjacent to the trench weigh in excess of the load imposed by a two-foot soil surcharge. The term “adjacent” as used here means the area...

  15. 29 CFR Appendix C to Subpart P of... - Timber Shoring for Trenches

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    .... Stable rock is exempt from shoring requirements and therefore, no data are presented for this condition... § 1926.652. (A) When loads imposed by structures or by stored material adjacent to the trench weigh in excess of the load imposed by a two-foot soil surcharge. The term “adjacent” as used here means the area...

  16. Constraints on Subduction Zone Coupling along the Philippine and Manila Trenches based on GPS and Seismological Data

    NASA Astrophysics Data System (ADS)

    Hamburger, M. W.; Johnson, K. M.; Nowicki, M. A. E.; Bacolcol, T. C.; Solidum, R., Jr.; Galgana, G.; Hsu, Y. J.; Yu, S. B.; Rau, R. J.; McCaffrey, R.

    2014-12-01

    We present results of two techniques to estimate the degree of coupling along the two major subduction zone boundaries that bound the Philippine Mobile Belt, the Philippine Trench and the Manila Trench. Convergence along these plate margins accommodates about 100 mm/yr of oblique plate motion between the Philippine Sea and Sundaland plates. The coupling estimates are based on a recently acquired set of geodetic data from a dense nationwide network of continuous and campaign GPS sites in the Philippines. First, we use a kinematic, elastic block model (tdefnode; McCaffrey, 2009) that combines existing fault geometries, GPS velocities and focal mechanism solutions to solve for block rotations, fault coupling, and intra-block deformation. Secondly, we use a plate-block kinematic model described in Johnson (2013) to simultaneously estimate long-term fault slip rates, block motions and interseismic coupling on block-bounding faults. The best-fit model represents the Philippine Mobile Belt by 14 independently moving rigid tectonic blocks, separated by active faults and subduction zones. The model predicts rapid convergence along the Manila Trench, decreasing progressively southwards, from > 100 mm/yr in the north to less than 20 mm/yr in the south at the Mindoro Island collision zone. Persistent areas of high coupling, interpreted to be asperities, are observed along the Manila Trench slab interface, in central Luzon (16-18°N) and near its southern and northern terminations. Along the Philippine Trench, we observe ~50 mm/yr of oblique convergence, with high coupling observed at its central and southern segments. We identify the range of allowable coupling distributions and corresponding moment accumulation rates on the two subduction zones by conducting a suite of inversions in which the total moment accumulation rate on a selected fault is fixed. In these constrained moment inversions we test the range of possible solutions that meet criteria for minimum, best-fit, and

  17. Using giant piston coring within IODP to track past earthquakes in the sedimentary record along the Japan Trench

    NASA Astrophysics Data System (ADS)

    Strasser, Michael

    2017-04-01

    "Submarine paleoseismology" is a promising approach to investigate deposits from the deep sea, where earthquakes leave traces preserved in stratigraphic succession. The concept of studying sedimentary event deposits for reconstructing past earthquake history and related impacts to the marine environment is increasingly being applied in various settings. However, at present we lack comprehensive data sets that allow conclusive distinctions between quality and completeness of the paleoseismic archives, as they may relate to different sediment transport, erosion and deposition processes vs. variability of intrinsic seismogenic behavior across different segments. Nevertheless, many recent studies, which are mostly based on conventional 10-m-long cores, demonstrate the potential of the research concept. With ECORD opening their mission specific platform approach to include giant piston coring within IODP, a new horizon has opened up for multi-coring expeditions fully dedicated to the rapidly growing field of submarine paleoseismology. IODP is uniquely positioned to address the complex feedback mechanisms between earthquake shaking and its manifestation in the marine archive, decipher related mass fluxes from the shallow to the deep see and to eventually provide longer records to constrain earthquake recurrence far beyond historical catalogues. Initially building on what sedimentary deposits were generated from the 2011 M9 Tohoku-oki earthquake, the Japan Trench is a promising study area to investigate earthquake-triggered sediment remobilization processes and how they become embedded in the stratigraphic record, and has thus been identified as a primary target for proposing giant piston coring within IODP. In this presentation we summarize recent results and available site survey data collected since the 2011 earthquake, comprising >50, 5-10m long piston and gravity cores from (i) trench-fill and graben-fill basin across the entire trench axis from 36° to 40.3° N (ii

  18. 2016 Annual Site Environmental report Sandia National Laboratories Tonopah Test Range Nevada & Kaua'i Test Facility Hawai'i.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Salas, Angela Maria; Griffith, Stacy R.

    Sandia National Laboratories (SNL) is a multimission laboratory managed and operated by National Technology & Engineering Solutions of Sandia, LLC, a wholly owned subsidiary of Honeywell International Inc., for the U.S. Department of Energy’s (DOE’s), National Nuclear Security Administration (NNSA) under contract DE-NA0003525. The DOE/NNSA Sandia Field Office administers the contract and oversees contractor operations at the SNL, Tonopah Test Range (SNL/TTR) in Nevada and the SNL, Kaua‘i Test Facility (SNL/KTF) in Hawai‘i. SNL personnel manage and conduct operations at SNL/TTR in support of the DOE/NNSA’s Weapons Ordnance Program and have operated the site since 1957. Navarro Research and Engineeringmore » personnel perform most of the environmental programs activities at SNL/TTR. The DOE/NNSA/Nevada Field Office retains responsibility for cleanup and management of SNL/TTR Environmental Restoration sites. SNL personnel operate SNL/KTF as a rocket preparation launching and tracking facility. This Annual Site Environmental Report (ASER) summarizes data and the compliance status of sustainability, environmental protection, and monitoring programs at SNL/TTR and SNL/KTF during calendar year 2016. Major environmental programs include air quality, water quality, groundwater protection, terrestrial and biological surveillance, waste management, pollution prevention, environmental restoration, oil and chemical spill prevention, and implementation of the National Environmental Policy Act. This ASER is prepared in accordance with and as required by DOE O 231.1B, Admin Change 1, Environment, Safety, and Health Reporting.« less

  19. Filling high aspect ratio trenches by superconformal chemical vapor deposition: Predictive modeling and experiment

    NASA Astrophysics Data System (ADS)

    Wang, Wenjiao B.; Abelson, John R.

    2014-11-01

    Complete filling of a deep recessed structure with a second material is a challenge in many areas of nanotechnology fabrication. A newly discovered superconformal coating method, applicable in chemical vapor deposition systems that utilize a precursor in combination with a co-reactant, can solve this problem. However, filling is a dynamic process in which the trench progressively narrows and the aspect ratio (AR) increases. This reduces species diffusion within the trench and may drive the component partial pressures out of the regime for superconformal coating. We therefore derive two theoretical models that can predict the possibility for filling. First, we recast the diffusion-reaction equation for the case of a sidewall with variable taper angle. This affords a definition of effective AR, which is larger than the nominal AR due to the reduced species transport. We then derive the coating profile, both for superconformal and for conformal coating. The critical (most difficult) step in the filling process occurs when the sidewalls merge at the bottom of the trench to form the V shape. Experimentally, for the Mg(DMADB)2/H2O system and a starting AR = 9, this model predicts that complete filling will not be possible, whereas experimentally we do obtain complete filling. We then hypothesize that glancing-angle, long-range transport of species may be responsible for the better than predicted filling. To account for the variable range of species transport, we construct a ballistic transport model. This incorporates the incident flux from outside the structure, cosine law re-emission from surfaces, and line-of-sight transport between internal surfaces. We cast the transport probability between all positions within the trench into a matrix that represents the redistribution of flux after one cycle of collisions. Matrix manipulation then affords a computationally efficient means to determine the steady-state flux distribution and growth rate for a given taper angle. The

  20. Mantle Serpentinization near the Central Mariana Trench Constrained by Ocean Bottom Surface Wave Observations

    NASA Astrophysics Data System (ADS)

    Cai, C.; Wiens, D. A.; Lizarralde, D.; Eimer, M. O.; Shen, W.

    2017-12-01

    We investigate the crustal and uppermost mantle seismic structure across the Mariana trench by jointly inverting Rayleigh wave phase and group velocities from ambient noise and longer period phase velocities from Helmholtz tomography of teleseismic waveforms. We use data from a temporary deployment in 2012-2013, consisting of 7 island-based stations and 20 broadband ocean bottom seismographs, as well as data from the USGS Northern Mariana Islands Seismograph Network. To avoid any potential bias from the starting model, we use a Bayesian Monte-Carlo algorithm to invert for the azimuthally-averaged SV-wave velocity at each node. This method also allows us to apply prior constraints on crustal thickness and other parameters in a systematic way, and to derive formal estimates of velocity uncertainty. The results show the development of a low velocity zone within the incoming plate beginning about 80 km seaward of the trench axis, consistent with the onset of bending faults from bathymetry and earthquake locations. The maximum depth of the velocity anomaly increases towards the trench, and extends to about 30 km below the seafloor. The low velocities persist after the plate is subducted, as a 20-30 km thick low velocity layer with a somewhat smaller velocity reduction is imaged along the top of the slab beneath the forearc. An extremely low velocity zone is observed beneath the serpentine seamounts in the outer forearc, consistent with 40% serpentinization in the forearc mantle wedge. Azimuthal anisotropy results show trench parallel fast axis within the incoming plate at uppermost mantle depth (2%-4% anisotropy). All these observations suggest the velocity reduction in the incoming plate prior to subduction results from both serpentinized normal faults and water-filled cracks. Water is expelled from the cracks early in subduction, causing a modest increase in the velocity of the subducting mantle, and moves upward and causes serpentinization of the outer forearc

  1. Adhesion Testing of Firebricks from Launch Pad 39A Flame Trench after STS-124

    NASA Technical Reports Server (NTRS)

    Hintze, Paul E.; Curran, Jerome P.

    2009-01-01

    Adhesion testing was performed on the firebricks in the flame trench of Launch Complex 39A to determine the strength of the epoxy/firebrick bond to the backing concrete wall. The testing used an Elcometer 110 pneumatic adhesion tensile testing instrument (PATTI).

  2. Calcareous nannofossil biostratigraphy and geochronology of Neogene trench-slope cover sediments in the south Boso Peninsula, central Japan: Implications for the development of a shallow accretionary complex

    NASA Astrophysics Data System (ADS)

    Chiyonobu, Shun; Yamamoto, Yuzuru; Saito, Saneatsu

    2017-07-01

    The geological structure and calcareous nannofossil biostratigraphy of the Middle to Late Miocene trench-slope succession in the southern Boso Peninsula, central Japan, were examined to obtain chronological constraints on the accretion and formation of the trench-slope architecture. As a result, trench-slope cover sediments (Kinone and Amatsu Formations) are clearly distinguishable from the Early Miocene Hota accretionary complex (Hota Group). The Hota accretionary complex was deposited below the carbonate compensation depth (CCD) and was affected by intense shearing, forming an east-west trending and south-verging fold and thrust belt. In contrast, the trench-slope cover sediments basically have a homoclinal dip, except at the northern rim where they are bounded by fault contact. They contain many species of calcareous nannofossils and foraminifers, which are indicative of their depositional environment above the CCD, and they show shallowing-upward sedimentary structures. Biostratigraphy revealed that the depositional age of the trench-slope sediments is ca. 15-5.5 Ma, suggesting that there is an approximately 2 myr hiatus beween the Miura Group and the underlying accretionary prism. Based on these results, the age of accretion of the Hota Group is inferred to be between ca. 17-15 Ma, and the group is covered by trench-slope sediments overlain on it after ca. 15 Ma. The timing of accretion and the age of the trench-slope basin tend to be younger southward of the Boso Peninsula. The accretionary system of the Boso Peninsula apparently developed in two stages, in the Middle Miocene and in the Late Miocene to Pliocene.

  3. Single transverse mode laser in a center-sunken and cladding-trenched Yb-doped fiber.

    PubMed

    Liu, Yehui; Zhang, Fangfang; Zhao, Nan; Lin, Xianfeng; Liao, Lei; Wang, Yibo; Peng, Jinggang; Li, Haiqing; Yang, LuYun; Dai, NengLi; Li, Jinyan

    2018-02-05

    We report a novel center-sunken and cladding-trenched Yb-doped fiber, which was fabricated by a modified chemical vapor deposition process with a solution-doping technique. The simulation results showed that the fiber with a core diameter of 40 µm and a numerical aperture of 0.043 has a 1217 µm 2 effective mode area at 1080 nm. It is also disclosed that the leakage loss can be reduced lower than 0.01 dB/m for the LP 01 mode, while over 80 dB/m for the LP 11 mode by optimizing the bending radius as 14 cm. A 456 W laser output was observed in a MOPA structure. The laser slope efficiency was measured to be 79% and the M 2 was less than 1.1, which confirmed the single mode operation of the large mode area center-sunken cladding-trenched Yb-doped fiber.

  4. Seismic velocity structure of the incoming Pacific Plate subducting into the central part of the Japan Trench revealed by traveltime tomography using OBS data

    NASA Astrophysics Data System (ADS)

    Obana, K.; Fujie, G.; Kodaira, S.; Takahashi, T.; Yamamoto, Y.; Miura, S.; Shinohara, M.

    2016-12-01

    Subduction of oceanic plates plays an important role in the water transportation from the earth surface into the deep mantle. Recent active seismic survey studies succeed to image that the seismic velocities within the oceanic crust and the uppermost mantle in the outer rise region decreases toward the trench axis. These velocity changes are considered as an indication of the hydration and alteration of the incoming oceanic plates prior to the subduction. However, the area with sufficient resolution of the active seismic studies is often limited at depths corresponding to the oceanic crust and several km beneath the oceanic Moho. In this study, we have examined the seismic velocity structure of the incoming/subducting Pacific Plate beneath the trench axis and outer trench-slope of the central part of the Japan Trench. The seismicity in the Pacific Plate, including several M7-class intra-plate earthquakes, has been active since the 2011 Tohoku-Oki earthquake in the study area. These activities were observed by the ocean bottom seismographs (OBS) deployed repeatedly. The data obtained from these OBS observations allow us to resolve the seismic velocity structures at greater depths compared to the active seismic surveys. We conducted 3-D traveltime tomography by using double-difference tomography method (Zhang and Thurber, 2003). The results show that the seismic velocities within the oceanic mantle decreased toward the trench axis. The velocity reduction begins at about 80 km seaward of the trench axis and extended to a depth of at least 30 km beneath the trench axis area. If the observed P-wave velocity reduction from 8.4 km/s to 7.7 km/s at a depth of 15 km below the oceanic Moho is caused by the serpentinization of the oceanic mantle (Carlson and Miller, 2003), roughly 2.5 weight per cent of water is expected in the low velocity anomalies in the oceanic mantle.

  5. Seismic Structure of the Oceanic Plate Entering the Central Part of the Japan Trench Obtained from Ocean-Bottom Seismic Data

    NASA Astrophysics Data System (ADS)

    Ohira, A.; Kodaira, S.; Fujie, G.; No, T.; Nakamura, Y.; Miura, S.

    2017-12-01

    In trench-outer rise regions, the normal faults develop due to the bending of the incoming plate, which cause numerous normal-faulting earthquakes and systematic structural variations toward trenches. In addition to the effects on the bend-related normal fault, structural variations which are interpreted to be attributed to pseudofaults, a fracture zone, and petit-spot volcanic activities are observed in the oceanic plate entering the central part of the Japan Trench, off Miyagi. In May-June 2017, to understand detail structural variations and systematic structural changes of the oceanic plate toward the trench, we conducted an active-source seismic survey off Miyagi using R/V Kaimei, a new research vessel of JAMSTEC. Along a 100 km-long seismic profile which is approximately perpendicular to the trench axis, we deployed 40 ocean-bottom seismometers at intervals of 2 km and fired a large airgun array (total volume 10,600 cubic inches) with 100 m shooting intervals. Multi-channel seismic reflection data were also collected along the profile. On OBS records we observed refractions from the sedimentary layer and the oceanic crust (Pg), wide-angle reflections from the crust-mantle boundary (PmP), and refractions from the uppermost mantle (Pn). Pg is typically observed clearly at near offsets (approximately 20 km) but it highly attenuates at far offsets (> 20 km). A triplication of Pg-PmP-Pn with strong amplitudes is observed at ranges from 30 km to 60 km offsets. Pn is typically weak and its apparent velocity is approximately 8 km/sec. High attenuation of Pg and weak Pn may indicate the complex crustal structure related to petit-spot volcanic activities and/or a fracture zone, which are recognized in bathymetry data around the profile.

  6. Two-dimensional Numerical Models of Accretionary Wedges Deformation in Response to Subduction and Obduction: Evidence from the Middle Part of the Manila Trench

    NASA Astrophysics Data System (ADS)

    Ma, L.; Ding, W.; Chen, L.; Gerya, T.

    2016-12-01

    The Manila Trench is located at the eastern boundary of the South China Sea (SCS). It was created by the subduction of the South China Sea Plate beneath the Philippine Sea Plate since the early Neogene, and also influenced by the northwestern movement of the Philippine Sea Plate. There is wide discussion whether the dual-subduction and widespread seamounts in the South China Sea would have play important roles in the 'S-shaped' geometry and the different diving angle along the Manila Trench. Multi-beam tectono-geomorphological studies on the accretionary wedges have suggested that: (1) the stress direction of the subduction along the middle part of the Manila Trench, between 17o and 18 o N, is NW55 o; (2) The Manila Trench is actually caused by obduction due to the northwestern movement of the Philippine Sea Plate. Although the NW 55 o stress direction has been supported by detailed analysis on the trend of the folds, thrust faults, extension fractures and large sea-floor canyon, its obduction-origin is purely based on regional structure. Here we use 2D numerical modeling experiments to investigate the deformation style of accretionary wedge in response to the seamounts subduction and obduction, and provide new insights into the mechanism responsible for the Luzon obduction along the Manila Trench. Our preliminary results show that: (1) the accretionary wedge is eroded faster in subduction model; (2) the velocity field direction of the slab differs in two models at the beginning of seamount subduction, which is vertical in obduction model, but oblique in subduction model; (3) both sides of the accretionary wedge deform strongly in subduction model, whereas in obduction model only the leading edge shows intensive deformation. Further modelling will focus on other parts of the Manila Trench with different slab age and subduction velocity to see their tectonic influences on the accretionary wedges.

  7. 29 CFR Appendix D to Subpart P of... - Aluminum Hydraulic Shoring for Trenches

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... Subpart P of Part 1926 Labor Regulations Relating to Labor (Continued) OCCUPATIONAL SAFETY AND HEALTH.... 1926, Subpt. P, App. D Appendix D to Subpart P of Part 1926—Aluminum Hydraulic Shoring for Trenches (a... classification method set forth in appendix A of subpart P of part 1926. (c) Presentation of Information...

  8. 29 CFR Appendix D to Subpart P of... - Aluminum Hydraulic Shoring for Trenches

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... Subpart P of Part 1926 Labor Regulations Relating to Labor (Continued) OCCUPATIONAL SAFETY AND HEALTH.... 1926, Subpt. P, App. D Appendix D to Subpart P of Part 1926—Aluminum Hydraulic Shoring for Trenches (a... classification method set forth in appendix A of subpart P of part 1926. (c) Presentation of Information...

  9. 29 CFR Appendix D to Subpart P of... - Aluminum Hydraulic Shoring for Trenches

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... Subpart P of Part 1926 Labor Regulations Relating to Labor (Continued) OCCUPATIONAL SAFETY AND HEALTH.... 1926, Subpt. P, App. D Appendix D to Subpart P of Part 1926—Aluminum Hydraulic Shoring for Trenches (a... classification method set forth in appendix A of subpart P of part 1926. (c) Presentation of Information...

  10. Water balance at a low-level radioactive-waste disposal site

    USGS Publications Warehouse

    Healy, R.W.; Gray, J.R.; De Vries, G. M.; Mills, P.C.

    1989-01-01

    The water balance at a low-level radioactive-waste disposal site in northwestern Illinois was studied from July 1982 through June 1984. Continuous data collection allowed estimates to be made for each component of the water-balance equation independent of other components. The average annual precipitation was 948 millimeters. Average annual evapotranspiration was estimated at 637 millimeters, runoff was 160 millimeters, change in water storage in a waste-trench cover was 24 millimeters, and deep percolation was 208 millimeters. The magnitude of the difference between precipitation and all other components (81 millimeters per year) indicates that, in a similar environment, the water-budget method would be useful in estimating evapotranspiration, but questionable for estimation of other components. Precipitation depth and temporal distribution had a very strong effect on all other components of the water-balance equation. Due to the variability of precipitation from year to year, it appears that two years of data are inadequate for characterization of the long-term average water balance at the site.

  11. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  12. Human astrocytic grid networks patterned in parylene-C inlayed SiO2 trenches.

    PubMed

    Jordan, M D; Raos, B J; Bunting, A S; Murray, A F; Graham, E S; Unsworth, C P

    2016-10-01

    Recent literature suggests that glia, and in particular astrocytes, should be studied as organised networks which communicate through gap junctions. Astrocytes, however, adhere to most surfaces and are highly mobile cells. In order to study, such organised networks effectively in vitro it is necessary to influence them to pattern to certain substrates whilst being repelled from others and to immobilise the astrocytes sufficiently such that they do not continue to migrate further whilst under study. In this article, we demonstrate for the first time how it is possible to facilitate the study of organised patterned human astrocytic networks using hNT astrocytes in a SiO2 trench grid network that is inlayed with the biocompatible material, parylene-C. We demonstrate how the immobilisation of astrocytes lies in the depth of the SiO2 trench, determining an optimum trench depth and that the optimum patterning of astrocytes is a consequence of the parylene-C inlay and the grid node spacing. We demonstrate high fidelity of the astrocytic networks and demonstrate that functionality of the hNT astrocytes through ATP evoked calcium signalling is also dependent on the grid node spacing. Finally, we demonstrate that the location of the nuclei on the grid nodes is also a function of the grid node spacing. The significance of this work, is to describe a suitable platform to facilitate the study of hNT astrocytes from the single cell level to the network level to improve knowledge and understanding of how communication links to spatial organisation at these higher order scales and trigger in vitro research further in this area with clinical applications in the area of epilepsy, stroke and focal cerebral ischemia. Copyright © 2016 Elsevier Ltd. All rights reserved.

  13. Latino Families Challenging Exclusion in a Middle School: A Story from the Trenches

    ERIC Educational Resources Information Center

    Jasis, Pablo

    2013-01-01

    This study examines a grassroots, school-centered parent and family organizing effort from the actual "trenches" in the struggle for equity and excellence in education. This is an exploration of the intrinsic value and the complex dynamics of the organizing process of a small group of Latino immigrant parents struggling to improve their children's…

  14. 29 CFR Appendix D to Subpart P of... - Aluminum Hydraulic Shoring for Trenches

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... Subpart P of Part 1926 Labor Regulations Relating to Labor (Continued) OCCUPATIONAL SAFETY AND HEALTH.... 1926, Subpt. P, App. D Appendix D to Subpart P of Part 1926—Aluminum Hydraulic Shoring for Trenches (a... appendix, the soi1 type or types in which the excavation is made must first be determined using the soil...

  15. 29 CFR Appendix D to Subpart P of... - Aluminum Hydraulic Shoring for Trenches

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... Subpart P of Part 1926 Labor Regulations Relating to Labor (Continued) OCCUPATIONAL SAFETY AND HEALTH.... 1926, Subpt. P, App. D Appendix D to Subpart P of Part 1926—Aluminum Hydraulic Shoring for Trenches (a... appendix, the soi1 type or types in which the excavation is made must first be determined using the soil...

  16. Earthquake Recurrence along the Kuril Trench: A New View from Paleoseismology

    NASA Astrophysics Data System (ADS)

    Satake, K.; Nanayama, F.

    2003-12-01

    Paleoseismological data along the Pacific coast of eastern Hokkaido indicate that unusual earthquakes have repeated at about 500 year interval with the most recent event in the 17th century. Along the Kuril trench, interplate earthquakes with rupture length of 100-200 km occurred in 1952 (Mw 8.1) and 1973 (Mw 7.8), as well as 1843 (M 8.0) and 1894 (M 7.9), which have been considered characteristics of this subduction zone. We review paleoseismological data, examine coastal deformation and tsunami inundation from fault models, and propose a model of earthquake recurrence in the Kuril subduction zone. Pleistocene marine terraces on the Pacific coast show slight net uplift, at an average of 0.1-0.4 mm/yr in the past several hundred thousand years, whereas tide-gauge data show gradual subsidence of 8-9 mm/yr since 1900. Infrequent unusual event (Armageddon) has been inferred (Ikeda, 1996) to resolve this conflict. Holocene stratigraphic and microfossil studies have indicated sea-level changes in the last 3 ka (e.g., Sawai, 2001). Each event is marked by an abrupt upward change from brackish bay deposits to freshwater peat. The youngest change has been dated in the 17th century with an estimated uplift amount of 0.5-1m (Atwater et al., 2003). Such evidence has been found along the 100 km long coast and recurred up to seven times in the last 2.5 ka (Kelsey et al., 2002). Extensive tsunami deposits indicate large prehistoric tsunamis (Nanayama et al., 2003). At Kiritappu, for instance, sand sheets extend 3 km inland, much further than historic tsunamis. Ten sheets of tsunami deposits indicate recurrence of such unusual tsunami with an average recurrence interval of about 500 years. The most recent event occurred in the 17th century. Historic documents in Honshu rules out unusual tsunamis that would cause damage along the Sanriku coast. Tsunami damage from the 1611 and 1677 earthquakes, both along the Japan trench, have been documented along the Sanriku coast. We modeled

  17. High-directionality fiber-chip grating coupler with interleaved trenches and subwavelength index-matching structure.

    PubMed

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Cheben, Pavel; Schmid, Jens H; Wang, Shurui; Xu, Dan-Xia; Lapointe, Jean; Janz, Siegfried; Halir, Robert; Ortega-Moñux, Alejandro; Wangüemert-Pérez, J Gonzalo; Molina-Fernández, Iñigo; Fédéli, Jean-Marc; Vivien, Laurent; Dado, Milan

    2015-09-15

    We present the first experimental demonstration of a new fiber-chip grating coupler concept that exploits the blazing effect by interleaving the standard full (220 nm) and shallow etch (70 nm) trenches in a 220 nm thick silicon layer. The high directionality is obtained by controlling the separation between the deep and shallow trenches to achieve constructive interference in the upward direction and destructive interference toward the silicon substrate. Utilizing this concept, the grating directionality can be maximized independent of the bottom oxide thickness. The coupler also includes a subwavelength-engineered index-matching region, designed to reduce the reflectivity at the interface between the injection waveguide and the grating. We report a measured fiber-chip coupling efficiency of -1.3  dB, the highest coupling efficiency achieved to date for a surface grating coupler in a 220 nm silicon-on-insulator platform fabricated in a conventional dual-etch process without high-index overlays or bottom mirrors.

  18. 40 CFR 60.3062 - What is an air curtain incinerator?

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... curtain of air across an open, integrated combustion chamber (fire box) or open pit or trench (trench... 40 Protection of Environment 6 2011-07-01 2011-07-01 false What is an air curtain incinerator? 60... Rule-Air Curtain Incinerators That Burn Only Wood Waste, Clean Lumber, and Yard Waste § 60.3062 What is...

  19. A global outer-rise/outer-trench-slope (OR/OTS) earthquake study

    NASA Astrophysics Data System (ADS)

    Wartman, J. M.; Kita, S.; Kirby, S. H.; Choy, G. L.

    2009-12-01

    Using improved seismic, bathymetric, satellite gravity and other geophysical data, we investigated the seismicity patterns and focal mechanisms of earthquakes in oceanic lithosphere off the trenches of the world that are large enough to be well recorded at teleseismic distances. A number of prominent trends are apparent, some of which have been previously recognized based on more limited data [1], and some of which are largely new [2-5]: (1) The largest events and the highest seismicity rates tend to occur where Mesozoic incoming plates are subducting at high rates (e.g., those in the western Pacific and the Banda segment of Indonesia). The largest events are predominantly shallow normal faulting (SNF) earthquakes. Less common are reverse-faulting (RF) events that tend to be deeper and to be present along with SNF events where nearby seamounts, seamount chains and other volcanic features are subducting [Seno and Yamanaka, 1996]. Blooms of SNF OR/OTS events usually occur just after and seaward of great interplate thrust (IPT) earthquakes but are far less common after smaller IPT events. (2) Plates subducting at slow rates (<20 mm/a) often show sparse OR/OTS seismicity. It is unclear if such low activity is a long-term feature of these systems or is a consequence of the long return times of great IPT earthquakes (e.g., the sparse OR/OTS seismicity before the 26 December 2004 M9.2 Sumatra earthquake and many subsequent OR/OTS events). (3) OR/OTS shocks are generally sparse or absent where incoming plates are very young (<20 Ma) (e.g., Cascadia, southern Mexico, Nankai, and South Shetlands). (4) Subducting plates of intermediate age (20 to about 65 Ma) display a diversity of focal mechanisms and seismicity patterns. In the Philippines, NE Indonesia, and Melanesia, bands of reverse faulting events occur at or near the trench and SNF earthquakes are restricted to OR/OTS sites further from the trench. (5) Clustering of OR/OTS events of all types commonly occurs where

  20. Thermoluminescence of quartz collected from Nojima Fault Trench excavated in 2015

    NASA Astrophysics Data System (ADS)

    Hasebe, N.; Miura, K.; Ganzawa, Y.; Tagami, T.; Lin, A.

    2017-12-01

    The Southern Hyogo prefecture earthquake occurred in 1995, which is known as Kobe Earthquake or Great Hanshin-Awaji Earthquake, was caused by the activity of the Nojima fault. The research project on the Nojima fault is currently going on and new trench was excavated in 2015. We investigate the effect of fault activity on surrounding rocks by thermoluminescence (TL) dating method. First, quartz were extracted from samples collected from the trench wall with different distance from the fault. A block of nearby basement rock is also collected and analyzed. Next, the luminescence sites and their emission temperatures were determined by T-Tmax method (McKeever, 1980) perfomed by 10 ° C interval for selected samples (the basement rock collected from Rokko granite, the granite sample collected about 5 m away from the fault in the trench, and the gouge sample adjacent to the fault). As a result, the peak emission temperatures were 200-220 ° C, 270 ° C and 320-350 ° C for granite quartz. These values were concordant for UV-TL and Blue TL. The activation energy and frequency factors were determined for signals emitted at different temperatures by peak shift methods (Aitken, 1985). On the other hand, the TL emission curves for the sample adjacent to the fault do not show discrete luminescence sites, different from granite samples. Natural TL emission show variety of TL profile. The accumulated doses of each sample were estimated for identified signal peaks after peak separation. Signals from different peak temperatures show different dose values in all the samples. The dose estimated by signals at 200 ° showed the minimum value for all samples. The same sample show different accumulated dose for Blue TL and UV-TL. The variety of accumulated doses in a sample may be reflective of complex thermal history of samples, and/or partly caused by the ineffective peak separation. Even the host rock collected away from the fault show a low accumulated dose in 200°C singnal, far

  1. Corrective action investigation plan for Corrective Action Unit Number 423: Building 03-60 Underground Discharge Point, Tonopah Test Range, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1997-10-27

    This Corrective Action Investigation Plan (CAIP) contains the environmental sample collection objectives and the criteria for conducting site investigation activities at Corrective Action Unit (CAU) Number 423, the Building 03-60 Underground Discharge Point (UDP), which is located in Area 3 at the Tonopah Test Range (TTR). The TTR, part of the Nellis Air Force Range, is approximately 225 kilometers (140 miles) northwest of Las Vegas, Nevada. CAU Number 423 is comprised of only one Corrective Action Site (CAS) which includes the Building 03-60 UDP and an associated discharge line extending from Building 03-60 to a point approximately 73 meters (240more » feet) northwest. The UDP was used between approximately 1965 and 1990 to dispose of waste fluids from the Building 03-60 automotive maintenance shop. It is likely that soils surrounding the UDP have been impacted by oil, grease, cleaning supplies and solvents as well as waste motor oil and other automotive fluids released from the UDP.« less

  2. Subduction of a buoyant plateau at the Manila Trench: Tomographic evidence and geodynamic implications

    NASA Astrophysics Data System (ADS)

    Fan, Jianke; Zhao, Dapeng; Dong, Dongdong

    2016-02-01

    We determined P-wave tomographic images by inverting a large number of arrival-time data from 2749 local earthquakes and 1462 teleseismic events, which are used to depict the three-dimensional morphology of the subducted Eurasian Plate along the northern segment of the Manila Trench. Dramatic changes in the dip angle of the subducted Eurasian Plate are revealed from the north to the south, being consistent with the partial subduction of a buoyant plateau beneath the Luzon Arc. Slab tears may exist along the edges of the buoyant plateau within the subducted plate induced by the plateau subduction, and the subducted lithosphere may be absent at depths greater than 250 km at ˜19°N and ˜21°N. The subducted buoyant plateau is possibly oriented toward NW-SE, and the subducted plate at ˜21°N is slightly steeper than that at ˜19°N. These results may explain why the western and eastern volcanic chains in the Luzon Arc are separated by ˜50 km at ˜18°N, whereas they converge into a single volcanic chain northward, which may be related to the oblique subduction along the Manila Trench caused by the northwestern movement of the Philippine Sea Plate. A low-velocity zone is revealed at depths of 20-200 km beneath the Manila Accretionary Prism at ˜22°N, suggesting that the subduction along the Manila Trench may stop there and the collision develops northward. The Taiwan Orogeny may originate directly from the subduction of the buoyant plateau, because the initial time of the Taiwan Orogeny is coincident with that of the buoyant plateau subduction.

  3. Fast Identification of Near-Trench Earthquakes Along the Mexican Subduction Zone Based on Characteristics of Ground Motion in Mexico City

    NASA Astrophysics Data System (ADS)

    Perez-Campos, X.; Singh, S. K.; Arroyo, D.; Rodríguez, Q.; Iglesias, A.

    2015-12-01

    The disastrous 1985 Michoacan earthquake gave rise to a seismic alert system for Mexico City which became operational in 1991. Initially limited to earthquakes along the Guerrero coast, the system now has a much wider coverage. Also, the 2004 Sumatra earthquake exposed the need for a tsunami early warning along the Mexican subduction zone. A fast identification of near-trench earthquakes along this zone may be useful in issuing a reliable early tsunami alert. The confusion caused by low PGA for the magnitude of an earthquake, leading to "missed" seismic alert, would be averted if its near-trench origin can be quickly established. It may also help reveal the spatial extent and degree of seismic coupling on the near-trench portion of the plate interface. This would lead to a better understanding of tsunami potential and seismic hazard along the Mexican subduction zone. We explore three methods for quick detection of near-trench earthquakes, testing them on recordings of 65 earthquakes at station CU in Mexico City (4.8 ≤Mw≤8.0; 270≤R≤615 km). The first method is based on the ratio of total to high-frequency energy, ER (Shapiro et al., 1998). The second method is based on parameter Sa*(6) which is the pseudo-acceleration response spectrum with 5% damping, Sa, at 6 s normalized by the PGA. The third parameter is the PGA residual, RESN, at CU, with respect to a newly-derived ground motion prediction equation at CU for coastal shallow-dipping thrust earthquakes following a bayesian approach. Since the near-trench earthquakes are relatively deficient in high-frequency radiation, we expect ER and Sa*(6) to be relatively large and RESN to be negative for such events. Tests on CU recordings show that if ER ≥ 100 and/or Sa*(6) ≥ 0.70, then the earthquake is near trench; for these events RESN ≤ 0. Such an event has greater tsunami potential. Few misidentifications and missed events are most probably a consequence of poor location, although unusual depth and source

  4. 78 FR 39583 - Fisheries in the Western Pacific; Fishing in the Marianas Trench, Pacific Remote Islands, and...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-07-02

    ... Remote Islands, and Rose Atoll Marine National Monuments AGENCY: National Marine Fisheries Service (NMFS... Marianas Trench, Pacific Remote Islands, and Rose Atoll Marine National Monuments. These are [[Page 39584...

  5. Water-vapor movement through unsaturated alluvium in Amargosa Desert near Beatty, Nevada - Current understanding and continuing studies: A section in Joint US Geological Survey, US Nuclear Regulatory Commission workshop on research related to low-level radioactive waste disposal, May 4-6, 1993, National Center, Reston, Virginia; Proceedings (WRI 95-4015)

    USGS Publications Warehouse

    Prudic, David E.; Stevens, Peter R.; Nicholson, Thomas J.

    1996-01-01

    Disposal of low-level radioactive wastes has been a concern since the 1950's. These wastes commonly are buried in shallow trenches (Fischer, 1986, p. 2). Water infiltrating into the trenches is considered the principal process by which contaminants are transported away from the buried wastes, although gaseous transport in some areas may be important. Arid regions in the western United States have been suggested as places that could provide safe containment of the wastes, because little or no water would infiltrate into the trenches (Richardson, 1962), and because thick unsaturated zones would slow contaminant movement. Although burial in arid regions may greatly reduce the amount of water coming in contact with the waste and consequently may provide longterm containment, insufficient data are available on the effectiveness of burial in such regions. Of particular interest is the potential for contaminant movement, either as liquid or vapor, through unsaturated sediments to land surface or to underlying ground water.Since 1962, low-level radioactive wastes have been buried at a disposal facility in the Amargosa Desert, about 17 km south of Beatty, Nevada (fig. 50). This facility is in one of the most arid regions of the United States. Annual precipitation at the disposal facility averaged 82 mm for 1985-92; the minimum was 14 mm, recorded for 1989 (Wood and Andraski, 1992, p. 12).Investigations to determine the hydrogeology, water movement, and potential for contaminant movement at the facility began in 1976. Results from an initial study indicated that a potential exists for deep percolation of infiltrated water at the burial site (Nichols, 1987), assuming that the only water loss is by evaporation because the trenches are kept clear of vegetation. Results from a subsequent study of water movement beneath an undisturbed, vegetated site indicate that percolation of infiltrated water may be limited to the uppermost 9 m of sediments, on the basis of water potentials

  6. The GaN trench gate MOSFET with floating islands: High breakdown voltage and improved BFOM

    NASA Astrophysics Data System (ADS)

    Shen, Lingyan; Müller, Stephan; Cheng, Xinhong; Zhang, Dongliang; Zheng, Li; Xu, Dawei; Yu, Yuehui; Meissner, Elke; Erlbacher, Tobias

    2018-02-01

    A novel GaN trench gate (TG) MOSFET with P-type floating islands (FLI) in drift region, which can suppress the electric field peak at bottom of gate trench during the blocking state and prevent premature breakdown in gate oxide, is proposed and investigated by TCAD simulations. The influence of thickness, position, doping concentration and length of the FLI on breakdown voltage (BV) and specific on-resistance (Ron_sp) is studied, providing useful guidelines for design of this new type of device. Using optimized parameters for the FLI, GaN FLI TG-MOSFET obtains a BV as high as 2464 V with a Ron_sp of 3.0 mΩ cm2. Compared to the conventional GaN TG-MOSFET with the same structure parameters, the Baliga figure of merit (BFOM) is enhanced by 150%, getting closer to theoretical limit for GaN devices.

  7. Corrective Action Decision Document/Closure Report for Corrective Action Unit 561: Waste Disposal Areas, Nevada National Security Site, Nevada, Revision 0

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mark Krauss

    2011-08-01

    CAU 561 comprises 10 CASs: (1) 01-19-01, Waste Dump; (2) 02-08-02, Waste Dump and Burn Area; (3) 03-19-02, Debris Pile; (4) 05-62-01, Radioactive Gravel Pile; (5) 12-23-09, Radioactive Waste Dump; (6) 22-19-06, Buried Waste Disposal Site; (7) 23-21-04, Waste Disposal Trenches ; (8) 25-08-02, Waste Dump; (9) 25-23-21, Radioactive Waste Dump; and (10) 25-25-19, Hydrocarbon Stains and Trench. The purpose of this CADD/CR is to provide justification and documentation supporting the recommendation for closure of CAU 561 with no further corrective action. The purpose of the CAI was to fulfill the following data needs as defined during the DQO process:more » (1) Determine whether COCs are present; (2) If COCs are present, determine their nature and extent; and (3) Provide sufficient information and data to complete appropriate corrective actions. The following contaminants were determined to be present at concentrations exceeding their corresponding FALs: (1) No contamination exceeding FALs was identified at CASs 01-19-01, 03-19-02, 05-62-01, 12-23-09, and 22-19-06. (2) The surface and subsurface soil within the burn area at CAS 02-08-02 contains arsenic and lead above the FALs of 23 milligrams per kilogram (mg/kg) and 800 mg/kg, respectively. The surface and subsurface soil within the burn area also contains melted lead slag (potential source material [PSM]). The soil within the waste piles contains polyaromatic hydrocarbons (PAHs) above the FALs. The contamination within the burn area is spread throughout the area, as it was not feasible to remove all the PSM (melted lead), while at the waste piles, the contamination is confined to the piles. (3) The surface and subsurface soils within Trenches 3 and 5 at CAS 23-21-04 contain arsenic and polychlorinated biphenyls (PCBs) above the FALs of 23 mg/kg and 0.74 mg/kg, respectively. The soil was removed from both trenches, and the soil that remains at this CAS does not contain contamination exceeding the FALs. Lead bricks and

  8. Silicon trench photodiodes on a wafer for efficient X-ray-to-current signal conversion using side-X-ray-irradiation mode

    NASA Astrophysics Data System (ADS)

    Ariyoshi, Tetsuya; Takane, Yuta; Iwasa, Jumpei; Sakamoto, Kenji; Baba, Akiyoshi; Arima, Yutaka

    2018-04-01

    In this paper, we report a direct-conversion-type X-ray sensor composed of trench-structured silicon photodiodes, which achieves a high X-ray-to-current conversion efficiency under side X-ray irradiation. The silicon X-ray sensor with a length of 22.6 mm and a trench depth of 300 µm was fabricated using a single-poly single-metal 0.35 µm process. X-rays with a tube voltage of 80 kV were irradiated along the trench photodiode from the side of the test chip. The theoretical limit of X-ray-to-current conversion efficiency of 83.8% was achieved at a low reverse bias voltage of 25 V. The X-ray-to-electrical signal conversion efficiency of conventional indirect-conversion-type X-ray sensors is about 10%. Therefore, the developed sensor has a conversion efficiency that is about eight times higher than that of conventional sensors. It is expected that the developed X-ray sensor will be able to markedly lower the radiation dose required for X-ray diagnoses.

  9. Marine geodetic control for geoidal profile mapping across the Puerto Rican Trench

    NASA Technical Reports Server (NTRS)

    Fubara, D. M.; Mourad, A. G.

    1975-01-01

    A marine geodetic control was established for the northern end of the geoidal profile mapping experiment across the Puerto Rican Trench by determining the three-dimensional geodetic coordinates of the four ocean-bottom mounted acoustic transponders. The data reduction techniques employed and analytical processes involved are described. Before applying the analytical techniques to the field data, they were tested with simulated data and proven to be effective in theory as well as in practice.

  10. Vibro-Acoustic Analysis of NASA's Space Shuttle Launch Pad 39A Flame Trench Wall

    NASA Technical Reports Server (NTRS)

    Margasahayam, Ravi N.

    2009-01-01

    A vital element to NASA's manned space flight launch operations is the Kennedy Space Center Launch Complex 39's launch pads A and B. Originally designed and constructed In the 1960s for the Saturn V rockets used for the Apollo missions, these pads were modified above grade to support Space Shuttle missions. But below grade, each of the pad's original walls (including a 42 feet deep, 58 feet wide, and 450 feet long tunnel designed to deflect flames and exhaust gases, the flame trench) remained unchanged. On May 31, 2008 during the launch of STS-124, over 3500 of the. 22000 interlocking refractory bricks that lined east wall of the flame trench, protecting the pad structure were liberated from pad 39A. The STS-124 launch anomaly spawned an agency-wide initiative to determine the failure root cause, to assess the impact of debris on vehicle and ground support equipment safety, and to prescribe corrective action. The investigation encompassed radar imaging, infrared video review, debris transport mechanism analysis using computational fluid dynamics, destructive testing, and non-destructive evaluation, including vibroacoustic analysis, in order to validate the corrective action. The primary focus of this paper is on the analytic approach, including static, modal, and vibro-acoustic analysis, required to certify the corrective action, and ensure Integrity and operational reliability for future launches. Due to the absence of instrumentation (including pressure transducers, acoustic pressure sensors, and accelerometers) in the flame trench, defining an accurate acoustic signature of the launch environment during shuttle main engine/solid rocket booster Ignition and vehicle ascent posed a significant challenge. Details of the analysis, including the derivation of launch environments, the finite element approach taken, and analysistest/ launch data correlation are discussed. Data obtained from the recent launch of STS-126 from Pad 39A was instrumental in validating the

  11. Students investigate environmental restoration site in New Mexico

    NASA Astrophysics Data System (ADS)

    Ferguson, John F.; Baldridge, W. Scott; Jiracek, George R.; Gonzalez, Victor; Pope, Paul A.

    Investigations conducted during the 1997 Summer of Applied Geophysical Experience (SAGE) field course at one site at Los Alamos National Laboratory (LANL) successfully delineated a waste disposal trench dug in the 1940s. The survey, which was popular with the students, provided them with important experience in “real world” geophysical problems and demonstrated that students can obtain useful and important results during a short field exercise.The utility of the magnetic, seismic refraction, and ground-penetrating radar methods will be demonstrated on a profile through one of the major waste trenches at the site. The magnetic and radar methods are sensitive to the presence of metallic objects buried within the trenches. A low-velocity trench structure is defined by the seismic refraction data. Models of the trench structure are both accurate (linear dimensions are probably good to within a few meters) and somewhat different from prior expectations.

  12. Structure of the tsunamigenic plate boundary and low-frequency earthquakes in the southern Ryukyu Trench

    PubMed Central

    Arai, Ryuta; Takahashi, Tsutomu; Kodaira, Shuichi; Kaiho, Yuka; Nakanishi, Ayako; Fujie, Gou; Nakamura, Yasuyuki; Yamamoto, Yojiro; Ishihara, Yasushi; Miura, Seiichi; Kaneda, Yoshiyuki

    2016-01-01

    It has been recognized that even weakly coupled subduction zones may cause large interplate earthquakes leading to destructive tsunamis. The Ryukyu Trench is one of the best fields to study this phenomenon, since various slow earthquakes and tsunamis have occurred; yet the fault structure and seismic activity there are poorly constrained. Here we present seismological evidence from marine observation for megathrust faults and low-frequency earthquakes (LFEs). On the basis of passive observation we find LFEs occur at 15–18 km depths along the plate interface and their distribution seems to bridge the gap between the shallow tsunamigenic zone and the deep slow slip region. This suggests that the southern Ryukyu Trench is dominated by slow earthquakes at any depths and lacks a typical locked zone. The plate interface is overlaid by a low-velocity wedge and is accompanied by polarity reversals of seismic reflections, indicating fluids exist at various depths along the plate interface. PMID:27447546

  13. Equatorial Kelvin waves generated in the western tropical Pacific Ocean trigger mass and heat transport within the Middle America Trench off Costa Rica

    NASA Astrophysics Data System (ADS)

    Thomson, Richard E.; Davis, Earl E.

    2017-07-01

    Sequences of correlated seafloor temperature, current velocity, and acoustic backscatter events recorded at Ocean Drilling Program (ODP) sites at 4300 m depth in the Middle America Trench have been inferred to result from tidally induced turbidity currents generated in the vicinity of the 3300 m deep sill at the southern end of the trench. New data from the borehole observatories extend the temperature records to 11 years (November 2002 to December 2013) and confirm the highly episodic nature of the events. We present satellite altimetry data and ocean circulation model results to show that event timing is correlated with intraseasonal Kelvin wave motions in the equatorial Pacific. The observed temperature events had a mean (±1 standard deviation) occurrence interval of 61 (±24) days, which spans the periods of the first two baroclinic modes. Lag times between peak bottom water temperatures at the ODP sites and the passage of eastward-propagating Kelvin wave crests at locations in the eastern equatorial Pacific are consistent with the time for mode-1 waves to propagate to the southern end of the trench at a mean phase speed of 2.0 m s-1. Findings indicate that Kelvin wave currents augment tidal motions in the vicinity of the sill, triggering turbidity currents that travel northwestward along the trench axis at mean speeds of ˜0.1 m s-1. We conclude that mode-1 (or, possibly, mixed mode-1 and mode-2) baroclinic Kelvin waves generated by large-scale atmospheric processes in the western tropical Pacific lead to heat and mass transport deep within Middle America Trench in the eastern tropical Pacific.

  14. Direct-path acoustic ranging across the Japan Trench axis, Adjacent to the Large Shallow Thrusting in the 2011 Tohoku-Oki earthquake

    NASA Astrophysics Data System (ADS)

    Osada, Y.; Kido, M.; Ito, Y.; Iinuma, T.; Fujimoto, H.; Hino, R.

    2014-12-01

    Seafloor geodetic data, i.e. GPS/acoustic measurement and continuous seafloor pressure monitoring, brought important evidences showing that the 2011 Tohoku-oki earthquake (Mw 9.0) caused huge (> 50 m) coseismic slip near the Japan Trench. The postseismic behavior of the large slipped area is required to clarify to understand why large amount seismic slip could occur there. We started making direct-path acoustic ranging across the trench axis to reveal the convergence rate between the subducting Pacific and overriding continental plates. We expect the change of the baseline length across the trench axis, the plate boundary, reflects the slip rate at the shallow megathrust, which is difficult to estimate only from other geodetic observations largely affected by intraplate deformation caused by the postseismic viscoelastic relaxation process.  To this end, we developed an ultra-deep seafloor acoustic ranging system. Our previous ranging systems have been designed to measure baseline length ~ 1 km and to be deployed up to 7,000 m water-depth (Osada et al., 2008, 2012). In order to realize the measurement across the Japan Trench, we improved this system to enhance range of acoustic ranging as well as operational depth of instruments. The improved system was designed to allow acoustic ranging up to 3 km and to be durable under the high-pressure equivalent to water depth of 9,000 m. In May 2013, we carried out a test deployment of the new ranging system. The system is composed of three seafloor instruments equipped with precision transponder (PXPs). Two of the PXPs were set on the landward slope of the Japan Trench, where large coseismic slip happened in 2011. Another PXP was deployed on the seaward side of the trench so that the baseline change associated with the slip on the plate boundary fault, if any, can be detected. Continuous records of baseline lengths were successfully obtained for four months. The repeatability of the distance measurements was about 20 mm for

  15. Seafloor Displacement after the 2011 Tohoku-oki Earthquake in the Northern Japan Trench Examined by Repeated Bathymetric Surveys

    NASA Astrophysics Data System (ADS)

    Fujiwara, T.; dos Santos Ferreira, C.; Bachmann, A. K.; Strasser, M.; Wefer, G.; Sun, T.; Kanamatsu, T.; Kodaira, S.

    2017-12-01

    Maximum tsunami height caused by the 11 March 2011 Tohoku-oki earthquake was observed at the coast of Sanriku, the northern Tohoku, Japan [The 2011 Tohoku Earthquake Tsunami Joint Survey Group, 2011]. In order to explain the tsunami source, some papers have introduced additional large slip of the megathrust up to 36 m in the shallow part near the northern Japan Trench [e.g. Satake et al., BSSA 2013]. Alternatively, others preferred to put a large change in seafloor elevation, 90 m uplift and down-drop, associated with a submarine landslide along the lower trench slope [e.g. Tappin et al., Marine Geol. 2014]. We conducted repeated multibeam bathymetric surveys offshore Sanriku in 2016 and also 2012. We examined seafloor displacement for tsunami source by means of the difference in bathymetry before and after the earthquake. Acquired two bathymetric survey tracks are crossing the trench at 39.2°N and 39.5°N. These tracks overlap the Satake et al. [2013]'s slip area and also the Tappin et al. [2014]'s landslide area. The German research vessel Sonne performed the surveys along the same tracks (SO219A, SO251A cruises). Previous survey tracks had been obtained by the JAMSTEC R/V Kairei in 2007 and 2010 (KR07-08, KR10-12 cruises). Horizontal and vertical seafloor displacements were estimated by comparison of the bathymetry before and after the earthquake. Apparent offsets of the absolute values of depth soundings and the uncertainty of ship position were examined on the seaward side because the seaward was thought to have suffered little change from the earthquake. The horizontal displacement was estimated by calculating the offset distance to maximize cross-correlation of the bathymetry dataset. The seafloor displacements were less than 20 m in trenchward horizontal displacement and several meters in vertical displacement, these values are within the ranges of error of the analysis, and relatively small displacements are evaluated. Thus localized very large fault slip

  16. Evolution and hydration of the Juan de Fuca crust and uppermost mantle: a plate-scale seismic investigation from ridge to trench

    NASA Astrophysics Data System (ADS)

    Carbotte, S. M.; Canales, J.; Carton, H. D.; Nedimovic, M. R.; Han, S.; Marjanovic, M.; Gibson, J. C.; Janiszewski, H. A.; Horning, G.; Delescluse, M.; Watremez, L.; Farkas, A.; Biescas Gorriz, B.; Bornstein, G.; Childress, L. B.; Parker, B.

    2012-12-01

    The evolution of oceanic lithosphere involves incorporation of water into the physical and chemical structure of the crust and shallow mantle through fluid circulation, which initiates at the mid-ocean ridge and continues on the ridge flanks long after crustal formation. At subduction zones, water stored and transported with the descending plate is gradually released at depth, strongly influencing subduction zone processes. Cascadia is a young-lithosphere end member of the global subduction system where relatively little hydration of the downgoing Juan de Fuca (JdF) plate is expected due to its young age and presumed warm thermal state. However, numerous observations support the abundant presence of water within the subduction zone, suggesting that the JdF plate is significantly hydrated prior to subduction. Knowledge of the state of hydration of the JdF plate is limited, with few constraints on crustal and upper mantle structure. During the Cascadia Ridge-to-Trench experiment conducted in June-July 2012 over 4000 km of active source seismic data were acquired as part of a study of the evolution and state of hydration of the crust and shallow mantle of the JdF plate prior to subduction at the Cascadia margin. Coincident long-streamer (8 km) multi-channel seismic (MCS) and wide-angle ocean bottom seismometer (OBS) data were acquired in a two-ship program with the R/V Langseth (MGL1211), and R/V Oceanus (OC1206A). Our survey included two ridge-perpendicular transects across the full width of the JdF plate, a long trench-parallel line ~10 km seaward of the Cascadia deformation front, as well as three fan lines to study mantle anisotropy. The plate transects were chosen to provide reference sections of JdF plate evolution over the maximum range of JdF plate ages (8-9 Ma), offshore two contrasting regions of the Cascadia Subduction zone, and provide the first continuous ridge-to-trench images acquired at any oceanic plate. The trench-parallel line was designed to

  17. Special Analysis for the Disposal of the Lawrence Livermore National Laboratory Low Activity Beta/Gamma Sources Waste Stream at the Area 5 Radioactive Waste Management Site, Nevada National Security Site, Nye County, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shott, Gregory J.

    This special analysis (SA) evaluates whether the Lawrence Livermore National Laboratory (LLNL) Low Activity Beta/Gamma Sources waste stream (BCLALADOEOSRP, Revision 0) is suitable for disposal by shallow land burial (SLB) at the Area 5 Radioactive Waste Management Site (RWMS) at the Nevada National Security Site (NNSS). The LLNL Low Activity Beta/Gamma Sources waste stream consists of sealed sources that are no longer needed. The LLNL Low Activity Beta/Gamma Sources waste stream required a special analysis because cobalt-60 (60Co), strontium-90 (90Sr), cesium-137 (137Cs), and radium-226 (226Ra) exceeded the NNSS Waste Acceptance Criteria (WAC) Action Levels (U.S. Department of Energy, National Nuclearmore » Security Administration Nevada Field Office [NNSA/NFO] 2015). The results indicate that all performance objectives can be met with disposal of the LLNL Low Activity Beta/Gamma Sources in a SLB trench. The LLNL Low Activity Beta/Gamma Sources waste stream is suitable for disposal by SLB at the Area 5 RWMS. However, the activity concentration of 226Ra listed on the waste profile sheet significantly exceeds the action level. Approval of the waste profile sheet could potentially allow the disposal of high activity 226Ra sources. To ensure that the generator does not include large 226Ra sources in this waste stream without additional evaluation, a control is need on the maximum 226Ra inventory. A limit based on the generator’s estimate of the total 226Ra inventory is recommended. The waste stream is recommended for approval with the control that the total 226Ra inventory disposed shall not exceed 5.5E10 Bq (1.5 Ci).« less

  18. A critical assessment of viscous models of trench topography and corner flow

    NASA Technical Reports Server (NTRS)

    Zhang, J.; Hager, B. H.; Raefsky, A.

    1984-01-01

    Stresses for Newtonian viscous flow in a simple geometry (e.g., corner flow, bending flow) are obtained in order to study the effect of imposed velocity boundary conditions. Stress for a delta function velocity boundary condition decays as 1/R(2); for a step function velocity, stress goes as 1/R; for a discontinuity in curvature, the stress singularity is logarithmic. For corner flow, which has a discontinuity of velocity at a certain point, the corresponding stress has a 1/R singularity. However, for a more realistic circular-slab model, the stress singularity becomes logarithmic. Thus the stress distribution is very sensitive to the boundary conditions, and in evaluating the applicability of viscous models of trench topography it is essential to use realistic geometries. Topography and seismicity data from northern Hoshu, Japan, were used to construct a finite element model, with flow assumed tangent to the top of the grid, for both Newtonian and non-Newtonian flow (power law 3 rheology). Normal stresses at the top of the grid are compared to the observed trench topography and gravity anomalies. There is poor agreement. Purely viscous models of subducting slables with specified velocity boundary conditions do not predict normal stress patterns compatible with observed topography and gravity. Elasticity and plasticity appear to be important for the subduction process.

  19. Microstructural analysis in the depth direction of a heteroepitaxial AlN thick film grown on a trench-patterned template by nanobeam X-ray diffraction

    NASA Astrophysics Data System (ADS)

    Shida, K.; Takeuchi, S.; Tohei, T.; Miyake, H.; Hiramatsu, K.; Sumitani, K.; Imai, Y.; Kimura, S.; Sakai, A.

    2018-04-01

    This work quantitatively assessed the three-dimensional distribution of crystal lattice distortions in an epitaxial AlN thick film grown on a trench-patterned template, using nanobeam X-ray diffraction. Position-dependent ω-2θ-φ mapping clearly demonstrated local tilting, spacing and twisting of lattice planes as well as fluctuations in these phenomena on a sub-micrometer scale comparable to the pitch of the trench-and-terrace patterning. Analysis of the crystal lattice distortion in the depth direction was performed using a newly developed method in which the X-ray nanobeam diffracted from the sample surface to specific depths can be selectively detected by employing a Pt wire profiler. This technique generated depth-resolved ω-2θ-φ maps confirming that fluctuations in lattice plane tilting and spacing greatly depend on the dislocation distribution and the history of the AlN epitaxial growth on the trench-patterned structure. It was also found that both fluctuations were reduced on approaching the AlN surface and, in particular, were sharply reduced at specific depths in the terrace regions. These sharp reductions are attributed to the formation of sacrificial zones with degraded crystal quality around the trenches and possibly lead to raising the crystal quality near the surface of the AlN film.

  20. SWSA 6 interim corrective measures environmental monitoring: FY 1991 results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Clapp, R.B.; Marshall, D.S.

    1992-06-01

    In 1988, interim corrective measures (ICMs) were implemented at Solid Waste Storage Area (SWSA) 6 at Oak Ridge National Laboratory. The SWSA 6 site was regulated under the Resource Conservation and Recovery Act (RCRA). The ICMs consist of eight large high-density polyethylene sheets placed as temporary caps to cover trenches known to contain RCRA-regulated materials. Environmental monitoring for FY 1991 consisted of collecting water levels at 13 groundwater wells outside the capped areas and 44 wells in or near the capped areas in order to identify any significant loss of hydrologic isolation of the wastes. Past annual reports show thatmore » the caps are only partially effective in keeping the waste trenches dry and that many trenches consistently or intermittently contain water.« less

  1. SWSA 6 interim corrective measures environmental monitoring: FY 1991 results. Environmental Restoration Program

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Clapp, R.B.; Marshall, D.S.

    1992-06-01

    In 1988, interim corrective measures (ICMs) were implemented at Solid Waste Storage Area (SWSA) 6 at Oak Ridge National Laboratory. The SWSA 6 site was regulated under the Resource Conservation and Recovery Act (RCRA). The ICMs consist of eight large high-density polyethylene sheets placed as temporary caps to cover trenches known to contain RCRA-regulated materials. Environmental monitoring for FY 1991 consisted of collecting water levels at 13 groundwater wells outside the capped areas and 44 wells in or near the capped areas in order to identify any significant loss of hydrologic isolation of the wastes. Past annual reports show thatmore » the caps are only partially effective in keeping the waste trenches dry and that many trenches consistently or intermittently contain water.« less

  2. Normal faulting of the Daiichi-Kashima Seamount in the Japan Trench revealed by the Kaiko I cruise, Leg 3

    USGS Publications Warehouse

    Kobayashi, K.; Cadet, J.-P.; Aubouin, J.; Boulegue, J.; Dubois, J.; von Huene, Roland E.; Jolivet, L.; Kanazawa, T.; Kasahara, J.; Koizumi, K.-i.; Lallemand, S.; Nakamura, Y.; Pautot, G.; Suyehiro, K.; Tani, S.; Tokuyama, H.; Yamazaki, T.

    1987-01-01

    A detailed topographic and geophysical survey of the Daiichi-Kashima Seamount area in the southern Japan Trench, northwestern Pacific margin, clearly defines a high-angle normal fault which splits the seamount into two halves. A fan-shaped zone was investigated along 2-4 km spaced, 100 km long subparallel tracks using narrow multi-beam (Seabeam) echo-sounder with simultaneous measurements of gravity, magnetic total field and single-channel seismic reflection records. Vertical displacement of the inboard half was clearly mapped and its normal fault origin was supported. The northern and southern extensions of the normal fault beyond the flank of the seamount were delineated. Materials on the landward trench slope are displaced upward and to sideways away from the colliding seamount. Canyons observed in the upper landward slope terminate at the mid-slope terrace which has been uplifted since start of subduction of the seamount. Most of the landward slope except for the landward walls aside the seamount comprises only a landslide topography in a manner similar to the northern Japan Trench wall. This survey was conducted on R/V "Jean Charcot" as a part of the Kaiko I cruise, Leg 3, in July-August 1984 under the auspices of the French-Japanese scientific cooperative program. ?? 1987.

  3. Paleoseismology of the Nephi Segment of the Wasatch Fault Zone, Juab County, Utah - Preliminary Results From Two Large Exploratory Trenches at Willow Creek

    USGS Publications Warehouse

    Machette, Michael N.; Crone, Anthony J.; Personius, Stephen F.; Mahan, Shannon; Dart, Richard L.; Lidke, David J.; Olig, Susan S.

    2007-01-01

    In 2004, we identified a small parcel of U.S. Forest Service land at the mouth of Willow Creek (about 5 km west of Mona, Utah) that was suitable for trenching. At the Willow Creek site, which is near the middle of the southern strand of the Nephi segment, the WFZ has vertically displaced alluvial-fan deposits >6-7 m, forming large, steep, multiple-event scarps. In May 2005, we dug two 4- to 5-m-deep backhoe trenches at the Willow Creek site, identified three colluvial wedges in each trench, and collected samples of charcoal and A-horizon organic material for AMS (acceleration mass spectrometry) radiocarbon dating, and sampled fine-grained eolian and colluvial sediment for luminescence dating. The trenches yielded a stratigraphic assemblage composed of moderately coarse-grained fluvial and debris-flow deposits and discrete colluvial wedges associated with three faulting events (P1, P2, and P3). About one-half of the net vertical displacement is accommodated by monoclinal tilting of fan deposits on the hanging-wall block, possibly related to massive ductile landslide deposits that are present beneath the Willow Creek fan. The timing of the three surface-faulting events is bracketed by radiocarbon dates and results in a much different fault chronology and higher slip rates than previously considered for this segment of the Wasatch fault zone.

  4. Extreme event archived in the geological record of the Japan Trench: New results from R/V Sonne Cruise SO-251 towards establishing J-TRACK paleoseismology

    NASA Astrophysics Data System (ADS)

    Strasser, Michael; Kopf, Achim; Kanamatsu, Toshyia; Moernaut, Jasper; Ikehara, Ken; McHugh, Cecila

    2017-04-01

    Our perspective of subduction zonés earthquake magnitude and recurrence is limited by short historical records. Examining prehistoric extreme events preserved in the geological record is essential towards understanding large earthquakes and assessing the geohazard potential associated with such rare events. The research field of "subaquatic paleoseismology" is a promising approach to investigate deposits from the deep sea, where earthquakes leave traces preserved in stratigraphic succession. However, at present we lack comprehensive data set that allow conclusive distinctions between quality and completeness of the paleoseismic archives as they may relate to different sediment transport, erosion and deposition processes vs. variability of intrinsic seismogenic behavior across different segments. Initially building on what sedimentary deposits were generated from the 2011 Magnitude 9 Tohoku-oki earthquake, the Japan Trench is a promising study area to investigate earthquake-triggered sediment remobilization processes and how they become embedded in the stratigraphic record. Here we present new results from the recent R/V Sonne expedition SO251 that acquired a complete high-resolution bathymetric map of the trench axis and nearly 2000 km of subbottom Parasound profiles, covering the entire along-strike extent of the Japan Trench from 36° to 40.3° N, and groundtruthed by several nearly 10m long piston cores retrieved from the very deep waters (7 to 8 km below sea level): Several smaller submarine landslide (up to several 100's m of lateral extent) can be identified along the trench axis in the new bathymetric data set. These features were either not yet present, or not resolved in the lower-resolution bathymetric dataset acquired before 2011. Sub-bottom acoustic reflection data reveals striking, up to several meter thick, acoustically transparent bodies interbedded in the otherwise parallel reflection pattern of the trench fill basins, providing a temporal and

  5. Evaluation of soil manipulation to prepare engineered earthen waste covers for revegetation

    DOE PAGES

    Waugh, W. Joseph; Benson, Craig H.; Albright, William H.; ...

    2015-10-21

    Seven ripping treatments designed to improve soil physical conditions for revegetation were compared on a test pad simulating an earthen cover for a waste disposal cell. The field test was part of study of methods to convert compacted-soil waste covers into evapotranspiration covers. The test pad consisted of a compacted layer of fine-textured soil simulating a barrier protection layer overlain by a gravelly sand bedding layer and a cobble armor layer. Treatments included combinations of soil-ripping implements (conventional shank [CS], wing-tipped shank [WTS], and parabolic oscillating shank with wings [POS]), ripping depths, and number of passes. Dimensions, dry density, moisturemore » content, and particle size distribution of disturbance zones were determined in two trenches excavated across rip rows. The goal was to create a root-zone dry density between 1.2 and 1.6 Mg m-3 and a seedbed soil texture ranging from clay loam to sandy loam with low rock content. All treatments created V-shaped disturbance zones as measured on trench faces. Disturbance zone size was most influenced by ripping depth. Winged implements created larger disturbance zones. All treatments lifted fines into the bedding layer, moved gravel and cobble down into the fine-textured protection layer, and thereby disrupted the capillary barrier at the interface. Changes in dry density within disturbance zones were comparable for the CS and WTS treatments but were highly variable among POS treatments. Water content increased in the bedding layer and decreased in the protection layer after ripping. The POS at 1.2-m depth and two passes created the largest zone with a low dry density (1.24 Mg m-3) and the most favorable seedbed soil texture (gravely silt loam). Furthermore, ripping also created large soil aggregates and voids in the protection layer that may produce preferential flow paths and reduce water storage capacity.« less

  6. Trench Logs and Scarp Data from an Investigation of the Steens Fault Zone, Bog Hot Valley and Pueblo Valley, Humboldt County, Nevada

    USGS Publications Warehouse

    Personius, Stephen F.; Crone, Anthony J.; Machette, Michael N.; Kyung, Jai Bok; Cisneros, Hector; Lidke, David J.; Mahan, Shannon

    2006-01-01

    Introduction: This report contains field and laboratory data from a study of the Steens fault zone near Denio, Nev. The 200-km-long Steens fault zone forms the longest, most topographically prominent fault-bounded escarpment in the Basin and Range of southern Oregon and northern Nevada. The down-to-the-east normal fault is marked by Holocene fault scarps along nearly half its length, including the southern one-third of the fault from the vicinity of Pueblo Mountain in southern Oregon to the southern margin of Bog Hot Valley (BHV) southwest of Denio, Nev. We studied this section of the fault to better constrain late Quaternary slip rates, which we hope to compare to deformation rates derived from a recently established geodetic network in the region (Hammond and Thatcher, 2005). We excavated a trench in May 2003 across one of a series of right-stepping fault scarps that extend south from the southern end of the Pueblo Mountains and traverse the floor of Bog Hot Valley, about 4 km south of Nevada State Highway 140. This site was chosen because of the presence of well-preserved fault scarps, their development on lacustrine deposits thought to be suitable for luminescence dating, and the proximity of two geodetic stations that straddle the fault zone. We excavated a second trench in the southern BHV, but the fault zone in this trench collapsed during excavation and thus no information about fault history was documented from this site. We also excavated a soil pit on a lacustrine barrier bar in the southern Pueblo Valley (PV) to better constrain the age of lacustrine deposits exposed in the trench. The purpose of this report is to present photomosaics and trench logs, scarp profiles and slip data, soils data, luminescence and radiocarbon ages, and unit descriptions obtained during this investigation. We do not attempt to use the data presented herein to construct a paleoseismic history of this part of the Steens fault zone; that history will be the subject of a future

  7. Using fuzzy logic analysis for siting decisions of infiltration trenches for highway runoff control.

    PubMed

    Ki, Seo Jin; Ray, Chittaranjan

    2014-09-15

    Determining optimal locations for best management practices (BMPs), including their field considerations and limitations, plays an important role for effective stormwater management. However, these issues have been often overlooked in modeling studies that focused on downstream water quality benefits. This study illustrates the methodology of locating infiltration trenches at suitable locations from spatial overlay analyses which combine multiple layers that address different aspects of field application into a composite map. Using seven thematic layers for each analysis, fuzzy logic was employed to develop a site suitability map for infiltration trenches, whereas the DRASTIC method was used to produce a groundwater vulnerability map on the island of Oahu, Hawaii, USA. In addition, the analytic hierarchy process (AHP), one of the most popular overlay analyses, was used for comparison to fuzzy logic. The results showed that the AHP and fuzzy logic methods developed significantly different index maps in terms of best locations and suitability scores. Specifically, the AHP method provided a maximum level of site suitability due to its inherent aggregation approach of all input layers in a linear equation. The most eligible areas in locating infiltration trenches were determined from the superposition of the site suitability and groundwater vulnerability maps using the fuzzy AND operator. The resulting map successfully balanced qualification criteria for a low risk of groundwater contamination and the best BMP site selection. The results of the sensitivity analysis showed that the suitability scores were strongly affected by the algorithms embedded in fuzzy logic; therefore, caution is recommended with their use in overlay analysis. Accordingly, this study demonstrates that the fuzzy logic analysis can not only be used to improve spatial decision quality along with other overlay approaches, but also is combined with general water quality models for initial and refined

  8. Reprocessing and Interpretation of Vintage Seismic Reflection Data: Evidence for the Tectonic History of the Rocky Mountain Trench, Northwest Montana.

    NASA Astrophysics Data System (ADS)

    Porter, M.; Speece, M. A.; Rutherford, B. S.; Constenius, K. N.

    2014-12-01

    In 1983 Techno, Inc. collected five seismic reflection profiles in the region between Whitefish, Montana and the United States-Canada border. The poulter method was used to gather four of these profiles and one profile was collected using a vibroseis source. We are currently reprocessing these data in order to construct a regional geological interpretation. The profiles cover a key position in the hinterland of the Cordillera in the lee of the Lewis thrust salient where the east-northeast verging Lewis thrust fault system translated (horizontal displacement >100 km) and inverted a thick, strong slab of primarily Belt-Purcell rocks out of a deep Precambrian depositional basin onto a cratonic platform. In this event, Belt-Purcell rocks were thrust over complexly imbricated Phanerozoic strata in the foreland. Late Mesozoic compressional deformation was followed by Cenozoic extensional collapse of the over-thickened Cordillera and subsequent basin and range style deformation that produced an array of northwest trending grabens. Three of the seismic profiles cross the Rocky Mountain Trench; the Trench is a linear structure of regional dimension that is an expression of the extensional fragmentation of the Cordillera. Strong reflections, interpreted as sills encased within Lower Belt rocks (encountered in the Arco-Marathon 1 Paul Gibbs borehole), outline the complexly folded and faulted structure of the eastern limb of the Purcell anticlinorium. East of the Rocky Mountain Trench stratified reflections within Belt rocks clearly outline the Wigwam Thrust. Beneath the Whitefish Range, an apparent inflection in the strongly reflective basal Cambrian veneer marks the westerly increase in dip of the Rocky Mountain Basal Detachment. The dip contrast between the foreland and hinterland might be a manifestation of the tectonic loading of the Belt basin margin and the loading might have localized extension across the Rocky Mountain Trench.

  9. Physiological IgM Class Catalytic Antibodies Selective for Transthyretin Amyloid*

    PubMed Central

    Planque, Stephanie A.; Nishiyama, Yasuhiro; Hara, Mariko; Sonoda, Sari; Murphy, Sarah K.; Watanabe, Kenji; Mitsuda, Yukie; Brown, Eric L.; Massey, Richard J.; Primmer, Stanley R.; O'Nuallain, Brian; Paul, Sudhir

    2014-01-01

    Peptide bond-hydrolyzing catalytic antibodies (catabodies) could degrade toxic proteins, but acquired immunity principles have not provided evidence for beneficial catabodies. Transthyretin (TTR) forms misfolded β-sheet aggregates responsible for age-associated amyloidosis. We describe nucleophilic catabodies from healthy humans without amyloidosis that degraded misfolded TTR (misTTR) without reactivity to the physiological tetrameric TTR (phyTTR). IgM class B cell receptors specifically recognized the electrophilic analog of misTTR but not phyTTR. IgM but not IgG class antibodies hydrolyzed the particulate and soluble misTTR species. No misTTR-IgM binding was detected. The IgMs accounted for essentially all of the misTTR hydrolytic activity of unfractionated human serum. The IgMs did not degrade non-amyloidogenic, non-superantigenic proteins. Individual monoclonal IgMs (mIgMs) expressed variable misTTR hydrolytic rates and differing oligoreactivity directed to amyloid β peptide and microbial superantigen proteins. A subset of the mIgMs was monoreactive for misTTR. Excess misTTR was dissolved by a hydrolytic mIgM. The studies reveal a novel antibody property, the innate ability of IgMs to selectively degrade and dissolve toxic misTTR species as a first line immune function. PMID:24648510

  10. Soil-water movement under natural-site and waste-site conditions: A multiple-year field study in the Mojave Desert, Nevada

    USGS Publications Warehouse

    Andraski, Brian J.

    1997-01-01

    Soil-water movement under natural-site and simulated waste-site conditions were compared by monitoring four experimental sites in the Mojave Desert, Nevada, during a 5-year period: one vegetated soil profile, one soil profile where vegetation was removed, and two nonvegetated test trenches. Precipitation ranged from 14 to 162 mm/yr. Temporal changes in water content measured by neutron probe were limited to the upper 0.5–1 m; values ranged from 0.01 to 0.19 m3/m3. Water potential and temperature were measured by thermocouple psychrometers; 77% remained operable for ≥4.5 years. For vegetated soil, precipitation that accumulated in the upper 0.75 m of soil was removed by evapotranspiration: water potentials decreased seasonally by 4 to >8 MPa. During 2 years with below-average precipitation, water potentials below the app arent root zone decreased by 2.3 (1.2-m depth) to 0.4 MPa (5-m depth), and the gradients became predominantly upward. Water potentials then rebounded during 2 years with near- and above-average precipitation, and seasonally variant water potential gradients were reestablished above the 4.2-m depth. Under nonvegetated waste-site conditions, data indicated the long-term accumulation and shallow, but continued, penetration of precipitation: water potentials showed moisture penetration to depths of 0.75−1.85 m. The method of simulated-waste drum placement (stacked versus random) and the associated differences in subsidence showed no measurable influence on the water balance of the trenches: subsidence totaled ≤13 mm during the study. Water potentials below the trenches and below the 2-m depth for the nonvegetated soil remained low (≈−5.5 to −7.5 MPa) and indicated the persistence of typically upward driving forces for isothermal water flow. Water fluxes estimated from water potential and temperature data suggested that isothermal liquid, isothermal vapor, and nonisothermal vapor flow need to be considered in the conceptualization of

  11. A review of hydrologic and geologic conditions related to the radioactive solid-waste burial grounds at Oak Ridge National Laboratory, Tennessee

    USGS Publications Warehouse

    Webster, D.A.

    1976-01-01

    factors. For the most part, the burial grounds have been developed by a simple cut and fill procedure similar to the operation of a municipal landfill. Low permeability of the residuum, high rainfall, shallow depth to ground water, the excavation of trenches below the water table, and other practices, have contributed to a condition of waste leaching in probably all of the burial grounds. Despite these conditions, only very small concentrations of radionuclides have been found in wells or otherwise attributed to the initial three, small sites in Bethel Valley. This fact, however, may be due in part to the scant extent of site monitoring of those burial grounds for transport of radionuclides in ground water, and to the discharge of liquid radioactive waste to the drainage in concentrations that probably would have masked the presence of contaminants derived from these burial grounds. In comparison to the Bethel Valley sites, larger amounts of radioactive contaminants have been found in wells, seeps, trench overflow, and the drainages that drain Burial Grounds 4 and 5 in Melton Valley. The movement of radionuclides from the trenches to the drainages show that the latter sites are not suitable for the retention of all contaminants under existing conditions, and invalidates the operational concept of long-term or permanent retention of all radionuclides in the geologic environment. The transport of many radioactive ions leached from the waste has been retarded by the very high sorptive and ion exchange capacity of the residuum with which the radionuclides have had contact. Not all radionuclides, though, will be retained in the subsurface by adsorption, absorption, or ion exchange. Among those radioactive contaminants that may be problematical with respect to trench burial at Oak Ridge are tritium and other negatively-charged nuclides, positively-charged radionuclides included in some of the complexed molecules, radioactive ions that have chemical properties si

  12. Demonstration of geophysical methods for burial ground geophysical characterization study at the DOE Savannah River site

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hasbrouck, J.C.; MacLean, H.D.; Geotech, R.

    1996-11-01

    Rust Geotech, operating contractor at the U.S. Department of Energy Grand Junction Projects Office (DOE-GJPO), conducted a demonstration of the trench boundary and large-object location capabilities of five nonintrusive geophysical methods in the Low-Level Radioactive Waste Disposal Facility (LLRWDF) at the DOE Savannah River Site (SRS). The plan for Resource Conservation and Recovery Act (RCRA) closure of the SRS LLRWDF specifies inplace compaction of {open_quotes}B-25{close_quotes} metal boxes containing low-level radioactive wastes. The boxes are buried in Engineered Low-Level Trenches (ELLTs) at the facility. To properly guide and control the compaction operation, the coordinates of the trench boundaries must be determinedmore » to an accuracy within 5 feet and the outer edges of the metal boxes in the trenches must be determined to within 2 feet.« less

  13. Evaluation and analysis of current compaction methods for FDOT pipe trench backfills in areas of high water tables

    DOT National Transportation Integrated Search

    1999-01-01

    This research project was undertaken to examine the practicality and adequacy of the FDOT specifications regarding compaction methods for pipe trench backfills under high water table. Given the difficulty to determine density and to attain desired de...

  14. TANK 18-F AND 19-F TANK FILL GROUT SCALE UP TEST SUMMARY

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stefanko, D.; Langton, C.

    2012-01-03

    High-level waste (HLW) tanks 18-F and 19-F have been isolated from FTF facilities. To complete operational closure the tanks will be filled with grout for the purpose of: (1) physically stabilizing the tanks, (2) limiting/eliminating vertical pathways to residual waste, (3) entombing waste removal equipment, (4) discouraging future intrusion, and (5) providing an alkaline, chemical reducing environment within the closure boundary to control speciation and solubility of select radionuclides. This report documents the results of a four cubic yard bulk fill scale up test on the grout formulation recommended for filling Tanks 18-F and 19-F. Details of the scale upmore » test are provided in a Test Plan. The work was authorized under a Technical Task Request (TTR), HLE-TTR-2011-008, and was performed according to Task Technical and Quality Assurance Plan (TTQAP), SRNL-RP-2011-00587. The bulk fill scale up test described in this report was intended to demonstrate proportioning, mixing, and transportation, of material produced in a full scale ready mix concrete batch plant. In addition, the material produced for the scale up test was characterized with respect to fresh properties, thermal properties, and compressive strength as a function of curing time.« less

  15. Arc magmatism and mineralization in North Luzon and its relationship to subduction at the East Luzon and North Manila Trenches

    NASA Astrophysics Data System (ADS)

    Wolfe, John A.

    The Tertiary tectonics of North Luzon are complicated by an early thermotectonic regime in the Eocene (40-50 Ma) and the second from 30 to 17 Ma in the Oligocene resulting from subduction in the East Luzon Trench. The second stage coincided with the opening of the South China Sea on the west side of the Philippines. Portions of the western Philippines were translated south from China by the opening of the South China Sea. This includes Mindoro Island, Palawan and the Reed Bank area. No one has presented any evidence that any oceanic crust existed between early Luzon and China prior to opening of the South China Sea. After spreading of the South China Sea ceased, China began to extrude eastward and coupled with the oceanic crust of the South China Sea initiated subduction in the North Manila trench under Luzon at about 17 Ma. Commencing at approximately 15 Ma a graben formed east of the Manila Trench, centered in Baguio City. It contained the volcanic arc which began to develop as the Agno batholith intruded the graben. The graben extends for at least 75 km on the southwest flank of the Cordillera with relayed extensions into the Cordillera. Porphyry copper mineralization developed within the graben from 10 to 8 Ma interrupted by the explosion of a caldera or volcano tectonic depression extending south of Baguio. This graben contains 22 porphyry copper bodies, some of them uneconomic. Described by Gervasio as a "crackle zone", the same zone was described by Fernandez and Damasco as the area most favorable for gold exploration. The second period of mineralization was imposed on the district from 4 to 3 Ma. Gold mineralization in the Baguio district constituted a third phase of mineralization in the Pleistocene. Absence of commercial mineralization in the Cordillera and Sierra Madre correlated with the Paleogene is one of the criteria for distinguishing between the subduction related to the South China Sea and that related to the Philippine Sea on the east. One of the

  16. An application of synthetic seismicity in earthquake statistics - The Middle America Trench

    NASA Technical Reports Server (NTRS)

    Ward, Steven N.

    1992-01-01

    The way in which seismicity calculations which are based on the concept of fault segmentation incorporate the physics of faulting through static dislocation theory can improve earthquake recurrence statistics and hone the probabilities of hazard is shown. For the Middle America Trench, the spread parameters of the best-fitting lognormal or Weibull distributions (about 0.75) are much larger than the 0.21 intrinsic spread proposed in the Nishenko Buland (1987) hypothesis. Stress interaction between fault segments disrupts time or slip predictability and causes earthquake recurrence to be far more aperiodic than has been suggested.

  17. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cummins, G.D.

    This request is submitted to seek interim approval to operate a Toxic Substances Control Act (TSCA) of 1976 chemical waste landfill for the disposal of polychlorinated biphenyl (PCB) waste. Operation of a chemical waste landfill for disposal of PCB waste is subject to the TSCA regulations of 40 CFR 761. Interim approval is requested for a period not to exceed 5 years from the date of approval. This request covers only the disposal of small 10 quantities of solid PCB waste contained in decommissioned, defueled submarine reactor compartments (SRC). In addition, the request applies only to disposal 12 of thismore » waste in Trench 94 of the 218-E-12B Burial Ground (Trench 94) in the 13 200 East Area of the US Department of Energy`s (DOE) Hanford Facility. Disposal of this waste will be conducted in accordance with the Compliance 15 Agreement (Appendix H) between the DOE Richland Operations Office (DOE-RL) and 16 the US Environmental Protection Agency (EPA), Region 10. During the 5-year interim approval period, the DOE-RL will submit an application seeking final 18 approval for operation of Trench 94 as a chemical waste landfill, including 19 any necessary waivers, and also will seek a final dangerous waste permit from 20 the Washington State Department of Ecology (Ecology) for disposal of lead 21 shielding contained in the SRCS.« less

  18. Crustal origin of trench-parallel shear-wave fast polarizations in the Central Andes

    NASA Astrophysics Data System (ADS)

    Wölbern, I.; Löbl, U.; Rümpker, G.

    2014-04-01

    In this study, SKS and local S phases are analyzed to investigate variations of shear-wave splitting parameters along two dense seismic profiles across the central Andean Altiplano and Puna plateaus. In contrast to previous observations, the vast majority of the measurements reveal fast polarizations sub-parallel to the subduction direction of the Nazca plate with delay times between 0.3 and 1.2 s. Local phases show larger variations of fast polarizations and exhibit delay times ranging between 0.1 and 1.1 s. Two 70 km and 100 km wide sections along the Altiplano profile exhibit larger delay times and are characterized by fast polarizations oriented sub-parallel to major fault zones. Based on finite-difference wavefield calculations for anisotropic subduction zone models we demonstrate that the observations are best explained by fossil slab anisotropy with fast symmetry axes oriented sub-parallel to the slab movement in combination with a significant component of crustal anisotropy of nearly trench-parallel fast-axis orientation. From the modeling we exclude a sub-lithospheric origin of the observed strong anomalies due to the short-scale variations of the fast polarizations. Instead, our results indicate that anisotropy in the Central Andes generally reflects the direction of plate motion while the observed trench-parallel fast polarizations likely originate in the continental crust above the subducting slab.

  19. GPS measurement of relative motion of the Cocos and Caribbean Plates and strain accumulation across the Middle America Trench

    NASA Astrophysics Data System (ADS)

    Dixon, Timothy H.

    1993-10-01

    Global Positioning System (GPS) measurements in 1988 and 1991 on Cocos Island (Cocos plate), San Andres Island (Caribbean plate), and Liberia (Caribbean plate, mainland Costa Rica) provide an estimate of relative motion between the Cocos and Caribbean plates. The data for Cocos and San Andres Islands, both located more than 400 km from the Middle America Trench, define a velocity that is equivalent within two standard errors (7 mm/yr rate, 5 degrees azimuth) to the NUVEL-1 plate motion model. The data for Liberia, 120 km from the trench, define a velocity that is similar in azimuth but substantially different in rate from NUVEL-1. The discrepancy can be explained with a simple model of elastic strain accumulation with a subduction zone that is locked to a relatively shallow (20±5 km) depth.

  20. Quantification of the Thermodynamically Linked Quaternary and Tertiary Structural Stabilities of Transthyretin and its Disease-Associated Variants–the Relationship between Stability and Amyloidosis†

    PubMed Central

    Hurshman Babbes, Amy R.; Powers, Evan T.; Kelly, Jeffery W.

    2009-01-01

    Urea denaturation studies were carried out as a function of transthyretin (TTR) concentration to quantify the thermodynamically linked quaternary and tertiary structural stability and to better understand the relationship between mutant folding energetics and amyloid disease phenotype. Urea denaturation of TTR involves at least two equilibria—dissociation of tetramers into folded monomers, and monomer unfolding. To deal with the thermodynamic linkage of these equilibria, we analyzed concentration-dependent denaturation data by global fitting to an equation that simultaneously accounts for the two-step denaturation process. Using this method, the quaternary and tertiary structural stabilities of well-behaved TTR sequences, wild type (WT) TTR and the disease-associated variant V122I, were scrutinized. The V122I variant is linked to late onset familial amyloid cardiomyopathy, the most common familial TTR amyloid disease. V122I TTR exhibits a destabilized quaternary structure and a stable tertiary structure relative to WT TTR. Three other variants of TTR were also examined, L55P, V30M, and A25T TTR. The L55P mutation is associated with the most aggressive familial TTR amyloid disease. L55P TTR has a complicated denaturation pathway that includes dimers and trimers, and so globally fitting its concentration-dependent urea denaturation data yielded error-laden estimates of stability parameters. Nevertheless, it is clear that L55P TTR is substantially less stable than WT TTR, primarily because its tertiary structure is unstable, although its quaternary structure is destabilized as well. V30M is the most common mutation associated with neuropathic forms of TTR amyloid disease. V30M TTR is certainly destabilized relative to WT TTR, but like L55P TTR it has a complex denaturation pathway that cannot be fit to the aforementioned two-step denaturation model. Literature data suggest that V30M TTR has stable quaternary structure but unstable tertiary structure. The A25T mutant

  1. Metrology of deep trench etched memory structures using 3D scatterometry

    NASA Astrophysics Data System (ADS)

    Reinig, Peter; Dost, Rene; Moert, Manfred; Hingst, Thomas; Mantz, Ulrich; Moffitt, Jasen; Shakya, Sushil; Raymond, Christopher J.; Littau, Mike

    2005-05-01

    Scatterometry is receiving considerable attention as an emerging optical metrology in the silicon industry. One area of progress in deploying these powerful measurements in process control is performing measurements on real device structures, as opposed to limiting scatterometry measurements to periodic structures, such as line-space gratings, placed in the wafer scribe. In this work we will discuss applications of 3D scatterometry to the measurement of advanced trench memory devices. This is a challenging and complex scatterometry application that requires exceptionally high-performance computational abilities. In order to represent the physical device, the relatively tall structures require a high number of slices in the rigorous coupled wave analysis (RCWA) theoretical model. This is complicated further by the presence of an amorphous silicon hard mask on the surface, which is highly sensitive to reflectance scattering and therefore needs to be modeled in detail. The overall structure is comprised of several layers, with the trenches presenting a complex bow-shape sidewall that must be measured. Finally, the double periodicity in the structures demands significantly greater computational capabilities. Our results demonstrate that angular scatterometry is sensitive to the key parameters of interest. The influence of further model parameters and parameter cross correlations have to be carefully taken into account. Profile results obtained by non-library optimization methods compare favorably with cross-section SEM images. Generating a model library suitable for process control, which is preferred for precision, presents numerical throughput challenges. Details will be discussed regarding library generation approaches and strategies for reducing the numerical overhead. Scatterometry and SEM results will be compared, leading to conclusions about the feasibility of this advanced application.

  2. Repositioning tolcapone as a potent inhibitor of transthyretin amyloidogenesis and associated cellular toxicity

    PubMed Central

    Sant'Anna, Ricardo; Gallego, Pablo; Robinson, Lei Z.; Pereira-Henriques, Alda; Ferreira, Nelson; Pinheiro, Francisca; Esperante, Sebastian; Pallares, Irantzu; Huertas, Oscar; Rosário Almeida, Maria; Reixach, Natàlia; Insa, Raul; Velazquez-Campoy, Adrian; Reverter, David; Reig, Núria; Ventura, Salvador

    2016-01-01

    Transthyretin (TTR) is a plasma homotetrameric protein implicated in fatal systemic amyloidoses. TTR tetramer dissociation precedes pathological TTR aggregation. Native state stabilizers are promising drugs to treat TTR amyloidoses. Here we repurpose tolcapone, an FDA-approved molecule for Parkinson's disease, as a potent TTR aggregation inhibitor. Tolcapone binds specifically to TTR in human plasma, stabilizes the native tetramer in vivo in mice and humans and inhibits TTR cytotoxicity. Crystal structures of tolcapone bound to wild-type TTR and to the V122I cardiomyopathy-associated variant show that it docks better into the TTR T4 pocket than tafamidis, so far the only drug on the market to treat TTR amyloidoses. These data indicate that tolcapone, already in clinical trials for familial amyloid polyneuropathy, is a strong candidate for therapeutic intervention in these diseases, including those affecting the central nervous system, for which no small-molecule therapy exists. PMID:26902880

  3. Assessing earthquake hazards with fault trench and LiDAR maps in the Puget Lowland, Washington, USA (Invited)

    NASA Astrophysics Data System (ADS)

    Nelson, A. R.; Bradley, L.; Personius, S. F.; Johnson, S. Y.

    2010-12-01

    Deciphering the earthquake histories of faults over the past few thousands of years in tectonically complex forearc regions relies on detailed site-specific as well as regional geologic maps. Here we present examples of site-specific USGS maps used to reconstruct earthquake histories for faults in the Puget Lowland. Near-surface faults and folds in the Puget Lowland accommodate 4-7 mm/yr of north-south shortening resulting from northward migration of forearc blocks along the Cascadia convergent margin. The shortening has produced east-trending uplifts, basins, and associated reverse faults that traverse urban areas. Near the eastern and northern flanks of the Olympic Mountains, complex interactions between north-south shortening and mountain uplift are reflected by normal, oblique-slip, and reverse surface faults. Holocene oblique-slip movement has also been mapped on Whidbey Island and on faults in the foothills of the Cascade Mountains in the northeastern lowland. The close proximity of lowland faults to urban areas may pose a greater earthquake hazard there than do much longer but more distant plate-boundary faults. LiDAR imagery of the densely forested lowland flown over the past 12 years revealed many previously unknown 0.5-m to 6-m-high scarps showing Holocene movement on upper-plate faults. This imagery uses two-way traveltimes of laser light pulses to detect as little as 0.2 m of relative relief on the forest floor. The returns of laser pulses with the longest travel times yield digital elevation models of the ground surface, which we vertically exaggerate and digitally shade from multiple directions at variable transparencies to enhance identification of scarps. Our maps include imagery at scales of 1:40,000 to 1:2500 with contour spacings of 100 m to 0.5 m. Maps of the vertical walls of fault-scarp trenches show complex stratigraphies and structural relations used to decipher the histories of large surface-rupturing earthquakes. These logs (field mapping

  4. Neuropathy in non-freezing cold injury (trench foot).

    PubMed Central

    Irwin, M S; Sanders, R; Green, C J; Terenghi, G

    1997-01-01

    Non-freezing cold injury (trench foot) is characterized, in severe cases, by peripheral nerve damage and tissue necrosis. Controversy exists regarding the susceptibility of nerve fibre populations to injury as well as the mechanism of injury. Clinical and histological studies (n = 2) were conducted in a 40-year-old man with severe non-freezing cold injury in both feet. Clinical sensory tests, including two-point discrimination and pressure, vibration and thermal thresholds, indicated damage to large and small diameter nerves. On immunohistochemical assessment, terminal cutaneous nerve fibres within the plantar skin stained much less than in a normal control whereas staining to von Willebrand factor pointed to increased vascularity in all areas. The results indicate that all nerve populations (myelinated and unmyelinated) were damaged, possibly in a cycle of ischaemia and reperfusion. Images Figure 1 a Figure 1 b Figure 2 a Figure 2 b Figure 3 a Figure 3 b PMID:9306996

  5. The Impact of the Shallow-Trench Isolation Effect on Flicker Noise of Source Follower MOSFETs in a CMOS Image Sensor.

    PubMed

    Fan, C C; Chiu, Y C; Liu, C; Lai, W W; Cheng, C H; Lin, D L; Li, G R; Lo, Y H; Chang, C W; Tsai, C C; Chang, C Y

    2018-06-01

    The flicker noise of source follower transistors is the dominant noise source in image sensors. This paper reports a systematic study of the shallow trench isolation effect in transistors with different sizes under high temperature conditions that correspond to the quantity of empty defect sites. The effects of shallow trench isolation sidewall defects on flicker noise characteristics are investigated. In addition, the low-frequency noise and subthreshold swing degrade simultaneously in accordance to the device gate width scaling. Both serious subthreshold leakage and considerable noise can be attributed to the high trap density near the STI edge. Consequently, we propose a coincidental relationship between the noise level and the subthreshold characteristic; its trend is identical to the experiments and simulation results.

  6. Using Paleoseismic Trenching and LiDAR Analysis to Evaluate Rupture Propagation Through Segment Boundaries of the Central Wasatch Fault Zone, Utah

    NASA Astrophysics Data System (ADS)

    Bennett, S. E. K.; DuRoss, C. B.; Reitman, N. G.; Devore, J. R.; Hiscock, A.; Gold, R. D.; Briggs, R. W.; Personius, S. F.

    2014-12-01

    Paleoseismic data near fault segment boundaries constrain the extent of past surface ruptures and the persistence of rupture termination at segment boundaries. Paleoseismic evidence for large (M≥7.0) earthquakes on the central Holocene-active fault segments of the 350-km-long Wasatch fault zone (WFZ) generally supports single-segment ruptures but also permits multi-segment rupture scenarios. The extent and frequency of ruptures that span segment boundaries remains poorly known, adding uncertainty to seismic hazard models for this populated region of Utah. To address these uncertainties we conducted four paleoseismic investigations near the Salt Lake City-Provo and Provo-Nephi segment boundaries of the WFZ. We examined an exposure of the WFZ at Maple Canyon (Woodland Hills, UT) and excavated the Flat Canyon trench (Salem, UT), 7 and 11 km, respectively, from the southern tip of the Provo segment. We document evidence for at least five earthquakes at Maple Canyon and four to seven earthquakes that post-date mid-Holocene fan deposits at Flat Canyon. These earthquake chronologies will be compared to seven earthquakes observed in previous trenches on the northern Nephi segment to assess rupture correlation across the Provo-Nephi segment boundary. To assess rupture correlation across the Salt Lake City-Provo segment boundary we excavated the Alpine trench (Alpine, UT), 1 km from the northern tip of the Provo segment, and the Corner Canyon trench (Draper, UT) 1 km from the southern tip of the Salt Lake City segment. We document evidence for six earthquakes at both sites. Ongoing geochronologic analysis (14C, optically stimulated luminescence) will constrain earthquake chronologies and help identify through-going ruptures across these segment boundaries. Analysis of new high-resolution (0.5m) airborne LiDAR along the entire WFZ will quantify latest Quaternary displacements and slip rates and document spatial and temporal slip patterns near fault segment boundaries.

  7. Spatio-temporal Variations of Characteristic Repeating Earthquake Sequences along the Middle America Trench in Mexico

    NASA Astrophysics Data System (ADS)

    Dominguez, L. A.; Taira, T.; Hjorleifsdottir, V.; Santoyo, M. A.

    2015-12-01

    Repeating earthquake sequences are sets of events that are thought to rupture the same area on the plate interface and thus provide nearly identical waveforms. We systematically analyzed seismic records from 2001 through 2014 to identify repeating earthquakes with highly correlated waveforms occurring along the subduction zone of the Cocos plate. Using the correlation coefficient (cc) and spectral coherency (coh) of the vertical components as selection criteria, we found a set of 214 sequences whose waveforms exceed cc≥95% and coh≥95%. Spatial clustering along the trench shows large variations in repeating earthquakes activity. Particularly, the rupture zone of the M8.1, 1985 earthquake shows an almost absence of characteristic repeating earthquakes, whereas the Guerrero Gap zone and the segment of the trench close to the Guerrero-Oaxaca border shows a significantly larger number of repeating earthquakes sequences. Furthermore, temporal variations associated to stress changes due to major shows episodes of unlocking and healing of the interface. Understanding the different components that control the location and recurrence time of characteristic repeating sequences is a key factor to pinpoint areas where large megathrust earthquakes may nucleate and consequently to improve the seismic hazard assessment.

  8. The application of magnetic gradiometry and electromagnetic induction at a former radioactive waste disposal site.

    PubMed

    Rucker, Dale Franklin

    2010-04-01

    A former radioactive waste disposal site is surveyed with two non-intrusive geophysical techniques, including magnetic gradiometry and electromagnetic induction. Data were gathered over the site by towing the geophysical equipment mounted to a non-electrically conductive and non-magnetic fibre-glass cart. Magnetic gradiometry, which detects the location of ferromagnetic material, including iron and steel, was used to map the existence of a previously unknown buried pipeline formerly used in the delivery of liquid waste to a number of surface disposal trenches and concrete vaults. The existence of a possible pipeline is reinforced by historical engineering drawing and photographs. The electromagnetic induction (EMI) technique was used to map areas of high and low electrical conductivity, which coincide with the magnetic gradiometry data. The EMI also provided information on areas of high electrical conductivity unrelated to a pipeline network. Both data sets demonstrate the usefulness of surface geophysical surveillance techniques to minimize the risk of exposure in the event of future remediation efforts.

  9. Subduction erosion and implication for evolution model of the Yap trench: new evidence from the latest geophysical survey

    NASA Astrophysics Data System (ADS)

    Zhang, Z.; Dong, D.; Bai, Y.; Zhang, G.

    2017-12-01

    The subduction of oceanic plateau, including the ridge and seamount, with buoyant feature will lead to the occurrence of subduction erosion. Yap Trench is a unique structure related to the Caroline Ridge subduction, but with lower research degree. Previous studies lacked the investigation of crustal structure and subduction erosion model based on integrated geophysical data in Yap Trench. In 2015, Institute of Oceanology, Chinese Academy of Sciences acquired swath bathymetric, multi-channel seismic and gravity data by research vessel "Kexue" in the Yap Trench and its adjacent area, providing the chance to further explore this subduction system. For this research, we mainly used the latest data to analyze the topography and crustal structure in Yap subduction system, which is significant for the construction of subduction erosion model. We reveal that, (1) The mean value of arc-ward slope is 8° according with bathymetric slope features in erosive margins(>3°). The increasing trend to dip angles in arc-ward slope shows the control of subducting plate with different elevation from north to south; (2) The horst and graben structures and different scales of seamounts display the rough features in the subducting plate, facilitating the overlying Yap Arc erosion. In the front of the Yap Arc, collision scars identified on the bathymetric map indicates the seamount subducting; (3) The horst and graben structures, with thinner crust of 2-4 km thick, in subducting plate, possibly eroded the Yap Arc basement and made it thinner during subduction. The subducted seamounts with high topography underplated the Yap Arc, which uplifted and thickened the overlying plate crust. Therefore, it is suggested that. subduction erosion model is varied in Yap subduction sysytem which is affected by topography and crust structure of subducting plate. The two types of subduction erosion models in Yap Trench exhibit a good case to reveal the process and mode of plate subducting in subduction

  10. Soil, plant, and structural considerations for surface barriers in arid environments: Application of results from studies in the Mojave Desert near Beatty, Nevada

    USGS Publications Warehouse

    Andraski, Brian J.; Prudic, David E.; ,

    1997-01-01

    The suitability of a waste-burial site depends on hydrologic processes that can affect the near-surface water balance. In addition, the loss of burial trench integrity by erosion and subsidence of trench covers may increase the likelihood of infiltration and percolation, thereby reducing the effectiveness of the site in isolating waste. Although the main components of the water balance may be defined, direct measurements can be difficult, and actual data for specific locations are seldom available. A prevalent assumption is that little or no precipitation will percolate to buried wastes at an arid site. Thick unsaturated zones, which are common to arid regions, are thought to slow water movement and minimize the risk of waste migration to the underlying water table. Thus, reliance is commonly placed on the natural system to isolate contaminants at waste-burial sites in the arid West.Few data are available to test assumptions about the natural soil-water flow systems at arid sites, and even less is known about how the natural processes are altered by construction of a waste-burial facility. The lack of data is the result of technical complexity of hydraulic characterization of the dry, stony soils, and insufficient field studies that account for the extreme temporal and spatial variations in precipitation, soils, and plants in arid regions. In 1976, the U.S. Geological Survey (USGS) began a long-term study at a waste site in the Mojave Desert. This paper summarizes the findings of ongoing investigations done under natural-site and waste-burial conditions, and discusses how this information may be applied to the design of surface barriers for waste sites in arid environments.The waste-burial site is in one of the most arid parts of the United States and is about 40 km northeast of Death Valley, near Beatty, Nev. (Figure 1). Precipitation averaged 108 mm/yr during 1981-1992. The water table is 85-115 m below land surface (Fischer, 1992). Sediments are largely alluvial

  11. Harpacticoida (Crustacea, Copepoda) across a longitudinal transect of the Vema Fracture Zone and along a depth gradient in the Puerto Rico trench

    NASA Astrophysics Data System (ADS)

    Schmidt, Christina; Lins, Lidia; Brandt, Angelika

    2018-02-01

    The aim of this study was the investigation of abundance, composition and biodiversity of benthic deep-sea Harpacticoida (Crustacea, Copepoda) in the Vema Fracture Zone (VFZ) and Puerto Rico trench. The study revealed a clear East-West gradient in total abundance of Harpacticoida with a westward decrease in abundances in the VFZ and significant differences in the community composition in the Eastern (East Vema) and Western Atlantic basin (West Vema) on family and genus level. The Puerto Rico trench and its upper slope did not only differ in abundance, but were distinct with respect to community composition on family and genus level. Thus, the upper slope might be considered as an ecotone, a transition zone where a rapid distinction of species composition occurs. In our study fiarea, 837 adult harpacticoid specimens could be assigned to 16 families and 1 subfamily. The most abundant families found were Ameiridae Boeck, 1865, Pseudotachidiidae Lang, 1936 and Ectinosomatidae Sars, 1903. Genera and species were investigated within selected families (Argestidae Por, 1986, Cletodidae T. Scott, 1905, Canthocamptidae Brady, 1880 and Zosimeidae Seifried, 2003) where 11 genera, and 73 species could be discriminated. Within the selected families, the genera Zosime Boeck, 1873 and Mesocletodes Sars, 1909 were dominant. In the study area, a high number of singletons was detected, which might be endemic to the respective region. Furthermore, a low total number of species in the trench was observed which was attributed to frequent disturbances in the dynamic environment of the Puerto Rico trench (e.g. turbidites or seismic activity) and high adaptability of specialists and opportunists to these disturbances.

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carter, E.

    A new class of grout material based on molten wax offers a dramatic improvement in permeation grouting performance. This new material makes a perfect in situ containment of buried radioactive waste both feasible and cost effective. This paper describes various ways the material can be used to isolate buried waste in situ. Potential applications described in the paper include buried radioactive waste in deep trenches, deep shafts, Infiltration trenches, and large buried objects. Use of molten wax for retrieval of waste is also discussed. Wax can also be used for retrieval of air sensitive materials or drummed waste. This papermore » provides an analysis of the methods of application and the expected performance and cost of several potential projects. (authors)« less

  13. Three-dimensional imaging, change detection, and stability assessment during the centerline trench levee seepage experiment using terrestrial light detection and ranging technology, Twitchell Island, California, 2012

    USGS Publications Warehouse

    Bawden, Gerald W.; Howle, James; Bond, Sandra; Shriro, Michelle; Buck, Peter

    2014-01-01

    A full scale field seepage test was conducted on a north-south trending levee segment of a now bypassed old meander belt on Twitchell Island, California, to understand the effects of live and decaying root systems on levee seepage and slope stability. The field test in May 2012 was centered on a north-south trench with two segments: a shorter control segment and a longer seepage test segment. The complete length of the trench area measured 40.4 meters (m) near the levee centerline with mature trees located on the waterside and landside of the levee flanks. The levee was instrumented with piezometers and tensiometers to measure positive and negative porewater pressures across the levee after the trench was flooded with water and held at a constant hydraulic head during the seepage test—the results from this component of the experiment are not discussed in this report. We collected more than one billion three-dimensional light detection and ranging (lidar) data points before, during, and after the centerline seepage test to assess centimeter-scale stability of the two trees and the levee crown. During the seepage test, the waterside tree toppled (rotated 20.7 degrees) into the water. The landside tree rotated away from the levee by 5 centimeters (cm) at a height of 2 m on the tree. The paved surface of the levee crown had three regions that showed subsidence on the waterside of the trench—discussed as the northern, central, and southern features. The northern feature is an elongate region that subsided 2.1 cm over an area with an average width of 1.35 m that extends 15.8 m parallel to the trench from the northern end of the trench to just north of the trench midpoint, and is associated with a crack 1 cm in height that formed during the seepage test on the trench wall. The central subsidence feature is a semicircular region on the waterside of the trench that subsided by as much as 6.2 cm over an area 3.4 m wide and 11.2 m long. The southern feature is an elongate

  14. A Compact Trench-Assisted Multi-Orbital-Angular-Momentum Multi-Ring Fiber for Ultrahigh-Density Space-Division Multiplexing (19 Rings × 22 Modes)

    PubMed Central

    Li, Shuhui; Wang, Jian

    2014-01-01

    We present a compact (130 μm cladding diameter) trench-assisted multi-orbital-angular-momentum (OAM) multi-ring fiber with 19 rings each supporting 22 modes with 18 OAM ones. Using the high-contrast-index ring and trench designs, the trench-assisted multi-OAM multi-ring fiber (TA-MOMRF) features both low-level inter-mode crosstalk and inter-ring crosstalk within a wide wavelength range (1520 to 1630 nm), which can potentially enable Pbit/s total transmission capacity and hundreds bit/s/Hz spectral efficiency in a single TA-MOMRF. Moreover, the effective refractive index difference of even and odd fiber eigenmodes induced by the ellipticity of ring and fiber bending and their impacts on the purity of OAM mode and mode coupling/crosstalk are analyzed. It is found that high-order OAM modes show preferable tolerance to the ring ellipticity and fiber bending. The designed fiber offers favorable tolerance to both small ellipticity of ring (<−22 dB crosstalk under an ellipticity of 0.5%) and small bend radius (<−20 dB crosstalk under a bend radius of 2 cm). PMID:24458159

  15. Complex submarine landsliding processes caused by subduction of large seamounts along the Middle America Trench

    NASA Astrophysics Data System (ADS)

    Harders, Rieka; Ranero, Cesar R.; Weinrebe, Wilhelm; von Huene, Roland

    2014-05-01

    Subduction of kms-tall and tens-of-km wide seamounts cause important landsliding events at subduction zones around the word. Along the Middle America Trench, previous work based on regional swath bathymetry maps (with 100 m grids) and multichannel seismic images have shown that seamount subduction produces large-scale slumping and sliding. Some of the mass wasting event may have been catastrophic and numerical modeling has indicated that they may have produced important local tsunamis. We have re-evaluated the structure of several active submarine landlide complexes caused by large seamount subduction using side scan sonar data. The comparison of the side scan sonar data to local high-resolution bathymetry grids indicates that the backscatter data has a resolution that is somewhat similar to that produced by a 10 m bathymetry grid. Although this is an arbitrary comparison, the side scan sonar data provides comparatively much higher resolution information than the previously used regional multibeam bathymetry. We have mapped the geometry and relief of the head and side walls of the complexes, the distribution of scars and the different sediment deposits to produce a new interpretation of the modes of landsliding during subduction of large seamounts. The new higher resolution information shows that landsliding processes are considerably more complex than formerly assumed. Landslides are of notably smaller dimensions that the lower resolution data had previously appear to indicate. However, significantly large events may have occur far more often than earlier interpretations had inferred representing a more common threat that previously assumed.

  16. Oriented graphene nanoribbons embedded in hexagonal boron nitride trenches

    PubMed Central

    Chen, Lingxiu; He, Li; Wang, Hui Shan; Wang, Haomin; Tang, Shujie; Cong, Chunxiao; Xie, Hong; Li, Lei; Xia, Hui; Li, Tianxin; Wu, Tianru; Zhang, Daoli; Deng, Lianwen; Yu, Ting; Xie, Xiaoming; Jiang, Mianheng

    2017-01-01

    Graphene nanoribbons (GNRs) are ultra-narrow strips of graphene that have the potential to be used in high-performance graphene-based semiconductor electronics. However, controlled growth of GNRs on dielectric substrates remains a challenge. Here, we report the successful growth of GNRs directly on hexagonal boron nitride substrates with smooth edges and controllable widths using chemical vapour deposition. The approach is based on a type of template growth that allows for the in-plane epitaxy of mono-layered GNRs in nano-trenches on hexagonal boron nitride with edges following a zigzag direction. The embedded GNR channels show excellent electronic properties, even at room temperature. Such in-plane hetero-integration of GNRs, which is compatible with integrated circuit processing, creates a gapped channel with a width of a few benzene rings, enabling the development of digital integrated circuitry based on GNRs. PMID:28276532

  17. Environmental Acoustic Considerations for Passive Detection of Maritime Targets by Hydrophones in a Deep Ocean Trench

    DTIC Science & Technology

    2010-06-01

    Science and Technology. Available: http://cmst.curtin.edu.au/local/docs/ products / actup_v2_2l_installation_user_guide.pdf (accessed 2 June 2010...noisecurve112(:,6)); %% Intergrating Noise Level Trench A n2=0; Itot=0; phi_t=atan(D1/L1); m=1; while (phi(m,1)>phi_t) m=m+1; end

  18. A comparison of cylindrical and row trenched cooling holes with alignment angle of 0 degree near the combustor endwall

    NASA Astrophysics Data System (ADS)

    Kianpour, E.; Nor Azwadi, C. S.; Golshokouh, I.

    2013-12-01

    We studied the effects of cylindrical and row trenched cooling holes with alignment angle of 0° at BR=3.18 on the film cooling performance near the endwall surface of a combustor simulator. In this research, a three-dimensional presentation of gas turbine engine was simulated and analyzed with a commercial finite volume package FLUENT 6.2.26 to gain fundamental data. The current study has been performed with Reynolds-averaged Navier-Stokes turbulence model (RANS) on internal cooling passages. This combustor simulator combined the interaction of two rows of dilution jets, which were staggered in the stream wise direction and aligned in the span wise direction. The entire findings of the study declared that with using the row trenched holes near the enwall surface; film cooling effectiveness is doubled compared to the cooling performance of baseline case.

  19. Selective binding to transthyretin and tetramer stabilization in serum from patients with familial amyloidotic polyneuropathy by an iodinated diflunisal derivative

    PubMed Central

    2004-01-01

    In familial amyloidotic polyneuropathy, TTR (transthyretin) variants are deposited as amyloid fibrils. It is thought that this process involves TTR tetramer dissociation, which leads to partially unfolded monomers that aggregate and polymerize into amyloid fibrils. This process can be counteracted by stabilization of the tetramer. Several small compounds, such as diclofenac, diflunisal and flufenamic acid, have been reported to bind to TTR in vitro, in the T4 (thyroxine) binding channel that runs through the TTR tetramer, and consequently are considered to stabilize TTR. However, if these agents bind plasma proteins other than TTR, decreased drug availability will occur, compromising their use as therapeutic agents for TTR amyloidosis. In the present work, we compared the action of these compounds and of new derivatives designed to increase both selectivity of binding to TTR and inhibitory potency in relation to TTR amyloid fibril formation. We found two diflunisal derivatives that, in contrast with diclofenac, flufenamic acid and diflunisal, displaced T4 from TTR in plasma preferentially over binding to albumin and thyroxine binding globulin. The same diflunisal derivatives also had a stabilizing effect on TTR tetramers in plasma, as studied by isoelectric focusing of whole plasma under semi-denaturing conditions. In addition, by transmission electron microscopy, we demonstrated that, in contrast with other proposed TTR stabilizers (namely diclofenac, flufenamic acid and diflunisal), one of the diflunisal derivatives tested efficiently inhibited TTR aggregation. Taken together, our ex vivo and in vitro studies present evidence for the selectivity and efficiency of novel diflunisal derivates as TTR stabilizers and as inhibitors of fibril formation. PMID:15080795

  20. Microdose Induced Drain Leakage Effects in Power Trench MOSFETs: Experiment and Modeling

    NASA Astrophysics Data System (ADS)

    Zebrev, Gennady I.; Vatuev, Alexander S.; Useinov, Rustem G.; Emeliyanov, Vladimir V.; Anashin, Vasily S.; Gorbunov, Maxim S.; Turin, Valentin O.; Yesenkov, Kirill A.

    2014-08-01

    We study experimentally and theoretically the micro-dose induced drain-source leakage current in the trench power MOSFETs under irradiation with high-LET heavy ions. We found experimentally that cumulative increase of leakage current occurs by means of stochastic spikes corresponding to a strike of single heavy ion into the MOSFET gate oxide. We simulate this effect with the proposed analytic model allowing to describe (including Monte Carlo methods) both the deterministic (cumulative dose) and stochastic (single event) aspects of the problem. Based on this model the survival probability assessment in space heavy ion environment with high LETs was proposed.

  1. Single cells within the Puerto Rico trench suggest hadal adaptation of microbial lineages.

    PubMed

    León-Zayas, Rosa; Novotny, Mark; Podell, Sheila; Shepard, Charles M; Berkenpas, Eric; Nikolenko, Sergey; Pevzner, Pavel; Lasken, Roger S; Bartlett, Douglas H

    2015-12-01

    Hadal ecosystems are found at a depth of 6,000 m below sea level and below, occupying less than 1% of the total area of the ocean. The microbial communities and metabolic potential in these ecosystems are largely uncharacterized. Here, we present four single amplified genomes (SAGs) obtained from 8,219 m below the sea surface within the hadal ecosystem of the Puerto Rico Trench (PRT). These SAGs are derived from members of deep-sea clades, including the Thaumarchaeota and SAR11 clade, and two are related to previously isolated piezophilic (high-pressure-adapted) microorganisms. In order to identify genes that might play a role in adaptation to deep-sea environments, comparative analyses were performed with genomes from closely related shallow-water microbes. The archaeal SAG possesses genes associated with mixotrophy, including lipoylation and the glycine cleavage pathway. The SAR11 SAG encodes glycolytic enzymes previously reported to be missing from this abundant and cosmopolitan group. The other SAGs, which are related to piezophilic isolates, possess genes that may supplement energy demands through the oxidation of hydrogen or the reduction of nitrous oxide. We found evidence for potential trench-specific gene distributions, as several SAG genes were observed only in a PRT metagenome and not in shallower deep-sea metagenomes. These results illustrate new ecotype features that might perform important roles in the adaptation of microorganisms to life in hadal environments. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  2. Single Cells within the Puerto Rico Trench Suggest Hadal Adaptation of Microbial Lineages

    PubMed Central

    León-Zayas, Rosa; Novotny, Mark; Podell, Sheila; Shepard, Charles M.; Berkenpas, Eric; Nikolenko, Sergey; Pevzner, Pavel; Lasken, Roger S.

    2015-01-01

    Hadal ecosystems are found at a depth of 6,000 m below sea level and below, occupying less than 1% of the total area of the ocean. The microbial communities and metabolic potential in these ecosystems are largely uncharacterized. Here, we present four single amplified genomes (SAGs) obtained from 8,219 m below the sea surface within the hadal ecosystem of the Puerto Rico Trench (PRT). These SAGs are derived from members of deep-sea clades, including the Thaumarchaeota and SAR11 clade, and two are related to previously isolated piezophilic (high-pressure-adapted) microorganisms. In order to identify genes that might play a role in adaptation to deep-sea environments, comparative analyses were performed with genomes from closely related shallow-water microbes. The archaeal SAG possesses genes associated with mixotrophy, including lipoylation and the glycine cleavage pathway. The SAR11 SAG encodes glycolytic enzymes previously reported to be missing from this abundant and cosmopolitan group. The other SAGs, which are related to piezophilic isolates, possess genes that may supplement energy demands through the oxidation of hydrogen or the reduction of nitrous oxide. We found evidence for potential trench-specific gene distributions, as several SAG genes were observed only in a PRT metagenome and not in shallower deep-sea metagenomes. These results illustrate new ecotype features that might perform important roles in the adaptation of microorganisms to life in hadal environments. PMID:26386059

  3. Uprooting and trenching to control annosus root disease in a developed recreation site: 12- year results

    Treesearch

    John T. Kliejunas; William J. Otrosina; James R. Allison

    2005-01-01

    Six annosus (Heterobasidion annosum) root disease centers in a proposed campground on the north shore of Big Bear Lake in southern California were treated in 1989. Trees, stumps, and roots were removed in six disease centers, and in two cases, soil trenching was used to stop the progress of the disease. A total of 154 trees and 26 stumps were removed...

  4. Impact of radionuclide spatial variability on groundwater quality downstream from a shallow waste burial in the Chernobyl Exclusion Zone

    NASA Astrophysics Data System (ADS)

    Nguyen, H. L.; de Fouquet, C.; Courbet, C.; Simonucci, C. A.

    2016-12-01

    The effects of spatial variability of hydraulic parameters and initial groundwater plume localization on the possible extent of groundwater pollution plumes have already been broadly studied. However, only a few studies, such as Kjeldsen et al. (1995), take into account the effect of source term spatial variability. We explore this question with the 90Sr migration modeling from a shallow waste burial located in the Chernobyl Exclusion Zone to the underlying sand aquifer. Our work is based upon groundwater sampled once or twice a year since 1995 until 2015 from about 60 piezometers and more than 3,000 137Cs soil activity measurements. These measurements were taken in 1999 from one of the trenches dug after the explosion of the Chernobyl nuclear power plant, the so-called "T22 Trench", where radioactive waste was buried in 1987. The geostatistical analysis of 137Cs activity data in soils from Bugai et al. (2005) is first reconsidered to delimit the trench borders using georadar data as a covariable and to perform geostatistical simulations in order to evaluate the uncertainties of this inventory. 90Sr activity in soils is derived from 137Cs/154Eu and 90Sr/154Eu activity ratios in Chernobyl hot fuel particles (Bugai et al., 2003). Meanwhile, a coupled 1D non saturated/3D saturated transient transport model is constructed under the MELODIE software (IRSN, 2009). The previous 90Sr transport model developed by Bugai et al. (2012) did not take into account the effect of water table fluctuations highlighted by Van Meir et al. (2007) which may cause some discrepancies between model predictions and field observations. They are thus reproduced on a 1D vertical non saturated model. The equiprobable radionuclide localization maps produced by the geostatistical simulations are selected to illustrate different heterogeneities in the radionuclide inventory and are implemented in the 1D model. The obtained activity fluxes from all the 1D vertical models are then injected in a 3D

  5. Reconstructing the internal structure and long-term evolution of hazardous sinkholes combining trenching, electrical resistivity imaging (ERI) and ground penetrating radar (GPR)

    NASA Astrophysics Data System (ADS)

    Fabregat, Ivan; Gutiérrez, Francisco; Roqué, Carles; Comas, Xavier; Zarroca, Mario; Carbonel, Domingo; Guerrero, Jesús; Linares, Rogelio

    2017-05-01

    The approaches aimed at characterising specific damaging sinkholes have received limited attention compared with other ground instability phenomena (e.g. landslides). Moreover, the practicality of the trenching technique in combination with numerical dating and retro-deformation analysis for sinkhole site-investigations has been barely explored. This work illustrates the advantages of combining geomorphic mapping, electrical resistivity imaging (ERI), ground penetrating radar (GPR) and trenching for sinkhole characterisation and shows how the trenching technique contributes to fill significant gaps that neither geomorphic nor geophysical methods can address. Two large sinkholes (> 200 m long) related to the interstratal karstification of evaporites and generated by contrasting subsidence mechanisms (sagging, collapse) were investigated in the Fluvia Valley, NE Spain. Although GPR data may provide high resolution information on subsidence-related stratigraphic and structural features at shallow depth, the profiles acquired in the investigated sites with 100 MHz shielded and 40 MHz unshielded antennae provided limited insight into the internal geometry of the sinkholes due to reduced signal penetration related to the presence of conductive clayey material. The ERI sections satisfactorily imaged the general geometry of the sagging and collapse subsidence structures up to depths higher than 100 m and clearly captured the basal contact of the low-resistivity sinkhole fill in the sections with adequate layout and resolution. The trenches, despite their limited depth (ca. 5 m) allowed us to obtain valuable objective information on several key aspects of the subsidence phenomenon: (1) mechanisms (deformation style) and kinematics (progressive versus episodic); (2) limits of ground deformation; (3) temporal evolution (expansion versus contraction); (4) chronology and timing of most recent deformation phase; (5) rates of subsidence and sedimentation; and (6) the role played

  6. Identifying Preserved Storm Events on Beaches from Trenches and Cores

    NASA Astrophysics Data System (ADS)

    Wadman, H. M.; Gallagher, E. L.; McNinch, J.; Reniers, A.; Koktas, M.

    2014-12-01

    Recent research suggests that even small scale variations in grain size in the shallow stratigraphy of sandy beaches can significantly influence large-scale morphology change. However, few quantitative studies of variations in shallow stratigraphic layers, as differentiated by variations in mean grain size, have been conducted, in no small part due to the difficulty of collecting undisturbed sediment cores in the energetic lower beach and swash zone. Due to this lack of quantitative stratigraphic grain size data, most coastal morphology models assume that uniform grain sizes dominate sandy beaches, allowing for little to no temporal or spatial variations in grain size heterogeneity. In a first-order attempt to quantify small-scale, temporal and spatial variations in beach stratigraphy, thirty-five vibracores were collected at the USACE Field Research Facility (FRF), Duck, NC, in March-April of 2014 using the FRF's Coastal Research and Amphibious Buggy (CRAB). Vibracores were collected at set locations along a cross-shore profile from the toe of the dune to a water depth of ~1m in the surf zone. Vibracores were repeatedly collected from the same locations throughout a tidal cycle, as well as pre- and post a nor'easter event. In addition, two ~1.5m deep trenches were dug in the cross-shore and along-shore directions (each ~14m in length) after coring was completed to allow better interpretation of the stratigraphic sequences observed in the vibracores. The elevations of coherent stratigraphic layers, as revealed in vibracore-based fence diagrams and trench data, are used to relate specific observed stratigraphic sequences to individual storm events observed at the FRF. These data provide a first-order, quantitative examination of the small-scale temporal and spatial variability of shallow grain size along an open, sandy coastline. The data will be used to refine morphological model predictions to include variations in grain size and associated shallow stratigraphy.

  7. Recent Results of Hadal Investigations in the Southern Mariana Trench

    NASA Astrophysics Data System (ADS)

    Fryer, P. B.; Hellebrand, E.; Sharma, S. K.; Acosta-Maeda, T.; Jicha, B. R.; Cameron, J.

    2014-12-01

    The deepest parts of the southern Mariana Trench have variously been interpreted to 1) indicate strike-slip motion along the trench, 2) contain a series of 3 sediment ponds at greater than 10,900 m depth separated from one another by fault-controlled ridges on the subducting plate, and 3) have an even deeper feature in the western-most pond (Vitiaz Deep). Recent lander deployments in all three ponds and the Deepsea Challenger submersible dive by J. Cameron in 2012 showed that the deepest ponds within the Challenger Deep area have nearly unbroken, flat surfaces. One point explored showed veined serpentinite at a depth of 10,800+ m. The potential for active serpentinite-hosted seeps and vent communities was demonstrated for the Shinkai Vent Field at 5,800m depth. Rocks collected using the Wood Hole Oceanographic Institution's hybrid remotely operated vehicle, Nereus, in 2009 from deep (10,879 m) on the incoming plate south of the Challenger Deep, were recovered from the base of a fault scarp where large, columnar-jointed blocks are draped with sediment. Optical microscopy, electron-microprobe and Raman analysis show that they are partially altered massive diabase with altered interstitial glass and containing microbial tubules in vug-filling secondary phases. The chain of seamounts striking NNW, colinear with the Lyra Trough, has been interpreted as a boundary between the Pacific Plate and the seafloor north of the Caroline Ridge. Sediments, drilled from above postulated basement north of the Caroline Ridge are no older that Oligocene. Ar/Ar age dates completed for one rock collected by Nereus in 2009 give a weighted mean plateau age, based on two experiments, of 24.6 +/- 3.2 Ma. Thus, the igneous basement of the subducting plate south of the Challenger Deep is, far younger than the Jurassic Pacific Plate subducting further east. This represents a previously unidentified tectonic plate. With new vehicles and technologies the future for hadal exploration is ripe.

  8. Identification of Free-Living and Particle-Associated Microbial Communities Present in Hadal Regions of the Mariana Trench.

    PubMed

    Tarn, Jonathan; Peoples, Logan M; Hardy, Kevin; Cameron, James; Bartlett, Douglas H

    2016-01-01

    Relatively few studies have described the microbial populations present in ultra-deep hadal environments, largely as a result of difficulties associated with sampling. Here we report Illumina-tag V6 16S rRNA sequence-based analyses of the free-living and particle-associated microbial communities recovered from locations within two of the deepest hadal sites on Earth, the Challenger Deep (10,918 meters below surface-mbs) and the Sirena Deep (10,667 mbs) within the Mariana Trench, as well as one control site (Ulithi Atoll, 761 mbs). Seawater samples were collected using an autonomous lander positioned ~1 m above the seafloor. The bacterial populations within the Mariana Trench bottom water samples were dissimilar to other deep-sea microbial communities, though with overlap with those of diffuse flow hydrothermal vents and deep-subsurface locations. Distinct particle-associated and free-living bacterial communities were found to exist. The hadal bacterial populations were also markedly different from one another, indicating the likelihood of different chemical conditions at the two sites. In contrast to the bacteria, the hadal archaeal communities were more similar to other less deep datasets and to each other due to an abundance of cosmopolitan deep-sea taxa. The hadal communities were enriched in 34 bacterial and 4 archaeal operational taxonomic units (OTUs) including members of the Gammaproteobacteria, Epsilonproteobacteria, Marinimicrobia, Cyanobacteria, Deltaproteobacteria, Gemmatimonadetes, Atribacteria, Spirochaetes, and Euryarchaeota. Sequences matching cultivated piezophiles were notably enriched in the Challenger Deep, especially within the particle-associated fraction, and were found in higher abundances than in other hadal studies, where they were either far less prevalent or missing. Our results indicate the importance of heterotrophy, sulfur-cycling, and methane and hydrogen utilization within the bottom waters of the deeper regions of the Mariana Trench

  9. Identification of Free-Living and Particle-Associated Microbial Communities Present in Hadal Regions of the Mariana Trench

    PubMed Central

    Tarn, Jonathan; Peoples, Logan M.; Hardy, Kevin; Cameron, James; Bartlett, Douglas H.

    2016-01-01

    Relatively few studies have described the microbial populations present in ultra-deep hadal environments, largely as a result of difficulties associated with sampling. Here we report Illumina-tag V6 16S rRNA sequence-based analyses of the free-living and particle-associated microbial communities recovered from locations within two of the deepest hadal sites on Earth, the Challenger Deep (10,918 meters below surface-mbs) and the Sirena Deep (10,667 mbs) within the Mariana Trench, as well as one control site (Ulithi Atoll, 761 mbs). Seawater samples were collected using an autonomous lander positioned ~1 m above the seafloor. The bacterial populations within the Mariana Trench bottom water samples were dissimilar to other deep-sea microbial communities, though with overlap with those of diffuse flow hydrothermal vents and deep-subsurface locations. Distinct particle-associated and free-living bacterial communities were found to exist. The hadal bacterial populations were also markedly different from one another, indicating the likelihood of different chemical conditions at the two sites. In contrast to the bacteria, the hadal archaeal communities were more similar to other less deep datasets and to each other due to an abundance of cosmopolitan deep-sea taxa. The hadal communities were enriched in 34 bacterial and 4 archaeal operational taxonomic units (OTUs) including members of the Gammaproteobacteria, Epsilonproteobacteria, Marinimicrobia, Cyanobacteria, Deltaproteobacteria, Gemmatimonadetes, Atribacteria, Spirochaetes, and Euryarchaeota. Sequences matching cultivated piezophiles were notably enriched in the Challenger Deep, especially within the particle-associated fraction, and were found in higher abundances than in other hadal studies, where they were either far less prevalent or missing. Our results indicate the importance of heterotrophy, sulfur-cycling, and methane and hydrogen utilization within the bottom waters of the deeper regions of the Mariana Trench

  10. Soil Properties Analysis of the Phoenix Landing Site Based on Trench Characteristics and Robotic Arm Forces

    NASA Astrophysics Data System (ADS)

    Shaw, A.; Arvidson, R.; Bonitz, R.; Carsten, J.; Keller, H.; Lemmon, M.; Mellon, M. T.; Robinson, M.; Trebi-Ollennu, A.; Volpe, R.

    2008-12-01

    The Phoenix Mars lander has had access to polygonal terrain; specifically, two polygons and a trough. Slopes in the trenches and dump piles created from the interaction of the Phoenix robotic arm (RA) with the soil around its landing site are similar to those seen on previous missions, such as the MER and Viking missions. This indicates similar cohesion and angle of internal friction to previous landing sites. For example, trench slopes typically range from 44-72° and dump pile slopes range from 20-30°. There are at least two very different types of materials at the site: a layer of soil which goes down to several centimeters below the surface and, below that, a layer of icy soil. The RA can easily dig through the top layer of soil, often using 20-30N force. However, when it encounters icy soil, the RA requires tens of scrapes with the lower tungsten carbide blade on its scoop to progress even a few millimeters. To verify soil property parameters, we analyze the normal and shear stresses exerted on the soil by digging, scraping, and rasping with the RA.

  11. Amplification of thermostable lipase genes fragment from thermogenic phase of domestic waste composting process

    NASA Astrophysics Data System (ADS)

    Nurhasanah, Nurbaiti, Santi; Madayanti, Fida; Akhmaloka

    2015-09-01

    Lipases are lipolytic enzymes, catalyze the hydrolysis of fatty acid ester bonds of triglycerides to produce free fatty acids and glycerol. The enzyme is widely used in various fields of biotechnological industry. Hence, lipases with unique properties (e.g.thermostable lipase) are still being explored by variation methods. One of the strategy is by using metagenomic approach to amplify the gene directly from environmental sample. This research was focused on amplification of lipase gene fragment directly from the thermogenic phase of domestic waste composting in aerated trenches. We used domestic waste compost from waste treatment at SABUGA, ITB for the sample. Total chromosomal DNA were directly extracted from several stages at thermogenic phase of compost. The DNA was then directly used as a template for amplification of thermostable lipase gene fragments using a set of internal primers namely Flip-1a and Rlip-1a that has been affixed with a GC clamp in reverse primer. The results showed that the primers amplified the gene from four stages of thermogenic phase with the size of lipase gene fragment of approximately 570 base pairs (bp). These results were further used for Denaturing Gradient Gel Electrophoresis (DGGE) analysis to determine diversity of thermostable lipase gene fragments.

  12. Basin-floor Lake Bonneville stratigraphic section as revealed in paleoseismic trenches at the Baileys Lake site, West Valley fault zone, Utah

    USGS Publications Warehouse

    Hylland, Michael D.; DuRoss, Christopher B.; McDonald, Greg N.; Olig, Susan S.; Oviatt, Charles G.; Mahan, Shannon; Crone, Anthony J.; Personius, Stephen

    2012-01-01

     Recent paleoseismic trenching on the Granger fault of the West Valley fault zone in Salt Lake County, Utah, exposed a nearly complete section of late Pleistocene Lake Bonneville deposits, and highlights challenges related to accurate interpretation of basin-floor stratigraphy in the absence of numerical age constraints. We used radiocarbon and luminescence dating as well as ostracode biostratigraphy to provide chronostratigraphic control on the Lake Bonneville section exposed at the Baileys Lake trench site. The fault trenches exposed folded and faulted pre- to post- Bonneville sediments, including about 0.7 m of pre-Bonneville wetland/fluvial-marsh deposits, a nearly complete Bonneville section 2.5–4.0 m thick, and 0.4–1.0 m of post-Bonneville deposits consisting primarily of loess with minor scarp-derived colluvium. The relatively thin Bonneville section compares favorably with basin-floor Bonneville sections documented in boreholes and seismic reflection profiles beneath Great Salt Lake. Distinctive features of the Bonneville section at the Baileys Lake site include a sequence of turbidites in the upper part of the Bonneville transgressive deposits, evidence for an earthquake during Provo-shoreline time that disturbed lake-bottom sediments and destroyed any stratigraphic signature of the Bonneville Flood, tufa deposition associated with Gilbert-phase shoreline transgression, and stratigraphic evidence for two Gilbert transgressions across the site.

  13. Distribution of gases in the unsaturated zone at a low-level radioactive-waste disposal site near Sheffield, Illinois

    USGS Publications Warehouse

    Striegl, Robert G.

    1988-01-01

    The unsaturated zone is a medium that provides pneumatic communication for the movement of gases from wastes buried in landfills to the atmosphere, biota, and groundwater. Gases in unsaturated glacial and eolian deposits near a waste-disposal trench at the low-level radioactive-waste disposal site near Sheffield, Bureau County, Illinois, were identified, and the spatial and temporal distributions of the partial pressures of those gases were determined for the period January 1984 through January 1986. Methods for the collection and analyses of the gases are described, as are geologic and hydrologic characteristics of the unsaturated zone that affect gas transport. The identified gases, which are of natural and of waste origin, include nitrogen, oxygen, and argon, carbon dioxide, methane, propane, butane, tritiated water vapor, 14carbon dioxide, and 222 radon. Concentrations of methane and 14carbon dioxide originated at the waste, as shown by partial-pressure gradients of the gases; 14carbon dioxide partial pressures exceeded natural background partial pressures by factors greater than 1 million at some locations. Variations in partial pressures of oxygen and carbon dioxide were seasonal among piezometers because of increased root and soil-microbe respiration during summer. Variations in methane and 14carbon dioxide partial pressures were apparently related to discrete releases from waste sources at unpredictable intervals of time. No greater than background partial pressures for tritiated water vapor or 222 radon were measured. (USGS)

  14. Radioactive Waste Management and Environmental Contamination Issues at the Chernobyl Site

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Napier, Bruce A.; Schmieman, Eric A.; Voitsekhovitch, Oleg V.

    2007-11-01

    The destruction of the Unit 4 reactor at the Chernobyl Nuclear Power Plant resulted in the generation of radioactive contamination and radioactive waste at the site and in the surrounding area (referred to as the Exclusion Zone). In the course of remediation activities, large volumes of radioactive waste were generated and placed in temporary near surface waste-storage and disposal facilities. Trench and landfill type facilities were created from 1986 to 1987 in the Chernobyl Exclusion Zone at distances 0.5 to 15 km from the NPP site. This large number of facilities was established without proper design documentation, engineered barriers, ormore » hydrogeological investigations and they do not meet contemporary waste-safety requirements. Immediately following the accident, a Shelter was constructed over the destroyed reactor; in addition to uncertainties in stability at the time of its construction, structural elements of the Shelter have degraded as a result of corrosion. The main potential hazard of the Shelter is a possible collapse of its top structures and release of radioactive dust into the environment. A New Safe Confinement (NSC) with a 100-years service life is planned to be built as a cover over the existing Shelter as a longer-term solution. The construction of the NSC will enable the dismantlement of the current Shelter, removal of highly radioactive, fuel-containing materials from Unit 4, and eventual decommissioning of the damaged reactor. More radioactive waste will be generated during NSC construction, possible Shelter dismantling, removal of fuel containing materials, and decommissioning of Unit 4. The future development of the Exclusion Zone depends on the future strategy for converting Unit 4 into an ecologically safe system, i.e., the development of the NSC, the dismantlement of the current Shelter, removal of fuel containing material, and eventual decommissioning of the accident site. To date, a broadly accepted strategy for radioactive

  15. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, for Calendar Year 2012

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NSTec Environmental Restoration

    2013-01-28

    This report provides the results of the annual post-closure inspections conducted at the closed Corrective Action Units (CAUs) located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2012 and includes inspection and repair activities completed at the following CAUs: · CAU 400: Bomblet Pit and Five Points Landfill (TTR) · CAU 407: Roller Coaster RadSafe Area (TTR) · CAU 424: Area 3 Landfill Complexes (TTR) · CAU 453: Area 9 UXO Landfill (TTR) · CAU 487: Thunderwell Site (TTR)

  16. Vesicomyinae (Bivalvia: Vesicomyidae) of the Kuril-Kamchatka Trench and adjacent abyssal regions

    NASA Astrophysics Data System (ADS)

    Krylova, Elena M.; Kamenev, Gennady M.; Vladychenskaya, Irina P.; Petrov, Nikolai B.

    2015-01-01

    Representatives of the subfamily Vesicomyinae (Bivalvia, Vesicomyidae) are tiny deep-sea molluscs distributed worldwide and reaching huge abundances of hundreds and thousands of specimens in trawl catches. During the German-Russian deep-sea expedition KuramBio (R/V Sonne, 2012) for the first time two vesicomyin species were collected from the abyssal plain adjacent to the Kuril-Kamchatka Trench from the depths of 4861-5787 m, Vesicomya pacifica (Smith, 1885) and "Vesicomya" filatovae sp.n. Two species of vesicomyins, V. sergeeviFilatova, 1971 and V. profundiFilatova, 1971, which were previously reported from the hadal of the Kuril-Kamchatka Trench, were not collected at the abyssal depth despite of the close geographical proximity of the sampling area to their distribution ranges. Altogether nine species of vesicomyins are recorded now from the West and Indo-West Pacific; data on distribution and morpho-anatomical characters of these species are provided. Taxonomic description of V. pacifica is revised including information on its soft part anatomy, new localities and COI sequences. For the first time for a vesicomyin bivalve molecular data is given for a species with an explicit morphological description and unambiguous taxonomic affiliation. Molecular analysis of 160 published COI sequences of vesicomyids and newly obtained molecular data on V. pacifica showed that V. pacifica and two undescribed vesicomyin species forming a monophyletic clade which exhibits sister relationships with the Pliocardiinae, the group of chemosymbiotic vesicomyids. "Vesicomya" filatovae sp.n. is provisionally assigned to the genus Vesicomya (s.l.) until additional morphological and molecular data are obtained. It differs from Vesicomya s.s. by a broader hinge margin with more radiating teeth and the presence of only one pair of demibranchs.

  17. Constraints of subducted slab geometries on trench migration and subduction velocities: flat slabs and slab curtains in the mantle under Asia

    NASA Astrophysics Data System (ADS)

    Wu, J. E.; Suppe, J.; Renqi, L.; Lin, C.; Kanda, R. V.

    2013-12-01

    The past locations, shapes and polarity of subduction trenches provide first-order constraints for plate tectonic reconstructions. Analogue and numerical models of subduction zones suggest that relative subducting (Vs) and overriding (Vor) plate velocities may strongly influence final subducted slab geometries. Here we have mapped the 3D geometries of subducted slabs in the upper and lower mantle of Asia from global seismic tomography. We have incorporated these slabs into plate tectonic models, which allows us to infer the subducting and overriding plate velocities. We describe two distinct slab geometry styles, ';flat slabs' and ';slab curtains', and show their implications for paleo-trench positions and subduction geometries in plate tectonic reconstructions. When compared to analogue and numerical models, the mapped slab styles show similarities to modeled slabs that occupy very different locations within Vs:Vor parameter space. ';Flat slabs' include large swaths of sub-horizontal slabs in the lower mantle that underlie the well-known northward paths of India and Australia from Eastern Gondwana, viewed in a moving hotspot reference. At India the flat slabs account for a significant proportion of the predicted lost Ceno-Tethys Ocean since ~100 Ma, whereas at Australia they record the existence of a major 8000km by 2500-3000km ocean that existed at ~43 Ma between East Asia, the Pacific and Australia. Plate reconstructions incorporating the slab constraints imply these flat slab geometries were generated when continent overran oceanic lithosphere to produce rapid trench retreat, or in other words, when subducting and overriding velocities were equal (i.e. Vs ~ Vor). ';Slab curtains' include subvertical Pacific slabs near the Izu-Bonin and Marianas trenches that extend from the surface down to 1500 km in the lower mantle and are 400 to 500 km thick. Reconstructed slab lengths were assessed from tomographic volumes calculated at serial cross-sections. The ';slab

  18. Preliminary Results From the Serpentinite, Extension and Regional Porosity Experiment Across the Nicaraguan Trench (SERPENT)

    NASA Astrophysics Data System (ADS)

    Key, K. W.; Constable, S.; Evans, R. L.; Naif, S.; Matsuno, T.; Lizarralde, D.

    2010-12-01

    Water plays an important role in the volcanic processes occurring at convergent margins, as the release of water from the downgoing slab affects the rheology of the mantle, increases melting by lowering the solidus temperature, and alters the chemistry of arc-lavas. Yet, one of the major uncertainties in terms of fluid inputs into the subduction factory concerns the extent of serpentinization of the oceanic upper mantle and the volumes of water that are being carried into the subduction system through this route. In April 2010 we conducted a large-scale marine electromagnetic experiment along a 300 km profile offshore Nicaragua in a region that shows evidence for substantial fault related fluid circulation in the crust and possibly upper mantle, and high Ba/La ratios and water contents in adjacent onshore volcanics that suggest a strong slab fluid input into the arc-melting. Our project is the largest combined controlled-source electromagnetic (CSEM) and magnetotelluric (MT) data set ever collected on an active subduction zone. During the single 28 day research cruise aboard the R/V Melville we collected 54 stations of broadband marine magnetotelluric (MT) data and deep-towed nearly 800 km of controlled-source electromagnetic (CSEM) data. Robust multiple-station array processing of the MT data yields high quality MT responses from 10 to 20,000 s period. The MT responses are fairly 1D over the abyssal plain, showing the effects of a thin veneer of conductive sediments overlying a resistive lithosphere and a deeper conductive mantle. The responses become strongly 2D on the trench outer rise and exhibit large 3D distortions at the bottom of the trench, likely due to a combination of effects from severe topography and seafloor conductivity variations. Two circular CSEM tows of 30 km radius were measured by special long-wire EM (LEM) sensors on the abyssal plain and the outer rise. The LEM data reveals a distinct pattern of electromagnetic polarization that is

  19. Geology Report: Area 3 Radioactive Waste Management Site DOE/Nevada Test Site, Nye County, Nevada

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NSTec Environmental Management

    2006-07-01

    Surficial geologic studies near the Area 3 Radioactive Waste Management Site (RWMS) were conducted as part of a site characterization program. Studies included evaluation of the potential for future volcanism and Area 3 fault activity that could impact waste disposal operations at the Area 3 RWMS. Future volcanic activity could lead to disruption of the Area 3 RWMS. Local and regional studies of volcanic risk indicate that major changes in regional volcanic activity within the next 1,000 years are not likely. Mapped basalts of Paiute Ridge, Nye Canyon, and nearby Scarp Canyon are Miocene in age. There is a lackmore » of evidence for post-Miocene volcanism in the subsurface of Yucca Flat, and the hazard of basaltic volcanism at the Area 3 RWMS, within the 1,000-year regulatory period, is very low and not a forseeable future event. Studies included a literature review and data analysis to evaluate unclassified published and unpublished information regarding the Area 3 and East Branch Area 3 faults mapped in Area 3 and southern Area 7. Two trenches were excavated along the Area 3 fault to search for evidence of near-surface movement prior to nuclear testing. Allostratigraphic units and fractures were mapped in Trenches ST02 and ST03. The Area 3 fault is a plane of weakness that has undergone strain resulting from stress imposed by natural events and underground nuclear testing. No major vertical displacement on the Area 3 fault since the Early Holocene, and probably since the Middle Pleistocene, can be demonstrated. The lack of major displacement within this time frame and minimal vertical extent of minor fractures suggest that waste disposal operations at the Area 3 RWMS will not be impacted substantially by the Area 3 fault, within the regulatory compliance period. A geomorphic surface map of Yucca Flat utilizes the recent geomorphology and soil characterization work done in adjacent northern Frenchman Flat. The approach taken was to adopt the map unit boundaries

  20. A synthetic seismicity model for the Middle America Trench

    NASA Technical Reports Server (NTRS)

    Ward, Steven N.

    1991-01-01

    A novel iterative technique, based on the concept of fault segmentation and computed using 2D static dislocation theory, for building models of seismicity and fault interaction which are physically acceptable and geometrically and kinematically correct, is presented. The technique is applied in two steps to seismicity observed at the Middle America Trench. The first constructs generic models which randomly draw segment strengths and lengths from a 2D probability distribution. The second constructs predictive models in which segment lengths and strengths are adjusted to mimic the actual geography and timing of large historical earthquakes. Both types of models reproduce the statistics of seismicity over five units of magnitude and duplicate other aspects including foreshock and aftershock sequences, migration of foci, and the capacity to produce both characteristic and noncharacteristic earthquakes. Over a period of about 150 yr the complex interaction of fault segments and the nonlinear failure conditions conspire to transform an apparently deterministic model into a chaotic one.

  1. Crustal-Scale Seismic Structure From Trench to Forearc in the Cascadia Subduction Zone

    NASA Astrophysics Data System (ADS)

    Rathnayaka, Sampath; Gao, Haiying

    2017-09-01

    The (de)hydration process and the amount of hydrated sediment carried by the downgoing oceanic plate play a key role in the subduction dynamics. A high-resolution shear velocity model from the crust down to the uppermost mantle, extending from trench to forearc, is constructed in the northern Cascadia subduction zone to investigate seismic characteristics related to slab deformation and (de)hydration at the plate boundary. A total of 220 seismic stations are used, including the Cascadia Initiative Amphibious Array and inland broadband and short-period stations. The empirical Green's functions extracted from continuous ambient noise data from 2006 to 2014 provide high-quality Rayleigh wave signals at periods of 4-50 s. We simulate wave propagation using finite difference method to generate station Strain Green's Tensors and synthetic waveforms. The phase delays of Rayleigh waves between the observed and synthetic data are measured at multiple period ranges. We then invert for the velocity perturbations from the reference model and progressively improve the model resolution. Our tomographic imaging shows many regional- and local-scale low-velocity features, which are possibly related to slab (de)hydration from the oceanic plate to the overriding plate. Specifically, we observe (1) NW-SE oriented linear low-velocity features across the trench, indicating hydration of the oceanic plate induced by bending-related faultings; (2) W-E oriented fingerlike low-velocity structures off the continental margins due to dehydration of the Juan de Fuca plate; and (3) seismic lows atop the plate interface beneath the Washington forearc, indicating fluid-rich sediments subducted and overthrusted at the accretionary wedge.

  2. Caenorhabditis elegans as a model system to study post-translational modifications of human transthyretin

    NASA Astrophysics Data System (ADS)

    Henze, Andrea; Homann, Thomas; Rohn, Isabelle; Aschner, Michael; Link, Christopher D.; Kleuser, Burkhard; Schweigert, Florian J.; Schwerdtle, Tanja; Bornhorst, Julia

    2016-11-01

    The visceral protein transthyretin (TTR) is frequently affected by oxidative post-translational protein modifications (PTPMs) in various diseases. Thus, better insight into structure-function relationships due to oxidative PTPMs of TTR should contribute to the understanding of pathophysiologic mechanisms. While the in vivo analysis of TTR in mammalian models is complex, time- and resource-consuming, transgenic Caenorhabditis elegans expressing hTTR provide an optimal model for the in vivo identification and characterization of drug-mediated oxidative PTPMs of hTTR by means of matrix assisted laser desorption/ionization - time of flight - mass spectrometry (MALDI-TOF-MS). Herein, we demonstrated that hTTR is expressed in all developmental stages of Caenorhabditis elegans, enabling the analysis of hTTR metabolism during the whole life-cycle. The suitability of the applied model was verified by exposing worms to D-penicillamine and menadione. Both drugs induced substantial changes in the oxidative PTPM pattern of hTTR. Additionally, for the first time a covalent binding of both drugs with hTTR was identified and verified by molecular modelling.

  3. Trench process and structure for backside contact solar cells with polysilicon doped regions

    DOEpatents

    De Ceuster, Denis; Cousins, Peter John; Smith, David D

    2014-03-18

    A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.

  4. Trench process and structure for backside contact solar cells with polysilicon doped regions

    DOEpatents

    De Ceuster, Denis; Cousins, Peter John; Smith, David D

    2013-05-28

    A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.

  5. Trench process and structure for backside contact solar cells with polysilicon doped regions

    DOEpatents

    De Ceuster, Denis; Cousins, Peter John; Smith, David D.

    2010-12-14

    A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.

  6. Spectral Modeling of Ground Ices Exposed by Trenching at the Phoenix Mars Landing Site

    NASA Astrophysics Data System (ADS)

    Cull, S.; Arvidson, R. E.; Blaney, D.; Morris, R. V.

    2008-12-01

    The Phoenix Lander, which landed on the northern plains of Mars on 25 May 2008, used its Robotic Arm (RA) to dig six trenches during its nominal 90-sol mission: Dodo-Goldilocks, Snow White, Cupboard, Neverland, Burn Alive, and Stone Soup. During excavation of the first five of these, the RA encountered hard material interpreted to be the ice table, and the Stereo Surface Imager (SSI) imaged the exposed materials using 15 filters spanning a wavelength range from 445 to 1001 nm. Materials exposed in the Dodo- Goldilocks and Snow White trenches are spectroscopically dissimilar: Dodo-Goldilocks hard material is brighter relative to the surrounding soil, and has a distinct downturn around 800 nm resulting from a dusty ice with low soil-to-ice ratio. Snow White hard stuff varies in brightness and spectral shape depending on the phase angle, with low-phase angle images showing dark material and higher phase angles showing more soil-like material. The Snow White material does not have the strong 800-nm downturn seen in Dodo- Goldilocks, because the soil-to-ice ratio is high as inferred by the rapid development of a sublimation lag; however, the albedo variation with phase angle could be due to strong forward-scattering at low phase angles, consistent with icy material. A modified Hapke model is used to estimate the relative abundances of water ice and dust in the Dodo- Goldilocks and Snow White materials, with dehydrated palagonite as an analogue for dust . The ice exposed at Dodo-Goldilocks must be relatively dust-free, since only a small amount of dust is needed to obscure water ice absorptions. In our modeling, we find that as little as 5 wt% 20-um dust is enough to completely mask the 1001 nm absorption in 1-mm grain size water ice. Dodo-Goldilocks spectra can have up to a 20% drop in reflectance from 800 nm to 1001 nm, which is best-matched in our Hapke model by water ice with path lengths on the order of 2-3 mm. The Snow White dark materials typically have a small

  7. Quantification of Transthyretin Kinetic Stability in Human Plasma Using Subunit Exchange

    PubMed Central

    2015-01-01

    The transthyretin (TTR) amyloidoses are a group of degenerative diseases caused by TTR aggregation, requiring rate-limiting tetramer dissociation. Kinetic stabilization of TTR, by preferential binding of a drug to the native tetramer over the dissociative transition state, dramatically slows the progression of familial amyloid polyneuropathy. An established method for quantifying the kinetic stability of recombinant TTR tetramers in buffer is subunit exchange, in which tagged TTR homotetramers are added to untagged homotetramers at equal concentrations to measure the rate at which the subunits exchange. Herein, we report a subunit exchange method for quantifying the kinetic stability of endogenous TTR in human plasma. The subunit exchange reaction is initiated by the addition of a substoichiometric quantity of FLAG-tagged TTR homotetramers to endogenous TTR in plasma. Aliquots of the subunit exchange reaction, taken as a function of time, are then added to an excess of a fluorogenic small molecule, which immediately arrests further subunit exchange. After binding, the small molecule reacts with the TTR tetramers, rendering them fluorescent and detectable in human plasma after subsequent ion exchange chromatography. The ability to report on the extent of TTR kinetic stabilization resulting from treatment with oral tafamidis is important, especially for selection of the appropriate dose for patients carrying rare mutations. This method could also serve as a surrogate biomarker for the prediction of the clinical outcome. Subunit exchange was used to quantify the stabilization of WT TTR from senile systemic amyloidosis patients currently being treated with tafamidis (20 mg orally, once daily). TTR kinetic stability correlated with the tafamidis plasma concentration. PMID:24661308

  8. Serum transthyretin levels in senile systemic amyloidosis: effects of age, gender and ethnicity

    PubMed Central

    Buxbaum, Joel; Koziol, James; Connors, Lawreen H.

    2017-01-01

    Serum transthyretin (TTR) levels are reduced in familial amyloidotic polyneuropathy (FAP). A single study of patients with senile systemic amyloidosis (SSA) in Sweden found that those individuals also had a significantly lower mean serum TTR concentration than age- and gender-matched controls. To determine if the same phenomenon prevailed in an ethnically more heterogeneous population, we compared the serum TTR levels, as determined by ELISA, in 45 documented SSA patients with congestive heart failure, 20 AL patients with congestive heart failure and population controls. Serum TTR concentrations in the controls were influenced in a statistically significant manner by age, gender and ethnicity. Although it is unlikely that such differences are clinically relevant, they must be considered when assessing the meaning of serum TTR concentrations in any clinically defined population. The serum concentrations in patients with SSA did not differ from age, gender and ethnically matched controls or from a group of AL patients with significant clinical cardiac involvement. We also compared TTR concentrations in 12 African-Americans carrying the TTR V122I allele with those in 826 African-Americans who were homozygous wild type at the TTR locus. The TTR V122I carriers had significantly lower serum TTR concentrations than appropriate controls even though the majority of such individuals had not reached the age of clinical or anatomic risk, i.e. over 60. Thus, as in carriers of other TTR mutations the serum TTR level is lower than normal, despite having a much later appearance of clinical disease. PMID:19065297

  9. Warfarin time in therapeutic range and its impact on healthcare resource utilization and costs among patients with nonvalvular atrial fibrillation.

    PubMed

    Deitelzweig, Steve; Evans, Michael; Hillson, Eric; Trocio, Jeffrey; Bruno, Amanda; Tan, Wilson; Lingohr-Smith, Melissa; Singh, Prianka; Lin, Jay

    2016-01-01

    Warfarin is efficacious for reducing stroke risk among patients with nonvalvular atrial fibrillation (NVAF). However, the efficacy and safety of warfarin are influenced by its time in therapeutic range (TTR). To assess differences in healthcare resource utilization and costs among NVAF patients with low (<60%) and high (≥60%) warfarin TTRs in an integrated delivery network (IDN) setting. Patients with NVAF were identified from an electronic medical record database. Patients were required to have ≥6 international normalized prothrombin time ratio (INR) tests. NVAF patients were grouped into two cohorts: those with warfarin TTR <60% (low TTR) and those with warfarin TTR ≥60% (high TTR). Healthcare resource utilization and costs were evaluated during a 12 month follow-up period. Multivariable regressions were used to assess the impact of different warfarin TTRs on healthcare costs. Among the study population, greater than half (54%, n = 1595) had a low TTR, and 46% (n = 1356) had a high TTR. Total all-cause healthcare resource utilization was higher among patients in the low TTR cohort vs. the high TTR cohort (number of encounters: 70.2 vs. 56.1, p < 0.001). After adjusting for patient characteristics, total all-cause healthcare costs and stroke-related healthcare costs were $2398 (p < 0.001) and $687 (p = 0.02) higher, respectively, for patients in the low TTR cohort vs. the high TTR cohort. In this retrospective study, we were only able to evaluate the association and not the causality between healthcare resource utilization and costs with the different warfarin TTRs. Many warfarin-treated NVAF patients have a low warfarin TTR. NVAF patients with low vs. patients with high warfarin TTR used healthcare resources to a greater extent, which was reflected in higher healthcare costs.

  10. Discovery of dense aggregations of stalked crinoids in Izu-Ogasawara trench, Japan.

    PubMed

    Oji, Tatsuo; Ogawa, Yujiro; Hunter, Aaron W; Kitazawa, Kota

    2009-06-01

    Stalked crinoids are recognized as living fossils that typically inhabit modern deep-water environments exceeding 100 m. Previous records of stalked crinoids from hadal depths (exceeding 6000 m) are extremely rare, and no in-situ information has been available. We show here that stalked crinoids live densely on rocky substrates at depths over 9000 m in the Izu-Ogasawara Trench off the eastern coast of Japan, evidenced by underwater photos and videos taken by a remotely operated vehicle. This is the deepest in-situ observation of stalked crinoids and demonstrates that crinoid meadows can exist at hadal depths close to the deepest ocean floor, in a fashion quite similar to populations observed in shallower depths.

  11. Photomosaics and event evidence from the Frazier Mountain paleoseismic site, trench 1, cuts 1–4, San Andreas Fault Zone, southern California (2007–2009)

    USGS Publications Warehouse

    Scharer, Katherine M.; Fumal, Tom E.; Weldon, Ray J.; Streig, Ashley R.

    2014-01-01

    The Frazier Mountain paleoseismic site is located at the northwest end of the Mojave section of the San Andreas Fault, in a small, closed depression at the base of Frazier Mountain near Tejon Pass, California (lat 34.8122° N., long 118.9034° W.). The site was known to contain a good record of earthquakes due to previous excavations by Lindvall and others (2002). This report provides data resulting from four nested excavations, or cuts, along trench 1 (T1) in 2007 and 2009 at the Frazier Mountain site. The four cuts were excavated progressively deeper and wider in an orientation perpendicular to the San Andreas Fault, exposing distal fan and marsh sediments deposited since ca. A.D. 1200. The results of the trenching show that earthquakes that ruptured the site have repeatedly produced a small depression or sag on the surface, which is subsequently infilled with sand and silt deposits. This report provides high-resolution photomosaics and logs for the T1 cuts, a detailed stratigraphic column for the deposits, and a table summarizing all of the evidence for ground rupturing paleoearthquakes logged in the trenches.

  12. Logs and data from trenches across and near the Green Valley Fault at the Mason Road site, Fairfield, Solano County, California, 2006-2009

    USGS Publications Warehouse

    Lienkaemper, James J.; Sickler, Robert R.; Mahan, Shannon; Brown, Johnathan; Reidy, Liam M.; Kimball, Mindy A.

    2012-01-01

    The primary purpose of this report is to provide drafted field logs of exploratory trenches excavated across the Green Valley Fault in 2007 and 2009 that show evidence for four surface rupturing earthquakes in the past one thousand years. The site location and site detail are shown on sheet 1. The trench logs are shown on sheets 1, 2, and 3. We also provide radiocarbon laboratory dates used for chronological modeling of the earthquake history. Sheets 4 and 5 show additional data obtained in 2006–2009 to document data obtained in our studies of the long-term geologic slip rate on the Green Valley Fault. However, that effort ultimately did not prove feasible and no slip rate estimate resulted.

  13. Central transthyretin acts to decrease food intake and body weight

    PubMed Central

    Zheng, Fenping; Kim, Yonwook J.; Moran, Timothy H.; Li, Hong; Bi, Sheng

    2016-01-01

    Transthyretin (TTR) is a blood and cerebrospinal fluid transporter of thyroxine and retinol. Gene expression profiling revealed an elevation of Ttr expression in the dorsomedial hypothalamus (DMH) of rats with exercise-induced anorexia, implying that central TTR may also play a functional role in modulating food intake and energy balance. To test this hypothesis, we have examined the effects of brain TTR on food intake and body weight and have further determined hypothalamic signaling that may underlie its feeding effect in rats. We found that intracerebroventricular (icv) administration of TTR in normal growing rats decreased food intake and body weight. This effect was not due to sickness as icv TTR did not cause a conditioned taste aversion. ICV TTR decreased neuropeptide Y (NPY) levels in the DMH and the paraventricular nucleus (P < 0.05). Chronic icv infusion of TTR in Otsuka Long-Evans Tokushima Fatty rats reversed hyperphagia and obesity and reduced DMH NPY levels. Overall, these results demonstrate a previously unknown anorectic action of central TTR in the control of energy balance, providing a potential novel target for treating obesity and its comorbidities. PMID:27053000

  14. 40 CFR 60.2970 - What is an air curtain incinerator?

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... Curtain Incinerators That Burn Only Wood Waste, Clean Lumber, and Yard Waste § 60.2970 What is an air... incinerators include both firebox and trench burner units. (b) Air curtain incinerators that burn only the...

  15. High-voltage 4H-SiC trench MOS barrier Schottky rectifier with low forward voltage drop using enhanced sidewall layer

    NASA Astrophysics Data System (ADS)

    Cho, Doohyung; Sim, Seulgi; Park, Kunsik; Won, Jongil; Kim, Sanggi; Kim, Kwangsoo

    2015-12-01

    In this paper, a 4H-SiC trench MOS barrier Schottky (TMBS) rectifier with an enhanced sidewall layer (ESL) is proposed. The proposed structure has a high doping concentration at the trench sidewall. This high doping concentration improves both the reverse blocking and forward characteristics of the structure. The ESL-TMBS rectifier has a 7.4% lower forward voltage drop and a 24% higher breakdown voltage. However, this structure has a reverse leakage current that is approximately three times higher than that of a conventional TMBS rectifier owing to the reduction in energy barrier height. This problem is solved when ESL is used partially, since its use provides a reverse leakage current that is comparable to that of a conventional TMBS rectifier. Thus, the forward voltage drop and breakdown voltage improve without any loss in static and dynamic characteristics in the ESL-TMBS rectifier compared with the performance of a conventional TMBS rectifier.

  16. Rock magnetic and geochemical analyses of surface sediment characteristics in deep ocean environments: A case study across the Ryukyu Trench

    NASA Astrophysics Data System (ADS)

    Kawamura, N.; Kawamura, K.; Ishikawa, N.

    2008-03-01

    Magnetic minerals in marine sediments are often dissolved or formed with burial depth, thereby masking the primary natural remanent magnetization and paleoclimate signals. In order to clarify the present sedimentary environment and the progressive changes with burial depth in the magnetic properties, we studied seven cores collected from the Ryukyu Trench, southwest Japan. Magnetic properties, organic geochemistry, and interstitial water chemistry of seven cores are described. Bottom water conditions at the landward slope, trench floor, and seaward slope are relatively suboxic, anoxic, and oxic, respectively. The grain size of the sediments become gradually finer with the distance from Okinawa Island and finer with increasing water depth. The magnetic carriers in the sediments are predominantly magnetite and maghemized magnetite, with minor amounts of hematite. In the topmost sediments from the landward slope, magnetic minerals are diluted by terrigenous materials and microfossils. The downcore variations in magnetic properties and geochemical data provided evidence for the dissolution of fine-grained magnetite with burial depth under an anoxic condition.

  17. Opening of the Gulf of Guayaquil: quantifying the motion from the trench to the Andean Cordillera

    NASA Astrophysics Data System (ADS)

    Santana, E.; Dumont, J. F.; Vilema, W.; Pedoja, K.

    2003-04-01

    The Gulf of Guayaquil is a complex pull-apart opened at the south tip of the North Andean Block. The Guayaquil-Caracas Megashear (i.e. Dolores-Guayaquil Megashear) which bounds the North Andean Block to the east crosses the Western Andean Cordillera along the Pallatanga fault, and joins southwestward the Ecuadorian trench. According to paleogeographic data the Gulf of Guayaquil began to open about 9 Ma ago, which is evidenced now by about 100 km offset of the Early Neogene Progresso and Loja basins. A lesser post orogenic offset of about 60 km is observed along the Pallatanga fault, coherent with the offset of the piedmont of the Western Andean Cordillera. The discrepancy suggests a partition of the deformation at the south tip of the North Andean Block, part of the motion being accommodated by other small faults north of the Pallatanga fault zone and resulting in a pull apart motion and subsidence of the Daule-Babahoyo basin. The main curve of the Tallara Arc described by the trend of the trench from north Peru to north Ecuador shows a significant anomaly in front of the Gulf of Guayaquil. A 60 to 70 km minimum right hand deviation of the curve is observed, displayed in three segments delimited by faults. Neotectonic studies in the Santa Clara and Puná Islands located in the Gulf of Guayaquil show a regional E-W shortening during the Pleistocene, characterised by right lateral motion along the Zambapala Cordillera in Puná. Offset of river drainage and morphostructures in South Puná gives evidence of 3 km dextral offset which post-date marine terraces with an estimated age of M.I.S. 9 or 11, giving mean offset rates of 9 mm/y or 6.8 mm/y respectively. The conclusion underlines the following points: (1) These data suggest that the offset rate inside the Gulf of Guayaquil during the Late Quaternary is lower than the mean rate observed since 9 Ma. The apparent slow down may be due to the partition of the deformation of the Gulf of Guayaquil towards the Guayas

  18. Evaluating Transport and Attenuation of Inorganic Contaminants in the Vadose Zone for Aqueous Waste Disposal Sites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Truex, Michael J.; Oostrom, Martinus; Tartakovsky, Guzel D.

    An approach was developed for evaluating vadose zone transport and attenuation of aqueous wastes containing inorganic (non-volatile) contaminants that were disposed of at the land surface (i.e., directly to the ground in cribs, trenches, tile fields, etc.) and their effect on the underlying groundwater. The approach provides a structured method for estimating transport of contaminants through the vadose zone and the resulting temporal profile of groundwater contaminant concentrations. The intent of the approach is also to provide a means for presenting and explaining the results of the transport analysis in the context of the site-specific waste disposal conditions and sitemore » properties, including heterogeneities and other complexities. The document includes considerations related to identifying appropriate monitoring to verify the estimated contaminant transport and associated predictions of groundwater contaminant concentrations. While primarily intended for evaluating contaminant transport under natural attenuation conditions, the approach can also be applied to identify types of, and targets for, mitigation approaches in the vadose zone that would reduce the temporal profile of contaminant concentrations in groundwater, if needed.« less

  19. A complex baleen whale call recorded in the Mariana Trench Marine National Monument.

    PubMed

    Nieukirk, Sharon L; Fregosi, Selene; Mellinger, David K; Klinck, Holger

    2016-09-01

    In fall 2014 and spring 2015, passive acoustic data were collected via autonomous gliders east of Guam in an area that included the Mariana Trench Marine National Monument. A short (2-4 s), complex sound was recorded that features a ∼38 Hz moan with both harmonics and amplitude modulation, followed by broad-frequency metallic-sounding sweeps up to 7.5 kHz. This sound was recorded regularly during both fall and spring surveys. Aurally, the sound is quite unusual and most resembles the minke whale "Star Wars" call. It is likely this sound is biological and produced by a baleen whale.

  20. Sediment sound velocities from Sonobuoys: Sunda Trench and forearc basins, Nicobar and Central Bengal Fans, and Andaman Sea Basins

    NASA Astrophysics Data System (ADS)

    Bachman, Richard T.; Hamilton, Edwin L.; Curray, Joseph R.

    1983-11-01

    Supplement is available with entire article on microfiche. Order from American Geophysical Union, 2000 Florida Avenue, N.W., Washington, DC 20009. Document B83-007; $2.50. Payment must accompany order. Measurements of mean sound velocities in the first, largely unlithified layers in the seafloor were made using the sonobuoy technique in several areas in the northern Indian Ocean. Older measurements were added to new measurements, and regressions for mean and instantaneous velocity versus one-way travel time of sound are presented for the central Bengal Fan, the central Andaman Sea Basin, the Nicobar Fan, and the Sunda Trench. New data and regression equations are presented for the Mergui-north Sumatra Basin and for four forearc basins between Sumatra and Java and the Sunda Trench. Minimum velocity gradients were found in those areas where sedimentation rates were high, and sediments have accumulated in thick sections which have not had time to fully consolidate (porosity in the top of the sediment section has not been fully reduced under overburden pressure). These minimum velocity gradients (just under the seafloor) were found in the four forearc basins where they ranged from 0.34 s-1 to 0.84 s-1 with an average of 0.58 s-1. The near-surface velocity gradient in the Sunda Trench was 1.33 s-1, but was higher in the adjacent, fossil Nicobar Fan (1.62 s-1). In the surface of the Bengal Fan the velocity gradient was low in the upper fan (0.86 s-1), high in the central fan (1.94 s-1), and again lower in the southern fan (1.18 s-1), which may support sedimentation models calling for bypassing of the central fan and higher rates of accumulation on the southern fan.