Sample records for wet-etching patterned sapphire

  1. Fabrication of volcano-shaped nano-patterned sapphire substrates using colloidal self-assembly and wet chemical etching.

    PubMed

    Geng, Chong; Zheng, Lu; Fang, Huajing; Yan, Qingfeng; Wei, Tongbo; Hao, Zhibiao; Wang, Xiaoqing; Shen, Dezhong

    2013-08-23

    Patterned sapphire substrates (PSS) have been widely used to enhance the light output power in GaN-based light emitting diodes. The shape and feature size of the pattern in a PSS affect its enhancement efficiency to a great degree. In this work we demonstrate the nanoscale fabrication of volcano-shaped PSS using a wet chemical etching approach in combination with a colloidal monolayer templating strategy. Detailed analysis by scanning electron microscopy reveals that the unique pattern shape is a result of the different corrosion-resistant abilities of silica masks of different effective heights during wet chemical etching. The formation of silica etching masks of different effective heights has been ascribed to the silica precursor solution in the interstice of the colloidal monolayer template being distributed unevenly after infiltration. In the subsequent wet chemical etching process, the active reaction sites altered as etching duration was prolonged, resulting in the formation of volcano-shaped nano-patterned sapphire substrates.

  2. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  3. Fabrication of large-area nano-scale patterned sapphire substrate with laser interference lithography

    NASA Astrophysics Data System (ADS)

    Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong

    2014-01-01

    Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.

  4. Fabrication of 2-inch nano patterned sapphire substrate with high uniformity by two-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Dai, LongGui; Yang, Fan; Yue, Gen; Jiang, Yang; Jia, Haiqiang; Wang, Wenxin; Chen, Hong

    2014-11-01

    Generally, nano-scale patterned sapphire substrate (NPSS) has better performance than micro-scale patterned sapphire substrate (MPSS) in improving the light extraction efficiency of LEDs. Laser interference lithography (LIL) is one of the powerful fabrication methods for periodic nanostructures without photo-masks for different designs. However, Lloyd's mirror LIL system has the disadvantage that fabricated patterns are inevitably distorted, especially for large-area twodimensional (2D) periodic nanostructures. Herein, we introduce two-beam LIL system to fabricate consistent large-area NPSS. Quantitative analysis and characterization indicate that the high uniformity of the photoresist arrays is achieved. Through the combination of dry etching and wet etching techniques, the well-defined NPSS with period of 460 nm were prepared on the whole sapphire substrate. The deviation is 4.34% for the bottom width of the triangle truncated pyramid arrays on the whole 2-inch sapphire substrate, which is suitable for the application in industrial production of NPSS.

  5. Enhancement of the light output power of InGaN/GaN light-emitting diodes grown on pyramidal patterned sapphire substrates in the micro- and nanoscale

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Yan, Fawang; Zhang, Yang; Li, Jinmin; Zeng, Yiping; Wang, Guohong

    2008-01-01

    Sapphire substrates were patterned by a chemical wet etching technique in the micro- and nanoscale to enhance the light output power of InGaN/GaN light-emitting diodes (LEDs). InGaN/GaN LEDs on a pyramidal patterned sapphire substrate in the microscale (MPSS) and pyramidal patterned sapphire substrate in the nanoscale (NPSS) were grown by metalorganic chemical vapor deposition. The characteristics of the LEDs fabricated on the MPSS and NPSS prepared by wet etching were studied and the light output powers of the LEDs fabricated on the MPSS and NPSS increased compared with that of the conventional LEDs fabricated on planar sapphire substrates. In comparison with the planar sapphire substrate, an enhancement in output power of about 29% and 48% is achieved with the MPSS and NPSS at an injection current of 20 mA, respectively. This significant enhancement is attributable to the improvement of the epitaxial quality of GaN-based epilayers and the improvement of the light extraction efficiency by patterned sapphire substrates. Additionally, the NPSS is more effective to enhance the light output power than the MPSS.

  6. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  7. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    PubMed

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  8. High T(sub c) Superconducting Bolometer on Chemically Etched 7 Micrometer Thick Sapphire

    NASA Technical Reports Server (NTRS)

    Lakew, B.; Brasunas, J. C.; Pique, A.; Fettig, R.; Mott, B.; Babu, S.; Cushman, G. M.

    1997-01-01

    A transition-edge IR detector, using a YBa2Cu3O(7-x) (YBCO) thin film deposited on a chemically etched, 7 micrometer thick sapphire substrate has been built. To our knowledge it is the first such high T(sub c) superconducting (HTS) bolometer on chemically thinned sapphire. The peak optical detectivity obtained is l.2 x 10(exp 10) cmHz(sup 1/2)/W near 4Hz. Result shows that it is possible to obtain high detectivity with thin films on etched sapphire with no processing after the deposition of the YBCO film. We discuss the etching process and its potential for micro-machining sapphire and fabricating 2-dimensional detector arrays with suspended sapphire membranes. A 30 micrometer thick layer of gold black provided IR absorption. Comparison is made with the current state of the art on silicon substrates.

  9. Bulk vertical micromachining of single-crystal sapphire using inductively coupled plasma etching for x-ray resonant cavities

    NASA Astrophysics Data System (ADS)

    Chen, P.-C.; Lin, P.-T.; Mikolas, D. G.; Tsai, Y.-W.; Wang, Y.-L.; Fu, C.-C.; Chang, S.-L.

    2015-01-01

    To provide coherent x-ray sources for probing the dynamic structures of solid or liquid biological substances on the picosecond timescale, a high-aspect-ratio x-ray resonator cavity etched from a single crystal substrate with a nearly vertical sidewall structure is required. Although high-aspect-ratio resonator cavities have been produced in silicon, they suffer from unwanted multiple beam effects. However, this problem can be avoided by using the reduced symmetry of single-crystal sapphire in which x-ray cavities may produce a highly monochromatic transmitted x-ray beam. In this study, we performed nominal 100 µm deep etching and vertical sidewall profiles in single crystal sapphire using inductively coupled plasma (ICP) etching. The large depth is required to intercept a useful fraction of a stopped-down x-ray beam, as well as for beam clearance. An electroplated Ni hard mask was patterned using KMPR 1050 photoresist and contact lithography. The quality and performance of the x-ray cavity depended upon the uniformity of the cavity gap and therefore verticality of the fabricated vertical sidewall. To our knowledge, this is the first report of such deep, vertical etching of single-crystal sapphire. A gas mixture of Cl2/BCl3/Ar was used to etch the sapphire with process variables including BCl3 flow ratio and bias power. By etching for 540 min under optimal conditions, we obtained an x-ray resonant cavity with a depth of 95 µm, width of ~30 µm, gap of ~115 µm and sidewall profile internal angle of 89.5°. The results show that the etching parameters affected the quality of the vertical sidewall, which is essential for good x-ray resonant cavities.

  10. Submicron diameter single crystal sapphire optical fiber

    DOE PAGES

    Hill, Cary; Homa, Daniel; Liu, Bo; ...

    2014-10-02

    In this work, a submicron-diameter single crystal sapphire optical fiber was demonstrated via wet acid etching at elevated temperatures. Etch rates on the order 2.3 µm/hr were achievable with a 3:1 molar ratio sulfuric-phosphoric acid solution maintained at a temperature of 343°C. A sapphire fiber with an approximate diameter of 800 nm was successfully fabricated from a commercially available fiber with an original diameter of 50 µm. The simple and controllable etching technique provides a feasible approach to the fabrication of unique waveguide structures via traditional silica masking techniques. The ability to tailor the geometry of sapphire optical fibers ismore » the first step in achieving optical and sensing performance on par with its fused silica counterpart.« less

  11. Chemical etching mechanism and properties of microstructures in sapphire modified by femtosecond laser

    NASA Astrophysics Data System (ADS)

    Liu, Manyu; Hu, Youwang; Sun, Xiaoyan; Wang, Cong; Zhou, Jianying; Dong, Xinran; Yin, Kai; Chu, Dongkai; Duan, Ji'an

    2017-01-01

    Sapphire, with extremely high hardness, high-temperature stability and wear resistance, often corroded in molten KOH at 300 °C after processing. The fabrication of microstructures on sapphire substrate performed by femtosecond laser irradiation combined with KOH solution chemical etching at room temperature is presented. It is found that this method reduces the harsh requirements of sapphire corrosion. After femtosecond irradiation, the sapphire has a high corrosion speed at room temperature. Through the analysis of Raman spectrum and XRD spectrum, a novel insight of femtosecond laser interaction with sapphire (α-Al2O3) is proposed. Results indicated that grooves on sapphire surface were formed by the lasers ablation removal, and the groove surface was modified in a certain depth. The modified area of the groove surface was changed from α-Al2O3 to γ-Al2O3. In addition, the impacts of three experimental parameters, laser power, scanning velocities and etching time, on the width and depth of microstructures are investigated, respectively. The modified area dimension is about 2 μm within limits power and speed. This work could fabricate high-quality arbitrary microstructures and enhance the performance of sapphire processing.

  12. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Hao; Li, Yufeng; Wang, Shuai

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%.more » Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.« less

  13. Micro-pyramidal structure fabrication on polydimethylsiloxane (PDMS) by Si (100) KOH wet etching

    NASA Astrophysics Data System (ADS)

    Hwang, Shinae; Lim, Kyungsuk; Shin, Hyeseon; Lee, Seongjae; Jang, Moongyu

    2017-10-01

    A high degree of accuracy in bulk micromachining is essential to fabricate micro-electro-mechanical systems (MEMS) devices. A series of etching experiments is carried out using 40 wt% KOH solutions at the constant temperature of 70 °C. Before wet etching, SF6 and O2 are used as the dry etching gas to etch the masking layers of a 100 nm thick Si3N4 and SiO2, respectively. The experimental results indicate that (100) silicon wafer form the pyramidal structures with (111) single crystal planes. All the etch profiles are analyzed using Scanning Electron Microscope (SEM) and the wet etch rates depend on the opening sizes. The manufactured pyramidal structures are used as the pattern of silicon mold. After a short hardening of coated polydimethylsiloxane (PDMS) layer, micro pyramidal structures are easily transferred to PDMS layer.

  14. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  15. Dynamic Pattern Formation in Electron-Beam-Induced Etching [Emergent formation of dynamic topographic patterns in electron beam induced etching

    DOE PAGES

    Martin, Aiden A.; Bahm, Alan; Bishop, James; ...

    2015-12-15

    Here, we report highly ordered topographic patterns that form on the surface of diamond, span multiple length scales, and have a symmetry controlled by the precursor gas species used in electron-beam-induced etching (EBIE). The pattern formation dynamics reveals an etch rate anisotropy and an electron energy transfer pathway that is overlooked by existing EBIE models. Therefore, we, modify established theory such that it explains our results and remains universally applicable to EBIE. Furthermore, the patterns can be exploited in controlled wetting, optical structuring, and other emerging applications that require nano- and microscale surface texturing of a wide band-gap material.

  16. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    NASA Astrophysics Data System (ADS)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  17. Tridimensional morphology and kinetics of etch pit on the {l_brace}0 0 0 1{r_brace} plane of sapphire crystal

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Lunyong; Sun Jianfei, E-mail: jfsun_hit@263.net; Zuo Hongbo

    2012-08-15

    The tridimensional morphology and etching kinetics of the etch pit on the C-{l_brace}0 0 0 1{r_brace} plane of sapphire crystal ({alpha}-Al{sub 2}O{sub 3}) in molten KOH were studied experimentally. It was shown that the etch pit takes on tridimensional morphologies with triangular symmetry same as the symmetric property of the sapphire crystal. Pits like centric and eccentric triangular pyramid as well as hexagonal pyramid were observed, but the latter is less in density. In-depth analyses show the side walls of the etch pits belong to the {l_brace}1 1{sup Macron} 0 2{sup Macron }{r_brace} family, and the triangular pit contains edgesmore » full composed by Al{sup 3+} ions on the etching surface so it is more stable than the hexagonal pit since its edges on the etching surface contains Al{sup 2+} ions. The etch pits developed in a manner of kinematic wave by the step moving with constant speed, which is controlled by the chemical reaction with activation energy of 96.6 kJ/mol between Al{sub 2}O{sub 3} and KOH. - Graphical abstract: Schematic showing the atomic configuration of the predicted side walls of regular triangular pyramid shaped etch pit on the C-{l_brace}0 0 0 1{r_brace} plane of sapphire crystal. Highlights: Black-Right-Pointing-Pointer Observed the tridimensional morphology of etch pits. Black-Right-Pointing-Pointer Figured out the atomic configuration origin of the etch pits. Black-Right-Pointing-Pointer Quantitatively determined the etch rates of the etch pits.« less

  18. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    PubMed Central

    Choi, Woong-Kirl; Kim, Seong-Hyun; Choi, Seung-Geon; Lee, Eun-Sang

    2018-01-01

    Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs) contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks. PMID:29351235

  19. Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography

    PubMed Central

    2013-01-01

    Large-scale nanopatterned sapphire substrates were fabricated by annealing of patterned Al thin films. Patterned Al thin films were obtained by soft UV-nanoimprint lithography and reactive ion etching. The soft mold with 550-nm-wide lines separated by 250-nm space was composed of the toluene-diluted polydimethylsiloxane (PDMS) layer supported by the soft PDMS. Patterned Al thin films were subsequently subjected to dual-stage annealing due to the melting temperature of Al thin films (660°C). The first comprised a low-temperature oxidation anneal at 450°C for 24 h. This was followed by a high-temperature annealing in the range of 1,000°C and 1,200°C for 1 h to induce growth of the underlying sapphire single crystal to consume the oxide layer. The SEM results indicate that the patterns were retained on sapphire substrates after high-temperature annealing at less than 1,200°C. Finally, large-scale nanopatterned sapphire substrates were successfully fabricated by annealing of patterned Al thin films for 24 h at 450°C and 1 h at 1,000°C by soft UV-nanoimprint lithography. PMID:24215718

  20. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  1. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  2. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  3. Overview of several applications of chemical downstream etching (CDE) for IC manufacturing: advantages and drawbacks versus WET processes

    NASA Astrophysics Data System (ADS)

    de Buttet, Côme; Prevost, Emilie; Campo, Alain; Garnier, Philippe; Zoll, Stephane; Vallier, Laurent; Cunge, Gilles; Maury, Patrick; Massin, Thomas; Chhun, Sonarith

    2017-03-01

    Today the IC manufacturing faces lots of problematics linked to the continuous down scaling of printed structures. Some of those issues are related to wet processing, which are often used in the IC manufacturing flow for wafer cleaning, material etching and surface preparation. In the current work we summarize the limitations for the next nodes of wet processing such as metallic contaminations, wafer charging, corrosion and pattern collapse. As a replacement, we promoted the isotropic chemical dry etching (CDE) which is supposed to fix all the above drawbacks. Etching steps of SI3N4 layers were evaluated in order to prove the interest of such technique.

  4. Effects of wet etch processing on laser-induced damage of fused silica surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Battersby, C.L.; Kozlowski, M.R.; Sheehan, L.M.

    1998-12-22

    Laser-induced damage of transparent fused silica optical components by 355 nm illumination occurs primarily at surface defects produced during the grinding and polishing processes. These defects can either be surface defects or sub-surface damage.Wet etch processing in a buffered hydrogen fluoride (HF) solution has been examined as a tool for characterizing such defects. A study was conducted to understand the effects of etch depth on the damage threshold of fused silica substrates. The study used a 355 nm, 7.5 ns, 10 Hz Nd:YAG laser to damage test fused silica optics through various wet etch processing steps. Inspection of the surfacemore » quality was performed with Nomarski microscopy and Total Internal Reflection Microscopy. The damage test data and inspection results were correlated with polishing process specifics. The results show that a wet etch exposes subsurface damage while maintaining or improving the laser damage performance. The benefits of a wet etch must be evaluated for each polishing process.« less

  5. An optimized one-step wet etching process of Pb(Zr0.52Ti0.48)O3 thin films for microelectromechanical system applications

    NASA Astrophysics Data System (ADS)

    Che, L.; Halvorsen, E.; Chen, X.

    2011-10-01

    The existence of insoluble residues as intermediate products produced during the wet etching process is the main quality-reducing and structure-patterning issue for lead zirconate titanate (PZT) thin films. A one-step wet etching process using the solutions of buffered HF (BHF) and HNO3 acid was developed for patterning PZT thin films for microelectomechanical system (MEMS) applications. PZT thin films with 1 µm thickness were prepared on the Pt/Ti/SiO2/Si substrate by the sol-gel process for compatibility with Si micromachining. Various compositions of the etchant were investigated and the patterns were examined to optimize the etching process. The optimal result is demonstrated by a high etch rate (3.3 µm min-1) and low undercutting (1.1: 1). The patterned PZT thin film exhibits a remnant polarization of 24 µC cm-2, a coercive field of 53 kV cm-1, a leakage current density of 4.7 × 10-8 A cm-2 at 320 kV cm-1 and a dielectric constant of 1100 at 1 KHz.

  6. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  7. Spin-on metal oxide materials with high etch selectivity and wet strippability

    NASA Astrophysics Data System (ADS)

    Yao, Huirong; Mullen, Salem; Wolfer, Elizabeth; McKenzie, Douglas; Rahman, Dalil; Cho, JoonYeon; Padmanaban, Munirathna; Petermann, Claire; Hong, SungEun; Her, YoungJun

    2016-03-01

    Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.

  8. Single Mode Air-Clad Single Crystal Sapphire Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hill, Cary; Homa, Dan; Yu, Zhihao

    The observation of single mode propagation in an air-clad single crystal sapphire optical fiber at wavelengths at and above 783 nm is presented for the first time. A high-temperature wet acid etching method was used to reduce the diameter of a 10 cm length of commercially-sourced sapphire fiber from 125 micrometers to 6.5 micrometers, and far-field imaging provided modal information at intervals as the fiber diameter decreased. Modal volume was shown to decrease with decreasing diameter, and single mode behavior was observed at the minimum diameter achieved. While weakly-guiding approximations are generally inaccurate for low modal volume optical fiber withmore » high core-cladding refractive index disparity, consistency between these approximations and experimental results was observed when the effective numerical aperture was measured and substituted for the theoretical numerical aperture in weakly-guiding approximation calculations. With the demonstration of very low modal volume in sapphire at fiber diameters much larger than anticipated by legacy calculations, the resolution of sapphire fiber distributed sensors may be increased and other sensing schemes requiring very low modal volume, such as fiber Bragg gratings, may be realized in extreme environment applications.« less

  9. Single Mode Air-Clad Single Crystal Sapphire Optical Fiber

    DOE PAGES

    Hill, Cary; Homa, Dan; Yu, Zhihao; ...

    2017-05-03

    The observation of single mode propagation in an air-clad single crystal sapphire optical fiber at wavelengths at and above 783 nm is presented for the first time. A high-temperature wet acid etching method was used to reduce the diameter of a 10 cm length of commercially-sourced sapphire fiber from 125 micrometers to 6.5 micrometers, and far-field imaging provided modal information at intervals as the fiber diameter decreased. Modal volume was shown to decrease with decreasing diameter, and single mode behavior was observed at the minimum diameter achieved. While weakly-guiding approximations are generally inaccurate for low modal volume optical fiber withmore » high core-cladding refractive index disparity, consistency between these approximations and experimental results was observed when the effective numerical aperture was measured and substituted for the theoretical numerical aperture in weakly-guiding approximation calculations. With the demonstration of very low modal volume in sapphire at fiber diameters much larger than anticipated by legacy calculations, the resolution of sapphire fiber distributed sensors may be increased and other sensing schemes requiring very low modal volume, such as fiber Bragg gratings, may be realized in extreme environment applications.« less

  10. Comparison of separation performance of laser-ablated and wet-etched microfluidic devices

    PubMed Central

    Baker, Christopher A.; Bulloch, Rayford; Roper, Michael G.

    2010-01-01

    Laser ablation of glass allows for production of microfluidic devices without the need of hydrofluoric acid and photolithography. The goal of this study was to compare the separation performance of microfluidic devices produced using a low-cost laser ablation system and conventional wet etching. During laser ablation, cracking of the glass substrate was prevented by heating the glass to 300°C. A range of laser energy densities was found to produce channel depths ranging from 4 – 35 μm and channel widths from 118 – 162 μm. The electroosmotic flow velocity was lower in laser-ablated devices, 0.110 ± 0.005 cm s−1, as compared to wet-etched microfluidic chips, 0.126 ± 0.003 cm s−1. Separations of both small and large molecules performed on both wet- and laser-ablated devices were compared by examining limits of detection, theoretical plate count, and peak asymmetry. Laser-induced fluorescence detection limits were 10 pM fluorescein for both types of devices. Laser-ablated and wet-etched microfluidic chips had reproducible migration times with ≤ 2.8% RSD and peak asymmetries ranging from 1.0 – 1.8. Numbers of theoretical plates were between 2.8- and 6.2-fold higher on the wet-etched devices compared to laser-ablated devices. Nevertheless, resolution between small and large analytes was accomplished, which indicates that laser ablation may find an application in pedagogical studies of electrophoresis or microfluidic devices, or in settings where hydrofluoric acid cannot be used. PMID:20827468

  11. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  12. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    PubMed

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  13. Light-trapping optimization in wet-etched silicon photonic crystal solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eyderman, Sergey, E-mail: sergey.eyderman@utoronto.ca; John, Sajeev; Department of Physics, King Abdul-Aziz University, Jeddah

    2015-07-14

    We demonstrate, by numerical solution of Maxwell's equations, near-perfect solar light-trapping and absorption over the 300–1100 nm wavelength band in silicon photonic crystal (PhC) architectures, amenable to fabrication by wet-etching and requiring less than 10 μm (equivalent bulk thickness) of crystalline silicon. These PhC's consist of square lattices of inverted pyramids with sides comprised of various (111) silicon facets and pyramid center-to-center spacing in the range of 1.3–2.5 μm. For a wet-etched slab with overall height H = 10 μm and lattice constant a = 2.5 μm, we find a maximum achievable photo-current density (MAPD) of 42.5 mA/cm{sup 2}, falling not far from 43.5 mA/cm{sup 2}, correspondingmore » to 100% solar absorption in the range of 300–1100 nm. We also demonstrate a MAPD of 37.8 mA/cm{sup 2} for a thinner silicon PhC slab of overall height H = 5 μm and lattice constant a = 1.9 μm. When H is further reduced to 3 μm, the optimal lattice constant for inverted pyramids reduces to a = 1.3 μm and provides the MAPD of 35.5 mA/cm{sup 2}. These wet-etched structures require more than double the volume of silicon, in comparison to the overall mathematically optimum PhC structure (consisting of slanted conical pores), to achieve the same degree of solar absorption. It is suggested these 3–10 μm thick structures are valuable alternatives to currently utilized 300 μm-thick textured solar cells and are suitable for large-scale fabrication by wet-etching.« less

  14. The development of a method of producing etch resistant wax patterns on solar cells

    NASA Technical Reports Server (NTRS)

    Pastirik, E.

    1980-01-01

    A potentially attractive technique for wax masking of solar cells prior to etching processes was studied. This technique made use of a reuseable wax composition which was applied to the solar cell in patterned form by means of a letterpress printing method. After standard wet etching was performed, wax removal by means of hot water was investigated. Application of the letterpress wax printing process to silicon was met with a number of difficulties. The most serious shortcoming of the process was its inability to produce consistently well-defined printed patterns on the hard silicon cell surface.

  15. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  16. Fabrication of GaAs symmetric pyramidal mesas prepared by wet-chemical etching using AlAs interlayer

    NASA Astrophysics Data System (ADS)

    Kicin, S.; Cambel, V.; Kuliffayová, M.; Gregušová, D.; Kováčová, E.; Novák, J.; Kostič, I.; Förster, A.

    2002-01-01

    We present a wet-chemical-etching method developed for the preparation of GaAs four-sided pyramid-shaped mesas. The method uses a fast lateral etching of AlAs interlayer that influences the cross-sectional profiles of etched structures. We have tested the method using H3PO4:H2O2:H2O etchant for the (100) GaAs patterning. The sidewalls of the prepared pyramidal structures together with the (100) bottom facet formed the cross-sectional angles 25° and 42° for mask edges parallel, resp. perpendicular to {011} cleavage planes. For mask edges turned in 45° according to the cleavage planes, 42° cross-sectional angles were obtained. Using the method, symmetric and more than 10-μm-high GaAs "Egyptian" pyramids with smooth tilted facets were prepared.

  17. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  18. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  19. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  20. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  1. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching.

    PubMed

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-12-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  2. Increased effective reflection and transmission at the GaN-sapphire interface of LEDs grown on patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dongxue, Wu; Ping, Ma; Boting, Liu; Shuo, Zhang; Junxi, Wang; Jinmin, Li

    2016-10-01

    The effect of patterned sapphire substrate (PSS) on the top-surface (P-GaN-surface) and the bottom-surface (sapphire-surface) of the light output power (LOP) of GaN-based LEDs was investigated, in order to study the changes in reflection and transmission of the GaN-sapphire interface. Experimental research and computer simulations were combined to reveal a great enhancement in LOP from either the top or bottom surface of GaN-based LEDs, which are prepared on patterned sapphire substrates (PSS-LEDs). Furthermore, the results were compared to those of the conventional LEDs prepared on the planar sapphire substrates (CSS-LEDs). A detailed theoretical analysis was also presented to further support the explanation for the increase in both the effective reflection and transmission of PSS-GaN interface layers and to explain the causes of increased LOP values. Moreover, the bottom-surface of the PSS-LED chip shows slightly increased light output performance when compared to that of the top-surface. Therefore, the light extraction efficiency (LEE) can be further enhanced by integrating the method of PSS and flip-chip structure design. Project supported by the National High Technology Program of China (No. Y48A040000) and the National High Technology Program of China (No. Y48A040000).

  3. Resin–dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding

    PubMed Central

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H.; Tay, Franklin R.; Watson, Timothy F.; Osorio, Raquel

    2013-01-01

    Objective To compare resin–dentin bond strengths and the micropermeability of hydrophobic vs. hydrophilic resins bonded to acid-etched or EDTA-treated dentin, using the ethanol wet-bonding technique. Methods Flat dentin surfaces from extracted human third molars were conditioned before bonding with: 37% H3PO4 (15 s) or 0.1 M EDTA (60 s). Five experimental resin blends of different hydrophilicities and one commercial adhesive (SBMP: Scotchbond Multi-Purpose) were applied to ethanol wet-dentin (1 min) and light-cured (20 s). The solvated resins were used as primers (50% ethanol/50% comonomers) and their respective neat resins were used as the adhesive. The resin-bonded teeth were stored in distilled water (24 h) and sectioned in beams for microtensile bond strength testing. Modes of failure were examined by stereoscopic light microscopy and SEM. Confocal tandem scanning microscopy (TSM) interfacial characterization and micropermeability were also performed after filling the pulp chamber with 1 wt% aqueous rhodamine-B. Results The most hydrophobic resin 1 gave the lowest bond strength values to acid-etched dentin and all beams failed prematurely when the resin was applied to EDTA-treated dentin. Resins 2 and 3 gave intermediate bond strengths to both conditioned substrates. Resin 4, an acidic hydrophilic resin, gave the highest bond strengths to both EDTA-treated and acid-etched dentin. Resin 5 was the only hydrophilic resin showing poor resin infiltration when applied on acid-etched dentin. Significance The ethanol wet-bonding technique may improve the infiltration of most of the adhesives used in this study into dentin, especially when applied to EDTA-treated dentin. The chemical composition of the resin blends was a determining factor influencing the ability of adhesives to bond to EDTA-treated or 37% H3PO4 acid-etched dentin, when using the ethanol wet-bonding technique in a clinically relevant time period. PMID:20074787

  4. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    NASA Astrophysics Data System (ADS)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  5. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  6. Effect of tetramethylammonium hydroxide/isopropyl alcohol wet etching on geometry and surface roughness of silicon nanowires fabricated by AFM lithography

    PubMed Central

    Yusoh, Siti Noorhaniah

    2016-01-01

    Summary The optimization of etchant parameters in wet etching plays an important role in the fabrication of semiconductor devices. Wet etching of tetramethylammonium hydroxide (TMAH)/isopropyl alcohol (IPA) on silicon nanowires fabricated by AFM lithography is studied herein. TMAH (25 wt %) with different IPA concentrations (0, 10, 20, and 30 vol %) and etching time durations (30, 40, and 50 s) were investigated. The relationships between etching depth and width, and etching rate and surface roughness of silicon nanowires were characterized in detail using atomic force microscopy (AFM). The obtained results indicate that increased IPA concentration in TMAH produced greater width of the silicon nanowires with a smooth surface. It was also observed that the use of a longer etching time causes more unmasked silicon layers to be removed. Importantly, throughout this study, wet etching with optimized parameters can be applied in the design of the devices with excellent performance for many applications. PMID:27826521

  7. Model of wet chemical etching of swift heavy ions tracks

    NASA Astrophysics Data System (ADS)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  8. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  9. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Lee, Fang-Wei; Ke, Wen-Cheng; Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo

    2016-07-01

    This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 108 cm-2 for GaN on bare sapphire to 4.9 × 108 cm-2 for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm2/Vs for GaN on bare sapphire to 199 cm2/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with a high crystalline quality.

  10. Figuring of plano-elliptical neutron focusing mirror by local wet etching.

    PubMed

    Yamamura, Kazuya; Nagano, Mikinori; Takai, Hiroyuki; Zettsu, Nobuyuki; Yamazaki, Dai; Maruyama, Ryuji; Soyama, Kazuhiko; Shimada, Shoichi

    2009-04-13

    Local wet etching technique was proposed to fabricate high-performance aspherical mirrors. In this process, only the limited area facing to the small nozzle is removed by etching on objective surface. The desired objective shape is deterministically fabricated by performing the numerically controlled scanning of the nozzle head. Using the technique, a plano-elliptical mirror to focus the neutron beam was successfully fabricated with the figure accuracy of less than 0.5 microm and the focusing gain of 6. The strong and thin focused neutron beam is expected to be a useful tool for the analyses of various material properties.

  11. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  12. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  13. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  14. InGaN-Based Light-Emitting Diodes Grown on a Micro/Nanoscale Hybrid Patterned Sapphire Substrate.

    PubMed

    Ke, Wen-Cheng; Lee, Fang-Wei; Chiang, Chih-Yung; Liang, Zhong-Yi; Chen, Wei-Kuo; Seong, Tae-Yeon

    2016-12-21

    A hybrid patterned sapphire substrate (hybrid-PSS) was prepared using an anodic aluminum oxide etching mask to transfer nanopatterns onto a conventional patterned sapphire substrate with microscale patterns (bare-PSS). The threading dislocation (TD) suppression of light-emitting diodes (LEDs) grown on a hybrid-PSS (HP-LED) exhibits a smaller reverse leakage current compared with that of LEDs grown on a bare-PSS (BP-LED). The strain-free GaN buffer layer and fully strained InGaN active layer were evidenced by cross-sectional Raman spectra and reciprocal space mapping of the X-ray diffraction intensity for both samples. The calculated piezoelectric fields for both samples are close, implying that the quantum-confined Stark effect was not a dominant mechanism influencing the electroluminescence (EL) peak wavelength under a high injection current. The bandgap shrinkage effect of the InGaN well layer was considered to explain the large red-shifted EL peak wavelength under high injection currents. The estimated LED chip temperatures rise from room temperature to 150 °C and 75 °C for BP-LED and HP-LED, respectively, at a 600-mA injection current. This smaller temperature rise of the LED chip is attributed to the increased contact area between the sapphire and the LED structural layer because of the embedded nanopattern. Although the chip generates more heat at high injection currents, the accumulated heat can be removed to outside the chip effectively. The high diffuse reflection (DR) rate of hybrid-PSS increases the escape probability of photons, resulting in an increase in the viewing angle of the LEDs from 130° to 145°. The efficiency droop was reduced from 46% to 35%, effects which can be attributed to the elimination of TDs and strain relaxation by embedded nanopatterns. In addition, the light output power of HP-LED at 360-mA injection currents exhibits a ∼ 22.3% enhancement, demonstrating that hybrid-PSSs are beneficial to apply in high-power LEDs.

  15. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  16. Wet etching technique for fabrication of a high-quality plastic optical fiber sensor.

    PubMed

    Zhao, Mingfu; Dai, Lang; Zhong, Nianbing; Wang, Zhengkun; Chen, Ming; Li, Bingxin; Luo, Binbin; Tang, Bin; Shi, Shenghui; Song, Tao; Zou, Xue

    2017-11-01

    In this study, a simple wet etching technique is developed by employing aqueous solutions of acetic acid and ultrasonic irradiation for the fabrication of a high-quality plastic optical fiber (POF) sensor. The effects of acetic acid concentration and temperature and ultrasonic power on the etching rate and surface morphology of the etched POFs are investigated. The transmission spectrum and sensitivity of the etched POF sensors are evaluated using glucose solutions. We discovered that the POF sensors, which are fabricated using an aqueous solution of acetic acid with a concentration of 80 vol. % under an ultrasonic power of 130 W and temperature of 25°C, exhibit good light transmission and a high sensitivity of 9.10  [(RIU)(g/L)] -1 in the glucose solutions.

  17. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    NASA Astrophysics Data System (ADS)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  18. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  19. The magnetic properties and microstructure of Co-Pt thin films using wet etching process.

    PubMed

    Lee, Chang-Hyoung; Cho, Young-Lae; Lee, Won-Pyo; Suh, Su-Jeong

    2014-11-01

    Perpendicular magnetic recording (PMR) is a promising candidate for high density magnetic recording and has already been applied to hard disk drive (HDD) systems. However, media noise still limits the recording density. To reduce the media noise and achieve a high signal-to-noise ratio (SNR) in hard disk media, the grains of the magnetic layer must be magnetically isolated from each other. This study examined whether sputter-deposited Co-Pt thin films can have adjacent grains that are physically isolated. To accomplish this, the effects of the sputtering conditions and wet etching process on magnetic properties and the microstructure of the films were investigated. The film structure was Co-Pt (30 nm)/Ru (30 nm)/NiFe (10 nm)/Ta (5 nm). The composition of the Co-Pt thin films was Co-30.7 at.% Pt. The Co-Pt thin films were deposited in Ar gas at 5, 10, 12.5, and 15 mTorr. Wet etching process was performed using 7% nitric acid solution at room temperature. These films had high out-of-plane coercivity of up to 7032 Oe, which is twice that of the as-deposited film. These results suggest that wet etched Co-Pt thin films have weaker exchange coupling and enhanced out-of-plane coercivity, which would reduce the medium noise.

  20. Low-loss slot waveguides with silicon (111) surfaces realized using anisotropic wet etching

    NASA Astrophysics Data System (ADS)

    Debnath, Kapil; Khokhar, Ali; Boden, Stuart; Arimoto, Hideo; Oo, Swe; Chong, Harold; Reed, Graham; Saito, Shinichi

    2016-11-01

    We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI) platform. Waveguides oriented along the (11-2) direction on the Si (110) plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  1. Pattern transfer with stabilized nanoparticle etch masks

    NASA Astrophysics Data System (ADS)

    Hogg, Charles R.; Picard, Yoosuf N.; Narasimhan, Amrit; Bain, James A.; Majetich, Sara A.

    2013-03-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiOx substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results.

  2. Method for protecting chip corners in wet chemical etching of wafers

    DOEpatents

    Hui, Wing C.

    1994-01-01

    The present invention is a corner protection mask design that protects chip corners from undercutting during anisotropic etching of wafers. The corner protection masks abut the chip corner point and extend laterally from segments along one or both corner sides of the corner point, forming lateral extensions. The protection mask then extends from the lateral extensions, parallel to the direction of the corner side of the chip and parallel to scribe lines, thus conserving wafer space. Unmasked bomb regions strategically formed in the protection mask facilitate the break-up of the protection mask during etching. Corner protection masks are useful for chip patterns with deep grooves and either large or small chip mask areas. Auxiliary protection masks form nested concentric frames that etch from the center outward are useful for small chip mask patterns. The protection masks also form self-aligning chip mask areas. The present invention is advantageous for etching wafers with thin film windows, microfine and micromechanical structures, and for forming chip structures more elaborate than presently possible.

  3. Method for protecting chip corners in wet chemical etching of wafers

    DOEpatents

    Hui, W.C.

    1994-02-15

    The present invention is a corner protection mask design that protects chip corners from undercutting during anisotropic etching of wafers. The corner protection masks abut the chip corner point and extend laterally from segments along one or both corner sides of the corner point, forming lateral extensions. The protection mask then extends from the lateral extensions, parallel to the direction of the corner side of the chip and parallel to scribe lines, thus conserving wafer space. Unmasked bomb regions strategically formed in the protection mask facilitate the break-up of the protection mask during etching. Corner protection masks are useful for chip patterns with deep grooves and either large or small chip mask areas. Auxiliary protection masks form nested concentric frames that etch from the center outward are useful for small chip mask patterns. The protection masks also form self-aligning chip mask areas. The present invention is advantageous for etching wafers with thin film windows, microfine and micromechanical structures, and for forming chip structures more elaborate than presently possible. 63 figures.

  4. Nanoporous Gallium Nitride Through Anisotropic Metal-Assisted Electroless Photochemical Wet Etching Technique

    NASA Astrophysics Data System (ADS)

    Perumal, R.; Hassan, Z.

    2016-12-01

    Nanoporous gallium nitride (GaN) has many potential applications in light-emitting diodes (LEDs), photovoltaics, templates and chemical sensors. This article reports the porosification of GaN through UV enhanced metal-assisted electroless photochemical wet etching technique using three different acid-based etchants and platinum served as catalyst for porosification. The etching process was conducted at room temperature for a duration of 90min. The morphological, structural, spectral and optical features of the developed porous GaN were studied with appropriate characterization techniques and the obtained results were presented. Field emission scanning electron micrographs exhibited the porosity nature along with excellent porous network of the etched samples. Structural studies confirmed the mono crystalline quality of the porous nanostructures. Raman spectral analyzes inferred the presenting phonon modes such as E2 (TO) and A1 (LO) in fabricated nanoporous structures. The resulted porous nanostructures hold the substantially enhanced photoluminescence intensity compared with the pristine GaN epitaxial film that is interesting and desirable for several advances in the applications of Nano-optoelectronic devices.

  5. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    NASA Astrophysics Data System (ADS)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  6. Interface and transport properties of metallization contacts to flat and wet-etching roughed N-polar n-type GaN.

    PubMed

    Wang, Liancheng; Liu, Zhiqiang; Guo, Enqing; Yang, Hua; Yi, Xiaoyan; Wang, Guohong

    2013-06-26

    The electrical characteristics of metallization contacts to flat (F-sample, without wet-etching roughed) and wet-etching roughed (R-sample) N-polar (Nitrogen-polar) n-GaN have been investigated. R-sample shows higher contact resistance (Rc) to Al/Ti/Au (~2.5 × 10(-5) Ω·cm(2)) and higher Schottky barriers height (SBH, ~0.386 eV) to Ni/Au, compared with that of F-sample (~1.3 × 10(-6) Ω·cm(2), ~0.154 eV). Reasons accounting for this discrepancy has been detail investigated and discussed: for R-sample, wet-etching process caused surface state and spontaneous polarization variation will degraded its electrical characteristics. Metal on R-sample shows smoother morphology, however, the effect of metal deposition state on electrical characteristics is negligible. Metallization contact area for both samples has also been further considered. Electrical characteristics of metallization contact to both samples show degradation upon annealing. The VLED chip (1 mm × 1 mm), which was fabricated on the basis of a hybrid scheme, coupling the advantage of F- and R-sample, shows the lowest forward voltage (2.75 V@350 mA) and the highest light output power.

  7. Is laser conditioning a valid alternative to conventional etching for aesthetic brackets?

    PubMed

    Sfondrini, M F; Calderoni, G; Vitale, M C; Gandini, P; Scribante, A

    2018-03-01

    ER:Yag lasers have been described as a more conservative alternative to conventional acid-etching enamel conditioning technique, when bonding conventional metallic orthodontic brackets. Since the use of aesthetic orthodontic brackets is constantly increasing, the purpose of the present report has been to test laser conditioning with different aesthetic brackets. Study Design: Five different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were tested for shear bond strength and Adhesive Remnant Index scores using two different enamel conditioning techniques (acid etching and ER:Yag laser application). Two hundred bovine incisors were extracted, cleaned and embedded in resin. Specimens were then divided into 10 groups with random tables. Half of the specimens were conditioned with conventional orthophosphoric acid gel, the other half with ER:Yag laser. Different aesthetic brackets (microfilled copolymer, glass fiber, sapphire, polyoxymethylene and sintered ceramic) were then bonded to the teeth. Subsequently all groups were tested in shear mode with a Universal Testing Machine. Shear bond strength values and adhesive remnant index scores were recorded. Statistical analysis was performed. When considering conventional acid etching technique, sapphire, polyoxymethylene and sintered ceramic brackets exhibited the highest SBS values. Lowest values were reported for microfilled copolymer and glass fiber appliances. A significant decrease in SBS values after laser conditioning was reported for sapphire, polyoxymethylene and sintered ceramic brackets, whereas no significant difference was reported for microfilled copolymer and glass fiber brackets. Significant differences in ARI scores were also reported. Laser etching can significantly reduce bonding efficacy of sapphire, polyoxymethylene and sintered ceramic brackets.

  8. RIE-based Pattern Transfer Using Nanoparticle Arrays as Etch Masks

    NASA Astrophysics Data System (ADS)

    Hogg, Chip; Majetich, Sara A.; Bain, James A.

    2009-03-01

    Nanomasking is used to transfer the pattern of a self-assembled array of nanoparticles into an underlying thin film, for potential use as bit-patterned media. We have used this process to investigate the limits of pattern transfer, as a function of gap size in the pattern. Reactive Ion Etching (RIE) is our chosen process, since the gaseous reaction products and high chemical selectivity are ideal features for etching very small gaps. Interstitial surfactant is removed with an O2 plasma, allowing the etchants to penetrate between the particles. Their pattern is transferred into an intermediate SiO2 mask using a CH4-based RIE. This patterned SiO2 layer is finally used as a mask for the MeOH-based RIE which patterns the magnetic film. We present cross-sectional TEM characterization of the etch profiles, as well as magnetic characterization of the film before and after patterning.

  9. Growing Embossed Nanostructures of Polymer Brushes on Wet-Etched Silicon Templated via Block Copolymers

    PubMed Central

    Lu, Xiaobin; Yan, Qin; Ma, Yinzhou; Guo, Xin; Xiao, Shou-Jun

    2016-01-01

    Block copolymer nanolithography has attracted enormous interest in chip technologies, such as integrated silicon chips and biochips, due to its large-scale and mass production of uniform patterns. We further modified this technology to grow embossed nanodots, nanorods, and nanofingerprints of polymer brushes on silicon from their corresponding wet-etched nanostructures covered with pendent SiHx (X = 1–3) species. Atomic force microscopy (AFM) was used to image the topomorphologies, and multiple transmission-reflection infrared spectroscopy (MTR-IR) was used to monitor the surface molecular films in each step for the sequential stepwise reactions. In addition, two layers of polymethacrylic acid (PMAA) brush nanodots were observed, which were attributed to the circumferential convergence growth and the diffusion-limited growth of the polymer brushes. The pH response of PMAA nanodots in the same region was investigated by AFM from pH 3.0 to 9.0. PMID:26841692

  10. Growing Embossed Nanostructures of Polymer Brushes on Wet-Etched Silicon Templated via Block Copolymers

    NASA Astrophysics Data System (ADS)

    Lu, Xiaobin; Yan, Qin; Ma, Yinzhou; Guo, Xin; Xiao, Shou-Jun

    2016-02-01

    Block copolymer nanolithography has attracted enormous interest in chip technologies, such as integrated silicon chips and biochips, due to its large-scale and mass production of uniform patterns. We further modified this technology to grow embossed nanodots, nanorods, and nanofingerprints of polymer brushes on silicon from their corresponding wet-etched nanostructures covered with pendent SiHx (X = 1-3) species. Atomic force microscopy (AFM) was used to image the topomorphologies, and multiple transmission-reflection infrared spectroscopy (MTR-IR) was used to monitor the surface molecular films in each step for the sequential stepwise reactions. In addition, two layers of polymethacrylic acid (PMAA) brush nanodots were observed, which were attributed to the circumferential convergence growth and the diffusion-limited growth of the polymer brushes. The pH response of PMAA nanodots in the same region was investigated by AFM from pH 3.0 to 9.0.

  11. Improving contact layer patterning using SEM contour based etch model

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka; Hertzsch, Tino; Moll, Hans-Peter

    2016-10-01

    The patterning of the contact layer is modulated by strong etch effects that are highly dependent on the geometry of the contacts. Such litho-etch biases need to be corrected to ensure a good pattern fidelity. But aggressive designs contain complex shapes that can hardly be compensated with etch bias table and are difficult to characterize with standard CD metrology. In this work we propose to implement a model based etch compensation method able to deal with any contact configuration. With the help of SEM contours, it was possible to get reliable 2D measurements particularly helpful to calibrate the etch model. The selections of calibration structures was optimized in combination with model form to achieve an overall errRMS of 3nm allowing the implementation of the model in production.

  12. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    NASA Astrophysics Data System (ADS)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  13. Quasi-periodic concave microlens array for liquid refractive index sensing fabricated by femtosecond laser assisted with chemical etching.

    PubMed

    Zhang, F; Wang, C; Yin, K; Dong, X R; Song, Y X; Tian, Y X; Duan, J A

    2018-02-05

    In this study, a high-efficiency single-pulsed femtosecond laser assisted with chemical wet etching method has been proposed to obtain large-area concave microlens array (MLA). The quasi-periodic MLA consisting of about two million microlenses with tunable diameter and sag height by adjusting laser scanning speed and etching time is uniformly manufactured on fused silica and sapphire within 30 minutes. Moreover, the fabricated MLA behaves excellent optical focusing and imaging performance, which could be used to sense the change of the liquid refractive index (RI). In addition, it is demonstrated that small period and high RI of MLA could acquire high sensitivity and broad dynamic measurement range, respectively. Furthermore, the theoretical diffraction efficiency is calculated by the finite domain time difference (FDTD) method, which is in good agreement with the experimental results.

  14. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  15. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-11-01

    In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO2 blocking layer proposed in this work can enhance the performance of LEDs.

  16. New type of dummy layout pattern to control ILD etch rate

    NASA Astrophysics Data System (ADS)

    Pohland, Oliver; Spieker, Julie; Huang, Chih-Ta; Govindaswamy, Srikanth; Balasinski, Artur

    2007-12-01

    Adding dummy features (waffles) to drawn geometries of the circuit layout is a common practice to improve its manufacturability. As an example, local dummy pattern improves MOSFET line and space CD control by adjusting short range optical proximity and reducing the aggressiveness of its correction features (OPC) to widen the lithography process window. Another application of dummy pattern (waffles) is to globally equalize layout pattern density, to reduce long-range inter-layer dielectric (ILD) thickness variations after the CMP process and improve contact resistance uniformity over the die area. In this work, we discuss a novel type of dummy pattern with a mid-range interaction distance, to control the ILD composition driven by its deposition and etch process. This composition is reflected on sidewall spacers and depends on the topography of the underlying poly pattern. During contact etch, it impacts the etch rate of the ILD. As a result, the deposited W filling the damascene etched self-aligned trench contacts in the ILD may electrically short to the underlying gates in the areas of isolated poly. To mitigate the dependence of the ILD composition on poly pattern distribution, we proposed a special dummy feature generation with the interaction range defined by the ILD deposition and etch process. This helped equalize mid-range poly pattern density without disabling the routing capability with damascene trench contacts in the periphery which would have increased the layout footprint.

  17. Oleophobic properties of the step-and-terrace sapphire surface

    NASA Astrophysics Data System (ADS)

    Muslimov, A. E.; Butashin, A. V.; Kanevsky, V. M.

    2017-03-01

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical-mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of the surface. The results are analyzed using the Ventsel-Deryagin homogeneous wetting model.

  18. Oleophobic properties of the step-and-terrace sapphire surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Kanevsky, V. M.

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical–mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of themore » surface. The results are analyzed using the Ventsel–Deryagin homogeneous wetting model.« less

  19. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  20. Fabrication of Monolithic Sapphire Membranes for High Tc Bolometer Array Development

    NASA Technical Reports Server (NTRS)

    Pugel, D. E.; Lakew, B.; Aslam, S.; Wang, L.

    2003-01-01

    This paper examines the effectiveness of Pt/Cr thin film masks for the architecture of monolithic membrane structures in r-plane sapphire. The development of a pinhole-free Pt/Cr composite mask that is resistant to hot H2SO4:H3PO4 etchant, will lead to the fabrication of smooth sapphire membranes whose surfaces are well-suited for the growth of low-noise high Tc films. In particular, the relationship of thermal annealing conditions on the Pt/Cr composite mask system to: (1) changes in the surface morphology and elemental concentration of the Pt/Cr thin film layers and (2) etch pit formation on the sapphire surface will be presented.

  1. Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist

    NASA Astrophysics Data System (ADS)

    Mueller, Mark; Komarov, Serguie; Baik, Ki-Ho

    2002-07-01

    Photo mask etching for the 100nm technology node places new requirements on dry etching processes. As the minimum-size features on the mask, such as assist bars and optical proximity correction (OPC) patterns, shrink down to 100nm, it is necessary to produce etch CD biases of below 20nm in order to reproduce minimum resist features into chrome with good pattern fidelity. In addition, vertical profiles are necessary. In previous generations of photomask technology, footing and sidewall profile slope were tolerated, since this dry etch profile was an improvement from wet etching. However, as feature sizes shrink, it is extremely important to select etch processes which do not generate a foot, because this will affect etch linearity and also limit the smallest etched feature size. Chemically amplified resist (CAR) from TOK is patterned with a 50keV MEBES eXara e-beam writer, allowing for patterning of small features with vertical resist profiles. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. Chrome etch process development has been performed using Design of Experiments to optimize parameters such as sidewall profile, etch CD bias, etch CD linearity for varying sizes of line/space patterns, etch CD linearity for varying sizes of isolated lines and spaces, loading effects, and application to contact etching.

  2. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 {mu}m wide (111) sidewalls was fabricated using a 220 {mu}m thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  3. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    PubMed

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  4. Simple fabrication of closed-packed IR microlens arrays on silicon by femtosecond laser wet etching

    NASA Astrophysics Data System (ADS)

    Meng, Xiangwei; Chen, Feng; Yang, Qing; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-10-01

    We demonstrate a simple route to fabricate closed-packed infrared (IR) silicon microlens arrays (MLAs) based on femtosecond laser irradiation assisted by wet etching method. The fabricated MLAs show high fill factor, smooth surface and good uniformity. They can be used as optical devices for IR applications. The exposure and etching parameters are optimized to obtain reproducible microlens with hexagonal and rectangular arrangements. The surface roughness of the concave MLAs is only 56 nm. This presented method is a maskless process and can flexibly change the size, shape and the fill factor of the MLAs by controlling the experimental parameters. The concave MLAs on silicon can work in IR region and can be used for IR sensors and imaging applications.

  5. Effects of UV light intensity on electrochemical wet etching of SiC for the fabrication of suspended graphene

    NASA Astrophysics Data System (ADS)

    O, Ryong-Sok; Takamura, Makoto; Furukawa, Kazuaki; Nagase, Masao; Hibino, Hiroki

    2015-03-01

    We report on the effects of UV light intensity on the photo assisted electrochemical wet etching of SiC(0001) underneath an epitaxially grown graphene for the fabrication of suspended structures. The maximum etching rate of SiC(0001) was 2.5 µm/h under UV light irradiation in 1 wt % KOH at a constant current of 0.5 mA/cm2. The successful formation of suspended structures depended on the etching rate of SiC. In the Raman spectra of the suspended structures, we did not observe a significant increase in the intensity of the D peak, which originates from defects in graphene sheets. This is most likely explained by the high quality of the single-crystalline graphene epitaxially grown on SiC.

  6. Collective evolution of submicron hillocks during the early stages of anisotropic alkaline wet chemical etching of Si(1 0 0) surfaces

    NASA Astrophysics Data System (ADS)

    Sana, P.; Vázquez, Luis; Cuerno, Rodolfo; Sarkar, Subhendu

    2017-11-01

    We address experimentally the large-scale dynamics of Si(1 0 0) surfaces during the initial stages of anisotropic wet (KOH) chemical etching, which are characterized through atomic force microscopy. These systems are known to lead to the formation of characteristic pyramids, or hillocks, of typical sizes in the nanometric/micrometer scales, thus with the potential for a large number of applications that can benefit from the nanotexturing of Si surfaces. The present pattern formation process is very strongly disordered in space. We assess the space correlations in such a type of rough surface and elucidate the existence of a complex and rich morphological evolution, featuring at least three different regimes in just 10 min of etching. Such a complex time behavior cannot be consistently explained within a single formalism for dynamic scaling. The pyramidal structure reveals itself as the basic morphological motif of the surface throughout the dynamics. A detailed analysis of the surface slope distribution with etching time reveals that the texturing process induced by the KOH etching is rather gradual and progressive, which accounts for the dynamic complexity. The various stages of the morphological evolution can be accurately reproduced by computer-generated surfaces composed by uncorrelated pyramidal structures. To reach such an agreement, the key parameters are the average pyramid size, which increases with etching time, its distribution and the surface coverage by the pyramidal structures.

  7. [INVITED] On the mechanisms of single-pulse laser-induced backside wet etching

    NASA Astrophysics Data System (ADS)

    Tsvetkov, M. Yu.; Yusupov, V. I.; Minaev, N. V.; Akovantseva, A. A.; Timashev, P. S.; Golant, K. M.; Chichkov, B. N.; Bagratashvili, V. N.

    2017-02-01

    Laser-induced backside wet etching (LIBWE) of a silicate glass surface at interface with a strongly absorbing aqueous dye solution is studied. The process of crater formation and the generated optoacoustic signals under the action of single 5 ns laser pulses at the wavelength of 527 nm are investigated. The single-pulse mode is used to avoid effects of incubation and saturation of the etched depth. Significant differences in the mechanisms of crater formation in the ;soft; mode of laser action (at laser fluencies smaller than 150-170 J/cm2) and in the ;hard; mode (at higher laser fluencies) are observed. In the ;soft; single-pulse mode, LIBWE produces accurate craters with the depth of several hundred nanometers, good shape reproducibility and smooth walls. Estimates of temperature and pressure of the dye solution heated by a single laser pulse indicate that these parameters can significantly exceed the corresponding critical values for water. We consider that chemical etching of glass surface (or molten glass) by supercritical water, produced by laser heating of the aqueous dye solution, is the dominant mechanism responsible for the formation of crater in the ;soft; mode. In the ;hard; mode, the produced craters have ragged shape and poor pulse-to-pulse reproducibility. Outside the laser exposed area, cracks and splits are formed, which provide evidence for the shock induced glass fracture. By measuring the amplitude and spectrum of the generated optoacoustic signals it is possible to conclude that in the ;hard; mode of laser action, intense hydrodynamic processes induced by the formation and cavitation collapse of vapor-gas bubbles at solid-liquid interface are leading to the mechanical fracture of glass. The LIBWE material processing in the ;soft; mode, based on chemical etching in supercritical fluids (in particular, supercritical water) is very promising for structuring of optical materials.

  8. Single step sequential polydimethylsiloxane wet etching to fabricate a microfluidic channel with various cross-sectional geometries

    NASA Astrophysics Data System (ADS)

    Wang, C.-K.; Liao, W.-H.; Wu, H.-M.; Lo, Y.-H.; Lin, T.-R.; Tung, Y.-C.

    2017-11-01

    Polydimethylsiloxane (PDMS) has become a widely used material to construct microfluidic devices for various biomedical and chemical applications due to its desirable material properties and manufacturability. PDMS microfluidic devices are usually fabricated using soft lithography replica molding methods with master molds made of photolithogrpahy patterned photoresist layers on silicon wafers. The fabricated microfluidic channels often have rectangular cross-sectional geometries with single or multiple heights. In this paper, we develop a single step sequential PDMS wet etching process that can be used to fabricate microfluidic channels with various cross-sectional geometries from single-layer PDMS microfluidic channels. The cross-sections of the fabricated channel can be non-rectangular, and varied along the flow direction. Furthermore, the fabricated cross-sectional geometries can be numerically simulated beforehand. In the experiments, we fabricate microfluidic channels with various cross-sectional geometries using the developed technique. In addition, we fabricate a microfluidic mixer with alternative mirrored cross-sectional geometries along the flow direction to demonstrate the practical usage of the developed technique.

  9. Microwave properties of peeled HEMT devices sapphire substrates

    NASA Technical Reports Server (NTRS)

    Young, Paul G.; Alterovitz, Samuel A.; Mena, Rafael A.; Smith, Edwyn D.

    1992-01-01

    The focus of this research is to demonstrate the first full radio frequency characterization of high electron mobility transistor (HEMT) device parameters. The results of this research are used in the design of circuits with peeled HEMT devices, e.g. 10 GHz amplifiers. Devices were fabricated using two HEMT structures grown by molecular beam epitaxy methods. A 500 A AlAs release layer for 'peel off' was included under the active layers of the structure. The structures are a homogeneously doped Al(0.3)GA(0.7)As/GaAs and a delta doped square well Al(.23)Ga(.77)As/GaAs HEMT structure. Devices were fabricated using a mesa isolation process. Contacts were done by sequentially evaporating Au/Ge/Au/Ni/Au followed by rapid thermal anneal at 400 C for 15 seconds. Gates were wet etch recessed and 1 to 1.4 micron Ti/Au gate metal was deposited. Devices were peeled off the GaAs substrate using Apiezon wax to support the active layer and a HF:DI (1:10) solution to remove the AlAs separation layer. Devices were then attached to sapphire substrates using van der Waals bonding.

  10. Fabrication of Monolithic Sapphire Membranes for High T(sub c) Bolometer Array Development

    NASA Technical Reports Server (NTRS)

    Pugel, D. E.; Lakew, B.; Aslam, S.; Wang, L.

    2004-01-01

    This paper examines the effectiveness of Pt/Cr thin film masks for the architecture of monolithic membrane structures in r-plane single crystal sapphire. The development of a pinhole-free Pt/Cr composite mask that is resistant to boiling H2SO4:H3PO4 etchant will lead to the fabrication of smooth sapphire membranes whose surfaces are well-suited for the growth of low-noise high Tc films. In particular, the relationship of thermal annealing conditions on the Pt/Cr composite mask system to: (1) changes in the surface morphology (2) elemental concentration of the Pt/Cr thin film layers and (3) etch pit formation on the sapphire surface will be presented.

  11. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    NASA Astrophysics Data System (ADS)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  12. Wetting Transition of Water

    NASA Astrophysics Data System (ADS)

    Friedman, Serah; Khalil, Matt; Taborek, Peter

    2013-03-01

    Pure liquid water does not wet most solid surfaces. Liquid water on these surfaces beads up and forms droplets with a finite contact angle. General thermodynamic principles suggest that as the temperature approaches the critical point, the contact angle should go to zero, marking the wetting transition. We have made an optical cell which can operate near the critical point of water (Tc =373C, Pc =217 atm) to study this phenomenon on sapphire, graphite and silicon. We have used two methods to measure the wetting temperature of water on these surfaces. Firstly, we studied a single droplet on a horizontal surface and optically measured the change in contact angle as a function of increasing temperature. Second, we studied the condensation of droplets on a vertical plate as a function of temperature. As the temperature approached the wetting temperature in both cases, the droplets spread and eventually form a smooth film along the surface of the plate. The wetting temperature on sapphire is near 240C and is considerably higher on graphite. Our observed values of Tw are significantly higher than the predictions made by the sharp-kink approximation and recent molecular dynamics simulations.

  13. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  14. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  15. Fabrication of 3D solenoid microcoils in silica glass by femtosecond laser wet etch and microsolidics

    NASA Astrophysics Data System (ADS)

    Meng, Xiangwei; Yang, Qing; Chen, Feng; Shan, Chao; Liu, Keyin; Li, Yanyang; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-02-01

    This paper reports a flexible fabrication method for 3D solenoid microcoils in silica glass. The method consists of femtosecond laser wet etching (FLWE) and microsolidics process. The 3D microchannel with high aspect ratio is fabricated by an improved FLWE method. In the microsolidics process, an alloy was chosen as the conductive metal. The microwires are achieved by injecting liquid alloy into the microchannel, and allowing the alloy to cool and solidify. The alloy microwires with high melting point can overcome the limitation of working temperature and improve the electrical property. The geometry, the height and diameter of microcoils were flexibly fabricated by the pre-designed laser writing path, the laser power and etching time. The 3D microcoils can provide uniform magnetic field and be widely integrated in many magnetic microsystems.

  16. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K{alpha}0.28 keV and Al K{alpha}1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K{alpha} ({approx}6 nm rms) is significantly larger than {approx}1 nm at Al K{alpha}. This can be explained by differentmore » coherent lengths at two energies.« less

  17. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers.

    PubMed

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K(alpha)0.28 keV and Al K(alpha)1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K(alpha) (approximately 6 nm rms) is significantly larger than approximately 1 nm at Al K(alpha). This can be explained by different coherent lengths at two energies.

  18. Development and Research on the Mechanism of Novel Mist Etching Method for Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Kawaharamura, Toshiyuki; Hirao, Takashi

    2012-03-01

    A novel etching process with etchant mist was developed and applied to oxide thin films such as zinc oxide (ZnO), zinc magnesium oxide (ZnMgO), and indium tin oxide (ITO). By using this process, it was shown that precise control of the etching characteristics is possible with a reasonable etching rate, for example, in the range of 10-100 nm/min, and a fine pattern of high accuracy can also be realized, even though this is usually very difficult by conventional wet etching processes, for ZnO and ZnMgO. The mist etching process was found to be similarly and successfully applied to ITO. The mechanism of mist etching has been studied by examining the etching temperature dependence of pattern accuracy, and it was shown that the mechanism was different from that of conventional liquid-phase spray etching. It was ascertained that fine pattern etching was attained using mist droplets completely (or partly) gasified by the heat applied to the substrate. This technique was applied to the fabrication of a ZnO thin-film transistor (TFT) with a ZnO active channel length of 4 µm. The electrical properties of the TFT were found to be excellent with fine uniformity over the entire 4-in. wafer.

  19. Holographic fabrication of gratings in metal substrates

    NASA Technical Reports Server (NTRS)

    Fletcher, R. M.; Wagner, D. K.; Ballantyne, J. M.

    1982-01-01

    A program for investigating the grain enlargement resulting from the laser recrystallization of a thin gallium arsenide film on a patterned substrate, a technique known as graphoepitaxy was evaluated. More specifically, the effects of recrystallizing an uncapped gallium arsenide film using a continuous wave neodymium YAG laser operating at 1.06 microns were studied. In an effort to minimize arsenic loss from the film, the specimens were held in an arsine atmosphere during recrystallization. Two methods for fabricating patterned substrates were developed, one using reactive ion etching of a molybdenum film on both sapphire and silicon substates and another by preferential wet etching of a silicon substrate onto which a film of molybdenum was subsequently deposited.

  20. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Lan, Ding; Chen, Yu; Hu, Qiang; Lu, Hongxi; Li, Jinmin

    2014-02-01

    Self-assembly SiO2 nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, the external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.

  1. EDITORIAL: The Fifth International Workshop on Physical Chemistry of Wet Etching of Semiconductors (PCWES 2006)

    NASA Astrophysics Data System (ADS)

    Seidel, Helmut

    2007-04-01

    The biannual Workshop on Physical Chemistry of Wet Etching of Semiconductors (PCWES) was held in Saarbrücken, Germany in June 2006 for the fifth time in its history. The event was initiated in 1998 by Miko Elwenspoek from Twente University. It is a dedicated workshop with a typical attendance of about 30 scientists with multidisciplinary backgrounds from all parts of the world working in the field. Starting off in Holten in The Netherlands in 1998, subsequent workshops have been held at Toulouse, France in 2000, Nara, Japan in 2002, and Montreal, Canada in 2004. The initial focus was upon anisotropic etching of silicon in alkaline solutions, including surface topology, modelling aspects and applications. This process has found a wide range of applications in microsystems technology (MST), i.e. in the fabrication of microelectromechanical systems (MEMS). Most prominently, it provides the technological basis for bulk micromachining. More recently, other semiconductors such as germanium, III-V compounds and, particularly, wide-bandgap materials have started to enter the field. Furthermore, electrochemical aspects have gained in importance and the formation of porous silicon has also become a considerable part of the programme. From the very beginning up to the present time there was and is a strong focus on illumination of the underlying mechanism of crystallographic anisotropy, as well as on the understanding of electrochemical and dopant-induced etch stop phenomena. The fifth workshop, presented in Saarbrücken, included a total of twenty four contributions, six of which were as posters. Five of these are included in this partial special issue of Journal of Micromechanics and Microengineering as full length papers after having undergone the standard review process. The selection of contributions starts with the first invited paper given by M Gosalvez et al, resulting from a collaboration between Nagoya University, Japan and Helsinki University of Technology

  2. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  3. Nanostructuring of sapphire using time-modulated nanosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Lorenz, P.; Zagoranskiy, I.; Ehrhardt, M.; Bayer, L.; Zimmer, K.

    2017-02-01

    The nanostructuring of dielectric surfaces using laser radiation is still a challenge. The IPSM-LIFE (laser-induced front side etching using in-situ pre-structured metal layer) method allows the easy, large area and fast laser nanostructuring of dielectrics. At IPSM-LIFE a metal covered dielectric is irradiated where the structuring is assisted by a self-organized molten metal layer deformation process. The IPSM-LIFE can be divided into two steps: STEP 1: The irradiation of thin metal layers on dielectric surfaces results in a melting and nanostructuring process of the metal layer and partially of the dielectric surface. STEP 2: A subsequent high laser fluence treatment of the metal nanostructures result in a structuring of the dielectric surface. At this study a sapphire substrate Al2O3(1-102) was covered with a 10 nm thin molybdenum layer and irradiated by an infrared laser with an adjustable time-dependent pulse form with a time resolution of 1 ns (wavelength λ = 1064 nm, pulse duration Δtp = 1 - 600 ns, Gaussian beam profile). The laser treatment allows the fabrication of different surface structures into the sapphire surface due to a pattern transfer process. The resultant structures were investigated by scanning electron microscopy (SEM). The process was simulated and the simulation results were compared with experimental results.

  4. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    NASA Technical Reports Server (NTRS)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  5. A novel methodology for litho-to-etch pattern fidelity correction for SADP process

    NASA Astrophysics Data System (ADS)

    Chen, Shr-Jia; Chang, Yu-Cheng; Lin, Arthur; Chang, Yi-Shiang; Lin, Chia-Chi; Lai, Jun-Cheng

    2017-03-01

    For 2x nm node semiconductor devices and beyond, more aggressive resolution enhancement techniques (RETs) such as source-mask co-optimization (SMO), litho-etch-litho-etch (LELE) and self-aligned double patterning (SADP) are utilized for the low k1 factor lithography processes. In the SADP process, the pattern fidelity is extremely critical since a slight photoresist (PR) top-loss or profile roughness may impact the later core trim process, due to its sensitivity to environment. During the subsequent sidewall formation and core removal processes, the core trim profile weakness may worsen and induces serious defects that affect the final electrical performance. To predict PR top-loss, a rigorous lithography simulation can provide a reference to modify mask layouts; but it takes a much longer run time and is not capable of full-field mask data preparation. In this paper, we first brought out an algorithm which utilizes multi-intensity levels from conventional aerial image simulation to assess the physical profile through lithography to core trim etching steps. Subsequently, a novel correction method was utilized to improve the post-etch pattern fidelity without the litho. process window suffering. The results not only matched PR top-loss in rigorous lithography simulation, but also agreed with post-etch wafer data. Furthermore, this methodology can also be incorporated with OPC and post-OPC verification to improve core trim profile and final pattern fidelity at an early stage.

  6. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Wang, Junxi

    2014-02-15

    Self-assembly SiO{sub 2} nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, themore » external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.« less

  7. A miniature extrinsic fiber Fabry-Perot pressure sensor based on fiber etching

    NASA Astrophysics Data System (ADS)

    Ge, Yixian; Wang, Ming; Yang, Chundi

    2009-10-01

    This paper presents a miniature fiber optic pressure sensor based on Fabry-Perot interference fabricated on the tip of a single mode (SM) fiber. The sensor measures only 125μm in diameter. A Fabry-Perot cavity and a thin silica diaphragm are fabricated by simple techniques involving only fusion splicing, cleaving, and wet chemical etching. Interference pattern of the sensor is analyzed and issues in sensor design are discussed. The overall chemical reaction of the fiber wet etching is specifically represented. Pressure testing system is carried out. By tracing a peak point in the interference spectrum, the gap length of the sensor can be demodulated. The sensor is made entirely of fused silica, whose structure has good stability, cabinet, simple for fabrication and low cost. It may also find uses in medical applications.

  8. A miniature extrinsic fiber Fabry-Perot pressure sensor based on fiber etching

    NASA Astrophysics Data System (ADS)

    Ge, Yixian; Zhou, Junping; Wang, Tingting

    2011-11-01

    A miniature fiber optic pressure sensor based on Fabry-Perot interference fabricated on the tip of a single mode (SM) fiber is presented. The sensor measures only 125μm in diameter. A Fabry-Perot cavity and a thin silica diaphragm are fabricated by simple techniques involving only cleaving, wet chemical etching and fusion splicing. Interference pattern of the sensor is analyzed and issues in sensor design are discussed. The overall chemical reaction of the fiber wet etching is specifically represented. Pressure testing system is carried out. By tracing a peak point in the interference spectrum, the gap length of the sensor can be demodulated. Experimental results show the sensor has a good linearity. The sensor is made entirely of fused silica, whose structure has good stability, cabinet, simple for fabrication and low cost.

  9. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion

    PubMed Central

    Zahran, R.; Rosales Leal, J. I.; Rodríguez Valverde, M. A.; Cabrerizo Vílchez, M. A.

    2016-01-01

    Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF) acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5–7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time. PMID:27824875

  10. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    NASA Astrophysics Data System (ADS)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  11. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  12. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  13. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  14. Influence of Conditioning Time of Universal Adhesives on Adhesive Properties and Enamel-Etching Pattern.

    PubMed

    Cardenas, A M; Siqueira, F; Rocha, J; Szesz, A L; Anwar, M; El-Askary, F; Reis, A; Loguercio, A

    2016-01-01

    To evaluate the effect of application protocol in resin-enamel microshear bond strength (μSBS), in situ degree of conversion, and etching pattern of three universal adhesive systems. Sixty-three extracted third molars were sectioned in four parts (buccal, lingual, and proximals) and divided into nine groups, according to the combination of the main factors-Adhesive (Clearfil Universal, Kuraray Noritake Dental Inc, Tokyo, Japan; Futurabond U, VOCO, Cuxhaven, Germany; and Scotchbond Universal Adhesive, 3M ESPE, St Paul, MN, USA)-and enamel treatment/application time (etch-and-rinse mode [ER], self-etch [SE] application for 20 seconds [SE20], and SE application for 40 seconds [SE40]). Specimens were stored in water (37°C/24 h) and tested at 1.0 mm/min (μSBS). The degree of conversion of the adhesives at the resin-enamel interfaces was evaluated using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a scanning electron microscope. Data were analyzed with two-way analysis of variance and Tukey test (α=0.05). In general, the application of the universal adhesives in the SE40 produced μSBS and degree of conversion that were higher than in the SE20 (p<0.01) and similar to the ER mode. The deepest enamel-etching pattern was obtained in the ER mode, followed by the SE40. The active and prolonged application of universal adhesives in the SE mode may be a viable alternative to increase the degree of conversion, etching pattern, and resin-enamel bond strength.

  15. Laser-etch patterning of metal oxide coated carbon nanotube 3D architectures.

    PubMed

    Aksu, Cemile; Ingram, Wade; Bradford, Philip D; Jur, Jesse S

    2018-08-17

    This paper describes a way to fabricate novel hybrid low density nanostructures containing both carbon nanotubes (CNTs) and ceramic nanotubes. Using atomic layer deposition, a thin film of aluminum oxide was conformally deposited on aligned multiwall CNT foams in which the CNTs make porous, three-dimensional interconnected networks. A CO 2 laser was used to etch pure alumina nanotube structures by burning out the underlying CNT substrate in discrete locations via the printed laser pattern. Structural and morphological transitions during the calcination process of aluminum oxide coated CNTs were investigated through in situ transmission electron microscopy and high-resolution scanning electron microscopy. Laser parameters were optimized to etch the CNT away (i.e. etching speed, power and focal length) while minimizing damage to the alumina nanotubes due to overheating. This study opens a new route for fabricating very low density three dimensionally patterned materials with areas of dissimilar materials and properties. To demonstrate the attributes of these structures, the etched areas were used toward anisotropic microfluidic liquid flow. The demonstration used the full thickness of the material to make complex pathways for the liquid flow in the structure. Through tuning of processing conditions, the alumina nanotube (etched) regions became hydrophilic while the bulk material remained hydrophobic and electrically conductive.

  16. Nitrogen reactive ion etch processes for the selective removal of poly-(4-vinylpyridine) in block copolymer films.

    PubMed

    Flynn, Shauna P; Bogan, Justin; Lundy, Ross; Khalafalla, Khalafalla E; Shaw, Matthew; Rodriguez, Brian J; Swift, Paul; Daniels, Stephen; O'Connor, Robert; Hughes, Greg; Kelleher, Susan M

    2018-08-31

    Self-assembling block copolymer (BCP) patterns are one of the main contenders for the fabrication of nanopattern templates in next generation lithography technology. Transforming these templates to hard mark materials is key for pattern transfer and in some cases, involves selectively removing one block from the nanopattern. For poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP), a high χ BCP system which could be potentially incorporated into semiconductor nanofabrication, this selective removal is predominantly done by a wet etch/activation process. Conversely, this process has numerous disadvantages including lack of control and high generation of waste leading to high cost. For these reasons, our motivation was to move away from the wet etch process and optimise a dry etch which would overcome the limitations associated with the activation process. The work presented herein shows the development of a selective plasma etch process for the removal of P4VP cores from PS-b-P4VP nanopatterned film. Results have shown that a nitrogen reactive ion etch plasma has a selectivity for P4VP of 2.2:1 and suggest that the position of the nitrogen in the aromatic ring of P4VP plays a key role in this selectivity. In situ plasma etching and x-ray photoelectron spectrometry measurements were made without breaking vacuum, confirming that the nitrogen plasma has selectivity for removal of P4VP over PS.

  17. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  18. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks.

    PubMed

    Kim, Sechan; Choi, Gyuhyun; Chae, Heeyeop; Lee, Nae-Eung

    2016-05-01

    In order to study the effects of bias pulsing on the etching characteristics of a silicon dioxide (SiO2) layer using multi-level hard mask (MLHM) structures of ArF photoresist/bottom anti-reflected coating/SiO2/amorphous carbon layer (ACL)/SiO2, the effects of bias pulsing conditions on the etch characteristics of a SiO2 layer with an ACL mask pattern in C4F8/CH2F2/O2/Ar etch chemistries were investigated in a dual-frequency capacitively-coupled plasma (CCP) etcher. The effects of the pulse frequency, duty ratio, and pulse-bias power in the 2 MHz low-frequency (LF) power source were investigated in plasmas generated by a 27.12 MHz high-frequency (HF) power source. The etch rates of ACL and SiO2 decreased, but the etch selectivity of SiO2/ACL increased with decreasing duty ratio. When the ACL and SiO2 layers were etched with increasing pulse frequency, no significant change was observed in the etch rates and etch selectivity. With increasing LF pulse-bias power, the etch rate of ACL and SiO2 slightly increased, but the etch selectivity of SiO2/ACL decreased. Also, the precise control of the critical dimension (CD) values with decreasing duty ratio can be explained by the protection of sidewall etching of SiO2 by increased passivation. Pulse-biased etching was successfully applied to the patterning of the nano-scale line and space of SiO2 using an ACL pattern.

  19. The (001) 3C SiC surface termination and band structure after common wet chemical etching procedures, stated by XPS, LEED, and HREELS

    NASA Astrophysics Data System (ADS)

    Tengeler, Sven; Kaiser, Bernhard; Ferro, Gabriel; Chaussende, Didier; Jaegermann, Wolfram

    2018-01-01

    The (001) surface of cubic silicon carbide (3C SiC) after cleaning, Ar sputtering and three different wet chemical etching procedures was thoroughly investigated via (angle resolved) XPS, HREELS, and LEED. While Ar sputtering was found to be unsuitable for surface preparation, all three employed wet chemical etching procedures (piranha/NH4F, piranha/HF, and RCA) provide a clean surface. HF as oxide removal agent tends to result in fluorine traces on the sample surface, despite thorough rinsing. All procedures yield a 1 × 1 Si-OH/C-H terminated surface. However, the XPS spectra reveal some differences in the resulting surface states. NH4F for oxide removal produces a flat band situation, whereas the other two procedures result in a slight downward (HF) or upward (RCA) band bending. Because the band bending is small, it can be concluded that the number of unsaturated surface defects is low.

  20. Local electronic structure and photoelectrochemical activity of partial chemically etched Ti-doped hematite

    NASA Astrophysics Data System (ADS)

    Rioult, Maxime; Belkhou, Rachid; Magnan, Hélène; Stanescu, Dana; Stanescu, Stefan; Maccherozzi, Francesco; Rountree, Cindy; Barbier, Antoine

    2015-11-01

    The direct conversion of solar light into chemical energy or fuel through photoelectrochemical water splitting is promising as a clean hydrogen production solution. Ti-doped hematite (Ti:α-Fe2O3) is a potential key photoanode material, which despite its optimal band gap, excellent chemical stability, abundance, non-toxicity and low cost, still has to be improved. Here we give evidence of a drastic improvement of the water splitting performances of Ti-doped hematite photoanodes upon a HCl wet-etching. In addition to the topography investigation by atomic force microscopy, a detailed determination of the local electronic structure has been carried out in order to understand the phenomenon and to provide new insights in the understanding of solar water splitting. Using synchrotron radiation based spectromicroscopy (X-PEEM), we investigated the X-ray absorption spectral features at the L3 Fe edge of the as grown surface and of the wet-etched surface on the very same sample thanks to patterning. We show that HCl wet etching leads to substantial surface modifications of the oxide layer including increased roughness and chemical reduction (presence of Fe2 +) without changing the band gap. We demonstrate that these changes are profitable and correlated to the drastic changes of the photocatalytic activity.

  1. A Twice Electrochemical-Etching Method to Fabricate Superhydrophobic-Superhydrophilic Patterns for Biomimetic Fog Harvest.

    PubMed

    Yang, Xiaolong; Song, Jinlong; Liu, Junkai; Liu, Xin; Jin, Zhuji

    2017-08-18

    Superhydrophobic-superhydrophilic patterned surfaces have attracted more and more attention due to their great potential applications in the fog harvest process. In this work, we developed a simple and universal electrochemical-etching method to fabricate the superhydrophobic-superhydrophilic patterned surface on metal superhydrophobic substrates. The anti-electrochemical corrosion property of superhydrophobic substrates and the dependence of electrochemical etching potential on the wettability of the fabricated dimples were investigated on Al samples. Results showed that high etching potential was beneficial for efficiently producing a uniform superhydrophilic dimple. Fabrication of long-term superhydrophilic dimples on the Al superhydrophobic substrate was achieved by combining the masked electrochemical etching and boiling-water immersion methods. A long-term wedge-shaped superhydrophilic dimple array was fabricated on a superhydrophobic surface. The fog harvest test showed that the surface with a wedge-shaped pattern array had high water collection efficiency. Condensing water on the pattern was easy to converge and depart due to the internal Laplace pressure gradient of the liquid and the contact angle hysteresis contrast on the surface. The Furmidge equation was applied to explain the droplet departing mechanism and to control the departing volume. The fabrication technique and research of the fog harvest process may guide the design of new water collection devices.

  2. Antireflective surface structures in glass by self-assembly of SiO2 nanoparticles and wet etching.

    PubMed

    Maier, Thomas; Bach, David; Müllner, Paul; Hainberger, Rainer; Brückl, Hubert

    2013-08-26

    We describe the fabrication of an antireflective surface structure with sub-wavelength dimensions on a glass surface using scalable low-cost techniques involving sol-gel coating, thermal annealing, and wet chemical etching. The glass surface structure consists of sand dune like protrusions with 250 nm periodicity and a maximum peak-to-valley height of 120 nm. The antireflective structure increases the transmission of the glass up to 0.9% at 700 nm, and the transmission remains enhanced over a wide spectral range and for a wide range of incident angles. Our measurements reveal a strong polarization dependence of the transmission change.

  3. Three-Tone Chemical Patterns for Block Copolymer Directed Self-Assembly

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Williamson, Lance D.; Seidel, Robert N.; Chen, Xuanxuan

    Chemical patterns for directed self-assembly (DSA) of lamellaeforming block copolymers (BCP) with density multiplication can be fabricated by patterning resist on a cross-linked polystyrene layer, etching to create guide stripes, and depositing end-grafted brushes in between the stripes as background. To date, two-tone chemical patterns have been targeted with the guide stripes preferentially wet by one block of the copolymer and the background chemistry weakly preferentially wet by the other block. In the course of fabricating chemical patterns in an all-track process using 300 mm wafers, it was discovered that the etching process followed by brush grafting could produce amore » three-tone pattern. We characterized the three regions of the chemical patterns with a combination of SEM, grazing-incidence small-angle X-ray scattering (GISAXS), and assessment of BCP-wetting behavior, and evaluated the DSA behavior on patterns over a range of guide stripe widths. In its best form, the three-tone pattern consists of guide stripes preferentially wet by one block of the copolymer, each flanked by two additional stripes that wet the other block of the copolymer, with a third chemistry as the background. Three-tone patterns guide three times as many BCP domains as two-tone patterns and thus have the potential to provide a larger driving force for the system to assemble into the desired architecture with fewer defects in shorter time and over a larger process window.« less

  4. Nanostructured silicon via metal assisted catalyzed etch (MACE): chemistry fundamentals and pattern engineering

    NASA Astrophysics Data System (ADS)

    Toor, Fatima; Miller, Jeffrey B.; Davidson, Lauren M.; Nichols, Logan; Duan, Wenqi; Jura, Michael P.; Yim, Joanne; Forziati, Joanne; Black, Marcie R.

    2016-10-01

    There are a range of different methods to generate a nanostructured surface on silicon (Si) but the most cost effective and optically interesting is the metal assisted wet chemical etching (MACE) (Koynov et al 2006 Appl. Phys. Lett. 88 203107). MACE of Si is a controllable, room-temperature wet-chemical technique that uses a thin layer of metal to etch the surface of Si, leaving behind various nano- and micro-scale surface features or ‘black silicon’. MACE-fabricated nanowires (NWs) provide improved antireflection and light trapping functionality (Toor et al 2016 Nanoscale 8 15448-66) compared with the traditional ‘iso-texturing’ (Campbell and Green 1987 J. Appl. Phys. 62 243-9). The resulting lower reflection and improved light trapping can lead to higher short circuit currents in NW solar cells (Toor et al 2011 Appl. Phys. Lett. 99 103501). In addition, NW cells can have higher fill factors and voltages than traditionally processed cells, thus leading to increased solar cell efficiencies (Cabrera et al 2013 IEEE J. Photovolt. 3 102-7). MACE NW processing also has synergy with next generation Si solar cell designs, such as thin epitaxial-Si and passivated emitter rear contact (Toor et al 2016 Nanoscale 8 15448-66). While several companies have begun manufacturing black Si, and many more are researching these techniques, much of the work has not been published in traditional journals and is publicly available only through conference proceedings and patent publications, which makes learning the field challenging. There have been three specialized review articles published recently on certain aspects of MACE or black Si, but do not present a full review that would benefit the industry (Liu et al 2014 Energy Environ. Sci. 7 3223-63 Yusufoglu et al 2015 IEEE J. Photovolt. 5 320-8 Huang et al 2011 Adv. Mater. 23 285-308). In this feature article, we review the chemistry of MACE and explore how changing parameters in the wet etch process effects the resulting

  5. Shallow V-Shape Nanostructured Pit Arrays in Germanium Using Aqua Regia Electroless Chemical Etching

    PubMed Central

    Chaabane, Ibtihel; Banerjee, Debika; Touayar, Oualid; Cloutier, Sylvain G.

    2017-01-01

    Due to its high refractive index, reflectance is often a problem when using Germanium for optoelectronic devices integration. In this work, we propose an effective and low-cost nano-texturing method for considerably reducing the reflectance of bulk Germanium. To do so, uniform V-shape pit arrays are produced by wet electroless chemical etching in a 3:1 volume ratio of highly-concentrated hydrochloridric and nitric acids or so-called aqua regia bath using immersion times ranging from 5 to 60 min. The resulting pit morphology, the crystalline structure of the surface and the changes in surface chemistry after nano-patterning are all investigated. Finally, broadband near-infrared reflectance measurements confirm a significant reduction using this simple wet etching protocol, while maintaining a crystalline, dioxide-free, and hydrogen-passivated surface. It is important to mention that reflectance could be further reduced using deeper pits. However, most optoelectronic applications such as photodetectors and solar cells require relatively shallow patterning of the Germanium to allow formation of a pn-junction close to the surface. PMID:28773215

  6. Optimized plasma etch window of block copolymers and neutral brush layers for enhanced direct self-assembly pattern transfer into a hardmask layer

    NASA Astrophysics Data System (ADS)

    Brakensiek, Nickolas; Xu, Kui; Sweat, Daniel; Hockey, Mary Ann

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCPs) is one of the most promising patterning technologies for future lithography nodes. However, one of the biggest challenges to DSA is the pattern transfer by plasma etching from BCP to hardmask (HM) because the etch selectivity between BCP and neutral brush layer underneath is usually not high enough to enable robust pattern transfer. This paper will explore the plasma etch conditions of both BCPs and neutral brush layers that may improve selectivity and allow a more robust pattern transfer of DSA patterns into the hardmask layer. The plasma etching parameters that are under investigation include the selection of oxidative or reductive etch chemistries, as well as plasma gas pressure, power, and gas mixture fractions. Investigation into the relationship between BCP/neutral brush layer materials with varying chemical compositions and the plasma etching conditions will be highlighted. The culmination of this work will demonstrate important etch parameters that allow BCPs and neutral brush layers to be etched into the underlying hardmask layer with a large process window.

  7. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    NASA Astrophysics Data System (ADS)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  8. GaN-Based Light-Emitting Diodes Grown on Nanoscale Patterned Sapphire Substrates with Void-Embedded Cortex-Like Nanostructures

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Sheng; Yeh, J. Andrew

    2011-09-01

    High-efficiency GaN-based light-emitting diodes (LEDs) with an emitting wavelength of 438 nm were demonstrated utilizing nanoscale patterned sapphire substrates with void-embedded cortex-like nanostructures (NPSS-VECN). Unlike the previous nanopatterned sapphire substrates, the presented substrate has a new morphology that can not only improve the crystalline quality of GaN epilayers but also generate a void-embedded nanostructural layer to enhance light extraction. Under a driving current of 20 mA, the external quantum efficiency of an LED with NPSS-VECN is enhanced by 2.4-fold compared with that of the conventional LED. Moreover, the output powers of two devices respectively are 33.1 and 13.9 mW.

  9. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    NASA Astrophysics Data System (ADS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-09-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 × 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si.

  10. Development of a high-yield via-last through silicon via process using notchless silicon etching and wet cleaning of the first metal layer

    NASA Astrophysics Data System (ADS)

    Watanabe, Naoya; Kikuchi, Hidekazu; Yanagisawa, Azusa; Shimamoto, Haruo; Kikuchi, Katsuya; Aoyagi, Masahiro; Nakamura, Akio

    2017-07-01

    A high-yield via-last through silicon via (TSV) process has been developed using notchless Si etching and wet cleaning of the first metal layer. In this process, the notching was suppressed by optimizing the deep Si etching conditions and wet cleaning was performed using an organic alkaline solution to remove reaction products generated by the etchback step on the first metal layer. By this process, a number of small TSVs (TSV diameter: 6 µm TSV depth: 22 µm number of TSVs: 20,000/chip) could be formed uniformly on an 8-in. wafer. The electrical characteristics of small TSVs formed by this via-last TSV process were investigated. The TSV resistance determined by four-terminal measurements was approximately 24 mΩ. The leakage current between the TSV and the Si substrate was 2.5 pA at 5 V. The TSV capacitance determined using an inductance-capacitance-resistance (LCR) meter was 54 fF, while the TSV yield determined from TSV chain measurements was high (83%) over an 8-in. wafer.

  11. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  12. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  13. Controllable Si (100) micro/nanostructures by chemical-etching-assisted femtosecond laser single-pulse irradiation

    NASA Astrophysics Data System (ADS)

    Li, Xiaowei; Xie, Qian; Jiang, Lan; Han, Weina; Wang, Qingsong; Wang, Andong; Hu, Jie; Lu, Yongfeng

    2017-05-01

    In this study, silicon micro/nanostructures of controlled size and shape are fabricated by chemical-etching-assisted femtosecond laser single-pulse irradiation, which is a flexible, high-throughput method. The pulse fluence is altered to create various laser printing patterns for the etching mask, resulting in the sequential evolution of three distinct surface micro/nanostructures, namely, ring-like microstructures, flat-top pillar microstructures, and spike nanostructures. The characterized diameter of micro/nanostructures reveals that they can be flexibly tuned from the micrometer (˜2 μm) to nanometer (˜313 nm) scales by varying the laser pulse fluence in a wide range. Micro-Raman spectroscopy and transmission electron microscopy are utilized to demonstrate that the phase state changes from single-crystalline silicon (c-Si) to amorphous silicon (a-Si) after single-pulse femtosecond laser irradiation. This amorphous layer with a lower etching rate then acts as a mask in the wet etching process. Meanwhile, the on-the-fly punching technique enables the efficient fabrication of large-area patterned surfaces on the centimeter scale. This study presents a highly efficient method of controllably manufacturing silicon micro/nanostructures with different single-pulse patterns, which has promising applications in the photonic, solar cell, and sensors fields.

  14. Integration of Electrodeposited Ni-Fe in MEMS with Low-Temperature Deposition and Etch Processes

    PubMed Central

    Schiavone, Giuseppe; Murray, Jeremy; Perry, Richard; Mount, Andrew R.; Desmulliez, Marc P. Y.; Walton, Anthony J.

    2017-01-01

    This article presents a set of low-temperature deposition and etching processes for the integration of electrochemically deposited Ni-Fe alloys in complex magnetic microelectromechanical systems, as Ni-Fe is known to suffer from detrimental stress development when subjected to excessive thermal loads. A selective etch process is reported which enables the copper seed layer used for electrodeposition to be removed while preserving the integrity of Ni-Fe. In addition, a low temperature deposition and surface micromachining process is presented in which silicon dioxide and silicon nitride are used, respectively, as sacrificial material and structural dielectric. The sacrificial layer can be patterned and removed by wet buffered oxide etch or vapour HF etching. The reported methods limit the thermal budget and minimise the stress development in Ni-Fe. This combination of techniques represents an advance towards the reliable integration of Ni-Fe components in complex surface micromachined magnetic MEMS. PMID:28772683

  15. Simple and fast polydimethylsiloxane (PDMS) patterning using a cutting plotter and vinyl adhesives to achieve etching results.

    PubMed

    Hyun Kim; Sun-Young Yoo; Ji Sung Kim; Zihuan Wang; Woon Hee Lee; Kyo-In Koo; Jong-Mo Seo; Dong-Il Cho

    2017-07-01

    Inhibition of polydimethylsiloxane (PDMS) polymerization could be observed when spin-coated over vinyl substrates. The degree of polymerization, partially curing or fully curing, depended on the PDMS thickness coated over the vinyl substrate. This characteristic was exploited to achieve simple and fast PDMS patterning method using a vinyl adhesive layer patterned through a cutting plotter. The proposed patterning method showed results resembling PDMS etching. Therefore, patterning PDMS over PDMS, glass, silicon, and gold substrates were tested to compare the results with conventional etching methods. Vinyl stencils with widths ranging from 200μm to 1500μm were used for the procedure. To evaluate the accuracy of the cutting plotter, stencil designed on the AutoCAD software and the actual stencil widths were compared. Furthermore, this method's accuracy was also evaluated by comparing the widths of the actual stencils and etched PDMS results.

  16. Patterning of Spiral Structure on Optical Fiber by Focused-Ion-Beam Etching

    NASA Astrophysics Data System (ADS)

    Mekaru, Harutaka; Yano, Takayuki

    2012-06-01

    We produce patterns on minute and curved surfaces of optical fibers, and develop a processing technology for fabricating sensors, antennas, electrical circuits, and other devices on such patterned surfaces by metallization. A three-dimensional processing technology can be used to fabricate a spiral coil on the surface of cylindrical quartz materials, and then the microcoils can also be applied to capillaries of micro-fluid devices, as well as to receiver coils connected to a catheter and an endoscope of nuclear magnetic resonance imaging (MRI) systems used in imaging blood vessels. To create a spiral line pattern with a small linewidth on a full-circumference surface of an optical fiber, focused-ion-beam (FIB) etching was employed. Here, a simple rotation stage comprising a dc motor and an LR3 battery was built. However, during the development of a prototype rotation stage before finalizing a large-scale remodelling of our FIB etching system, a technical problem was encountered where a spiral line could not be processed without running into breaks and notches in the features. It turned out that the problem was caused by axis blur resulting from an eccentric spinning (or wobbling) of the axis of the fiber caused by its unrestrained free end. The problem was solved by installing a rotation guide and an axis suppression device onto the rotation stage. Using this improved rotation stage. we succeeded in the seamless patterning of 1-µm-wide features on the full-circumference surface of a 250-µm-diameter quartz optical fiber (QOF) by FIB etching.

  17. 282-nm AlGaN-based deep ultraviolet light-emitting diodes with improved performance on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Wang, Junxi; Zhang, Yun; Geng, Chong; Wei, Tongbo; Cong, Peipei; Zhang, Yiyun; Zeng, Jianping; Tian, Yingdong; Sun, Lili; Yan, Qingfeng; Li, Jinmin; Fan, Shunfei; Qin, Zhixin

    2013-06-01

    We first report AlGaN-based deep ultraviolet light-emitting diodes (UV-LEDs) grown on nano-patterned sapphire substrates (NPSS) prepared through a nanosphere lithography technique. The AlN coalescence thickness on NPSS is only 3 μm due to AlN's nano-scaled lateral growth, which also leads to low dislocation densities in AlN and epi-layers above. On NPSS, the light-output power of a 282-nm UV-LED reaches 3.03 mW at 20 mA with external quantum efficiency of 3.45%, exhibiting 98% better performance than that on flat sapphire. Temperature-dependent photoluminescence reveals this significant enhancement to be a combination of higher internal quantum efficiency and higher light extraction efficiency.

  18. Etching of germanium-tin using ammonia peroxide mixture

    NASA Astrophysics Data System (ADS)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Zhang, Zheng; Pan, Jisheng; Gong, Xiao; Tok, Eng-Soon; Liang, Gengchiau; Yeo, Yee-Chia

    2015-12-01

    The wet etching of germanium-tin (Ge1-xSnx) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge1-xSnx is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge1-xSnx surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge0.918Sn0.082 samples. Both root-mean-square roughness and undulation periods of the Ge1-xSnx surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge1-xSnx using APM and may be used for the fabrication of Ge1-xSnx-based electronic and photonic devices.

  19. Improved output power of GaN-based light-emitting diodes grown on a nanopatterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Chan, Chia-Hua; Hou, Chia-Hung; Tseng, Shao-Ze; Chen, Tsing-Jen; Chien, Hung-Ta; Hsiao, Fu-Li; Lee, Chien-Chieh; Tsai, Yen-Ling; Chen, Chii-Chang

    2009-07-01

    This letter describes the improved output power of GaN-based light-emitting diodes (LEDs) formed on a nanopatterned sapphire substrate (NPSS) prepared through etching with a self-assembled monolayer of 750-nm-diameter SiO2 nanospheres used as the mask. The output power of NPSS LEDs was 76% greater than that of LEDs on a flat sapphire substrate. Three-dimensional finite-difference time-domain calculation predicted a 40% enhancement in light extraction efficiency of NPSS LEDs. In addition, the reduction of full widths at half maximum in the ω-scan rocking curves for the (0 0 2) and (1 0 2) planes of GaN on NPSS suggested improved crystal quality.

  20. Characterization of nonpolar a-plane GaN epi-layers grown on high-density patterned r-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jinno, Daiki; Otsuki, Shunya; Sugimori, Shogo; Daicho, Hisayoshi; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2018-02-01

    To reduce the number of threading dislocations (TDs) in nonpolar a-plane GaN (a-GaN) epi-layers grown on flat r-plane sapphire substrates (r-FSS), we investigated the effects on the crystalline quality of the a-GaN epi-layers of high-density patterned r-plane sapphire substrates (r-HPSS), the patterns of which were placed at intervals of several hundred nanometers. Two types of r-HPSS, the patterns of which had diameters and heights on the order of several hundred nanometers (r-NHPSS) or several micrometers (r-MHPSS), were prepared with conventional r-FSS. The effect of these r-HPSS on the a-GaN epi-layers was demonstrated by evaluating the surface morphology and the crystalline quality of the epi-layers. The surfaces of the a-GaN epi-layer grown on r-FSS and r-NHPSS were pit-free and mirror-like, whereas the surface of the a-GaN epi-layer grown on r-MHPSS was very rough due to the large, irregular GaN islands that grew on the patterns, mainly at the initial growth stage. The crystalline quality of the a-GaN epi-layer grown on r-NHPSS was better than that of the a-GaN epi-layer grown on r-FSS. We confirmed that there were fewer TDs in the a-GaN epi-layer grown on r-NHPSS than there were in the a-GaN epi-layer grown on r-FSS. The TDs propagating to the surface in a-GaN epi-layer grown on r-NHPSS were mainly generated on the flat sapphire regions between the patterns. Interestingly, it was also found that the TDs that propagated to the surface concentrated with a periodic pitch along the c-axis direction. The TD densities of a-GaN epi-layers grown on r-FSS and r-NHPSS were estimated to be approximately 5.0 × 1010 and 1.5 × 109 cm-2, respectively. This knowledge will contribute to the further development of a-GaN epi-layers for high-performance devices.

  1. Modeling of direct wafer bonding: Effect of wafer bow and etch patterns

    NASA Astrophysics Data System (ADS)

    Turner, K. T.; Spearing, S. M.

    2002-12-01

    Direct wafer bonding is an important technology for the manufacture of silicon-on-insulator substrates and microelectromechanical systems. As devices become more complex and require the bonding of multiple patterned wafers, there is a need to understand the mechanics of the bonding process. A general bonding criterion based on the competition between the strain energy accumulated in the wafers and the surface energy that is dissipated as the bond front advances is developed. The bonding criterion is used to examine the case of bonding bowed wafers. An analytical expression for the strain energy accumulation rate, which is the quantity that controls bonding, and the final curvature of a bonded stack is developed. It is demonstrated that the thickness of the wafers plays a large role and bonding success is independent of wafer diameter. The analytical results are verified through a finite element model and a general method for implementing the bonding criterion numerically is presented. The bonding criterion developed permits the effect of etched features to be assessed. Shallow etched patterns are shown to make bonding more difficult, while it is demonstrated that deep etched features can facilitate bonding. Model results and their process design implications are discussed in detail.

  2. Effect of helium ion beam treatment on wet etching of silicon dioxide

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Grigoryev, E. A.; Sharov, T. V.; Baraban, A. P.

    2018-03-01

    We investigated the effect of helium ion beam treatment on the etching rate of silicon dioxide in a water based solution of hydrofluoric acid. A 460-nm-thick silicon dioxide film on silicon was irradiated with helium ions having energies of 20 keV and 30 keV with ion fluences ranging from 1014 cm-2 to 1017 cm-2. The dependence of the etching rate on depth was obtained and compared with the depth distribution of ion-induced defects, which was obtained from numerical simulation. Irradiation with helium ions results in an increase of the etching rate of silicon dioxide. The dependence of the etching rate on the calculated concentration of ion-induced defects is described.

  3. Fabrication of wafer-scale nanopatterned sapphire substrate through phase separation lithography

    NASA Astrophysics Data System (ADS)

    Guo, Xu; Ni, Mengyang; Zhuang, Zhe; Dai, Jiangping; Wu, Feixiang; Cui, Yushuang; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2016-04-01

    A phase separation lithography (PSL) based on polymer blend provides an extremely simple, low-cost, and high-throughput way to fabricate wafer-scale disordered nanopatterns. This method was introduced to fabricate nanopatterned sapphire substrates (NPSSs) for GaN-based light-emitting diodes (LEDs). The PSL process only involved in spin-coating of polystyrene (PS)/polyethylene glycol (PEG) polymer blend on sapphire substrate and followed by a development with deionized water to remove PEG moiety. The PS nanoporous network was facilely obtained, and the structural parameters could be effectively tuned by controlling the PS/PEG weight ratio of the spin-coating solution. 2-in. wafer-scale NPSSs were conveniently achieved through the PS nanoporous network in combination with traditional nanofabrication methods, such as O2 reactive ion etching (RIE), e-beam evaporation deposition, liftoff, and chlorine-based RIE. In order to investigate the performance of such NPSSs, typical blue LEDs with emission wavelengths of ~450 nm were grown on the NPSS and a flat sapphire substrate (FSS) by metal-organic chemical vapor deposition, respectively. The integral photoluminescence (PL) intensity of the NPSS LED was enhanced by 32.3 % compared to that of the FSS-LED. The low relative standard deviation of 4.7 % for PL mappings of NPSS LED indicated the high uniformity of PL data across the whole 2-in. wafer. Extremely simple, low cost, and high throughput of the process and the ability to fabricate at the wafer scale make PSL a potential method for production of nanopatterned sapphire substrates.

  4. Etching of germanium-tin using ammonia peroxide mixture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount ofmore » Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.« less

  5. Recovery of Multilayer-Coated Zerodur and ULE Optics for Extreme-Ultraviolet Lithography by Recoating, Reactive-Ion Etching, and Wet-Chemical Processes.

    PubMed

    Mirkarimi, P B; Baker, S L; Montcalm, C; Folta, J A

    2001-01-01

    Extreme-ultraviolet lithography requires expensive multilayer-coated Zerodur or ULE optics with extremely tight figure and finish specifications. Therefore it is desirable to develop methods to recover these optics if they are coated with a nonoptimum multilayer films or in the event that the coating deteriorates over time owing to long-term exposure to radiation, corrosion, or surface contamination. We evaluate recoating, reactive-ion etching, and wet-chemical techniques for the recovery of Mo/Si and Mo/Be multilayer films upon Zerodur and ULE test optics. The recoating technique was successfully employed in the recovery of Mo/Si-coated optics but has the drawback of limited applicability. A chlorine-based reactive-ion etch process was successfully used to recover Mo/Si-coated optics, and a particularly large process window was observed when ULE optics were employed; this is an advantageous for large, curved optics. Dilute HCl wet-chemical techniques were developed and successfully demonstrated for the recovery of Mo/Be-coated optics as well as for Mo/Si-coated optics when Mo/Be release layers were employed; however, there are questions about the extendability of the HCl process to large optics and multiple coat and strip cycles. The technique of using carbon barrier layers to protect the optic during removal of Mo/Si in HF:HNO(3) also showed promise.

  6. Characterization of sapphire: For its material properties at high temperatures

    NASA Astrophysics Data System (ADS)

    Bal, Harman Singh

    There are numerous needs for sensing, one of which is in pressure sensing for high temperature application such as combustion related process and embedded in aircraft wings for reusable space vehicles. Currently, silicon based MEMS technology is used for pressure sensing. However, due to material properties the sensors have a limited range of approximately 600 °C which is capable of being pushed towards 1000 °C with active cooling. This can introduce reliability issues when you add more parts and high flow rates to remove large amounts of heat. To overcome this challenge, sapphire is investigated for optical based pressure transducers at temperatures approaching 1400 °C. Due to its hardness and chemical inertness, traditional cutting and etching methods used in MEMS technology are not applicable. A method that is being investigated as a possible alternative is laser machining using a picosecond laser. In this research, we study the material property changes that occur from laser machining and quantify the changes with the experimental results obtained by testing sapphire at high-temperature with a standard 4-point bending set-up.

  7. A Dry-Etch Process for Low Temperature Superconducting Transition Edge Sensors for Far Infrared Bolometer Arrays

    NASA Technical Reports Server (NTRS)

    Allen, Christine A.; Chervenak, James A.; Hsieh, Wen-Ting; McClanahan, Richard A.; Miller, Timothy M.; Mitchell, Robert; Moseley, S. Harvey; Staguhn, Johannes; Stevenson, Thomas R.

    2003-01-01

    The next generation of ultra-low power bolometer arrays, with applications in far infrared imaging, spectroscopy and polarimetry, utilizes a superconducting bilayer as the sensing element to enable SQUID multiplexed readout. Superconducting transition edge sensors (TES s) are being produced with dual metal systems of superconductinghormal bilayers. The transition temperature (Tc) is tuned by altering the relative thickness of the superconductor with respect to the normal layer. We are currently investigating MoAu and MoCu bilayers. We have developed a dry-etching process for MoAu TES s with integrated molybdenum leads, and are working on adapting the process to MoCu. Dry etching has the advantage over wet etching in the MoAu system in that one can achieve a high degree of selectivity, greater than 10, using argon ME, or argon ion milling, for patterning gold on molybdenum. Molybdenum leads are subsequently patterned using fluorine plasma.. The dry-etch technique results in a smooth, featureless TES with sharp sidewalls, no undercutting of the Mo beneath the normal metal, and Mo leads with high critical current. The effects of individual processing parameters on the characteristics of the transition will be reported.

  8. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    PubMed

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  9. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching

    PubMed Central

    2014-01-01

    Abstract Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm−2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS 61.46.Km; 78.55.-m; 78.67.Lt PMID:24521284

  10. Study of Mechano-Chemical Machining of Ceramics and the Effect on Thin Film Behavior.

    DTIC Science & Technology

    1981-06-01

    polished 7 dry on nylon using NaCI 3 Photomicrographs of the etched surfaces of MgO polished 8 .wet on glass using NaCl 4 Surface profile and Nomarski ...micrograph of a Si wafer 10 taken before mechano-chemical polishing 5 Surface profile and Nomarski micrograph of a Si wafer 11 taken after mechano... Nomarski micrographs of mechano-chemically-polished 21 sapphire and tape-cast alumina 14 Surface profiles of mechano-chemically-polished sapphire 22

  11. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  12. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy

    PubMed Central

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-01-01

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1–2 × 109 cm−2, which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 109 cm−2). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices. PMID:28772961

  13. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy.

    PubMed

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-05-31

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1-2 × 10⁸ cm -2 , which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 10⁸ cm -2 ). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices.

  14. Overview Of Dry-Etch Techniques

    NASA Astrophysics Data System (ADS)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  15. Laser marking on soda-lime glass by laser-induced backside wet etching with two-beam interference

    NASA Astrophysics Data System (ADS)

    Nakazumi, Tomoka; Sato, Tadatake; Narazaki, Aiko; Niino, Hiroyuki

    2016-09-01

    For crack-free marking of glass materials, a beam-scanning laser-induced backside wet etching (LIBWE) process by a beam spot with a fine periodic structure was examined. The fine periodic structure was produced within a beam spot by means of a Mach-Zehnder interferometer incorporated to the optical setup for the beam-scanning LIBWE. A fine structure with a period of 9 µm was observed within the microstructures with a diameter of ca. 40 µm fabricated by a laser shot under double-beam irradiation, and they could be homogeneously fabricated within an area of 800  ×  800 µm. The area filled with the microstructures, including fine periodic structures, could be observed in high contrast under a diffuse, on-axis illumination that was used in commercial QR code readers.

  16. Synthesis and Transfer of Large-Area Monolayer WS2 Crystals: Moving Toward the Recyclable Use of Sapphire Substrates.

    PubMed

    Xu, Zai-Quan; Zhang, Yupeng; Lin, Shenghuang; Zheng, Changxi; Zhong, Yu Lin; Xia, Xue; Li, Zhipeng; Sophia, Ponraj Joice; Fuhrer, Michael S; Cheng, Yi-Bing; Bao, Qiaoliang

    2015-06-23

    Two-dimensional layered transition metal dichalcogenides (TMDs) show intriguing potential for optoelectronic devices due to their exotic electronic and optical properties. Only a few efforts have been dedicated to large-area growth of TMDs. Practical applications will require improving the efficiency and reducing the cost of production, through (1) new growth methods to produce large size TMD monolayer with less-stringent conditions, and (2) nondestructive transfer techniques that enable multiple reuse of growth substrate. In this work, we report to employ atmospheric pressure chemical vapor deposition (APCVD) for the synthesis of large size (>100 μm) single crystals of atomically thin tungsten disulfide (WS2), a member of TMD family, on sapphire substrate. More importantly, we demonstrate a polystyrene (PS) mediated delamination process via capillary force in water which reduces the etching time in base solution and imposes only minor damage to the sapphire substrate. The transferred WS2 flakes are of excellent continuity and exhibit comparable electron mobility after several growth cycles on the reused sapphire substrate. Interestingly, the photoluminescence emission from WS2 grown on the recycled sapphire is much higher than that on fresh sapphire, possibly due to p-type doping of monolayer WS2 flakes by a thin layer of water intercalated at the atomic steps of the recycled sapphire substrate. The growth and transfer techniques described here are expected to be applicable to other atomically thin TMD materials.

  17. Defect reduction in GaN on dome-shaped patterned-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Chen, Po-Hsun; Su, Vin-Cent; Wu, Shang-Hsuan; Lin, Ray-Ming; Kuan, Chieh-Hsiung

    2018-02-01

    This paper demonstrates the behavior of defect reduction in un-doped GaN (u-GaN) grown on a commercial dome-shaped patterned-sapphire substrate (CDPSS). Residual strain inside the u-GaN grown on the CDPSS have been investigated as well. As verified by the experimentally measured data, the limited growth rate of the u-GaN on the sidewall of the CDPSS enhances the lateral growth of the GaN on the trench region while increasing the growth time. This subsequently contributes to improve the crystalline quality of the GaN on the CDPSS. The more prominent dislocations occur in the u-GaN epilayers on the CDPSS after reaching the summit of the accumulated strain inside the epilayers. Such prominent bent dislocations improve their blocking abilities, followed by the achievement of the better crystalline quality for the growth of the u-GaN on the CDPSS.

  18. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  19. Influence of Er:YAG and Ti:sapphire laser irradiation on the microtensile bond strength of several adhesives to dentin.

    PubMed

    Portillo, M; Lorenzo, M C; Moreno, P; García, A; Montero, J; Ceballos, L; Fuentes, M V; Albaladejo, A

    2015-02-01

    The aim of the present study was to evaluate the influence of erbium:yttrium-aluminum-garnet (Er:YAG) and Ti:sapphire laser irradiation on the microtensile bond strength (MTBS) of three different adhesive systems to dentin. Flat dentin surfaces from 27 molars were divided into three groups according to laser irradiation: control, Er:YAG (2,940 nm, 100 μs, 2.7 W, 9 Hz) and Ti:sapphire laser (795 nm, 120 fs, 1 W, 1 kHz). Each group was divided into three subgroups according to the adhesive system used: two-step total-etching adhesive (Adper Scotchbond 1 XT, from now on XT), two-step self-etching adhesive (Clearfil SE Bond, from now on CSE), and all-in-one self-etching adhesive (Optibond All-in-One, from now on OAO). After 24 h of water storage, beams of section at 1 mm(2) were longitudinally cut from the samples. Each beam underwent traction test in an Instron machine. Fifteen polished dentin specimens were used for the surface morphology analysis by scanning electron microscopy (SEM). Failure modes of representative debonded microbars were SEM-assessed. Data were analyzed by ANOVA, chi-square test, and multiple linear regression (p < 0.05). In the control group, XT obtained higher MTBS than that of laser groups that performed equally. CSE showed higher MTBS without laser than that with laser groups, where Er:YAG attained higher MTBS than ultrashort laser. When OAO was used, MTBS values were equal in the three treatments. CSE obtained the highest MTBS regardless of the surface treatment applied. The Er:YAG and ultrashort laser irradiation reduce the bonding effectiveness when a two-step total-etching adhesive or a two-step self-etching adhesive are used and do not affect their effectiveness when an all-in-one self-etching adhesive is applied.

  20. High performance sapphire windows

    NASA Technical Reports Server (NTRS)

    Bates, Stephen C.; Liou, Larry

    1993-01-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  1. High performance sapphire windows

    NASA Astrophysics Data System (ADS)

    Bates, Stephen C.; Liou, Larry

    1993-02-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  2. Cryo-Etched Black Silicon for Use as Optical Black

    NASA Technical Reports Server (NTRS)

    Yee, Karl Y.; White, Victor E.; Mouroulis, Pantazis; Eastwood, Michael L.

    2011-01-01

    Stray light reflected from the surface of imaging spectrometer components in particular, the spectrometer slit degrade the image quality. A technique has been developed for rapid, uniform, and cost-effective black silicon formation based on inductively coupled plasma (ICP) etching at cryogenic temperatures. Recent measurements show less than 1-percent total reflectance from 350 2,500 nm of doped black silicon formed in this way, making it an excellent option for texturing of component surfaces for reduction of stray light. Oxygen combines with SF6 + Si etch byproducts to form a passivation layer atop the Si when the etch is performed at cryogenic temperatures. Excess flow of oxygen results in micromasking and the formation of black silicon. The process is repeatable and reliable, and provides control over etch depth and sidewall profile. Density of the needles can be controlled to some extent. Regions to be textured can be patterned lithographically. Adhesion is not an issue as the nanotips are part of the underlying substrate. This is in contrast to surface growth/deposition techniques such as carbon nanotubes (CNTs). The black Si surface is compatible with wet processing, including processing with solvents, the textured surface is completely inorganic, and it does not outgas. In radiometry applications, optical absorbers are often constructed using gold black or CNTs. This black silicon technology is an improvement for these types of applications.

  3. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  4. Chemical etching and organometallic chemical vapor deposition on varied geometries of GaAs

    NASA Technical Reports Server (NTRS)

    Bailey, Sheila G.; Landis, Geoffrey A.; Wilt, David M.

    1989-01-01

    Results of micron-spaced geometries produced by wet chemical etching and subsequent OMCVD growth on various GaAs surfaces are presented. The polar lattice increases the complexity of the process. The slow-etch planes defined by anisotropic etching are not always the same as the growth facets produced during MOCVD deposition, especially for deposition on higher-order planes produced by the hex groove etching.

  5. GaN-based LEDs with a high light extraction composite surface structure fabricated by a modified YAG laser lift-off technology and the patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Sun, Yongjian; Trieu, Simeon; Yu, Tongjun; Chen, Zhizhong; Qi, Shengli; Tian, Pengfei; Deng, Junjing; Jin, Xiaoming; Zhang, Guoyi

    2011-08-01

    Vertical structure LEDs have been fabricated with a novel light extraction composite surface structure composed of a micron grating and nano-structure. The composite surface structure was generated by using a modified YAG laser lift-off technique, separating the wafers from cone-shaped patterned sapphire substrates. LEDs thus fabricated showed the light output power increase about 1.7-2.5 times when compared with conventional vertical structure LEDs grown on plane sapphire substrates. A three-dimensional finite difference time domain method was used to simulate this new kind of LED device. It was determined that nano-structures in composite surface patterns play a key role in the improvement of light extraction efficiency of LEDs.

  6. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  7. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  8. Metal1 patterning study for random-logic applications with 193i, using calibrated OPC for litho and etch

    NASA Astrophysics Data System (ADS)

    Mailfert, Julien; Van de Kerkhove, Jeroen; De Bisschop, Peter; De Meyer, Kristin

    2014-03-01

    A Metal1-layer (M1) patterning study is conducted on 20nm node (N20) for random-logic applications. We quantified the printability performance on our test vehicle for N20, corresponding to Poly/M1 pitches of 90/64nm, and with a selected minimum M1 gap size of 70nm. The Metal1 layer is patterned with 193nm immersion lithography (193i) using Negative Tone Developer (NTD) resist, and a double-patterning Litho-Etch-Litho-Etch (LELE) process. Our study is based on Logic test blocks that we OPCed with a combination of calibrated models for litho and for etch. We report the Overlapping Process Window (OPW), based on a selection of test structures measured after-etch. We find that most of the OPW limiting structures are EOL (End-of-Line) configurations. Further analysis of these individual OPW limiters will reveal that they belong to different types, such as Resist 3D (R3D) and Mask 3D (M3D) sensitive structures, limiters related to OPC (Optical Proximity Corrections) options such as assist placement, or the choice of CD metrics and tolerances for calculation of the process windows itself. To guide this investigation, we will consider a `reference OPC' case to be compared with other solutions. In addition, rigorous simulations and OPC verifications will complete the after-etch measurements to help us to validate our experimental findings.

  9. Wetting transition on patterned surfaces: transition states and energy barriers.

    PubMed

    Ren, Weiqing

    2014-03-18

    We study the wetting transition on microstructured hydrophobic surfaces. We use the string method [J. Chem. Phys. 2007, 126, 164103; J. Chem. Phys. 2013, 138, 134105] to accurately compute the transition states, the energy barriers, and the minimum energy paths for the wetting transition from the Cassie-Baxter state to the Wenzel state. Numerical results are obtained for the wetting of a hydrophobic surface textured with a square lattice of pillars. It is found that the wetting of the solid substrate occurs via infiltration of the liquid in a single groove, followed by lateral propagation of the liquid front. The propagation of the liquid front proceeds in a stepwise manner, and a zipping mechanism is observed during the infiltration of each layer. The minimum energy path for the wetting transition goes through a sequence of intermediate metastable states, whose wetted areas reflect the microstructure of the patterned surface. We also study the dependence of the energy barrier on the drop size and the gap between the pillars.

  10. Fabrication of 3D electro-thermal micro actuators in silica glass by femtosecond laser wet etch and microsolidics

    NASA Astrophysics Data System (ADS)

    Li, Qichao; Shan, Chao; Yang, Qing; Chen, Feng; Bian, Hao; Hou, Xun

    2017-02-01

    This paper demonstrates a novel electro-thermal micro actuator's design, fabrication and device tests which combine microfluidic technology and microsolidics process. A three-dimensional solenoid microchannel with high aspect ratio is fabricated inside the silica glass by an improved femtosecond laser wet etch (FLWE) technology, and the diameter of the spiral coil is only 200 μm. Molten alloy (Bi/In/Sn/Pb) with high melting point is injected into the three-dimensional solenoid microchannel inside the silica glass , then it solidifys and forms an electro-thermal micro actuator. The device is capable of achieving precise temperature control and quick response, and can also be easily integrated into MEMS, sensors and `lab on a chip' (LOC) platform inside the fused silica substrate.

  11. Au-assisted fabrication of nano-holes on c-plane sapphire via thermal treatment guided by Au nanoparticles as catalysts

    NASA Astrophysics Data System (ADS)

    Sui, Mao; Pandey, Puran; Li, Ming-Yu; Zhang, Quanzhen; Kunwar, Sundar; Lee, Jihoon

    2017-01-01

    Nanoscale patterning of sapphires is a challenging task due to the high mechanical strength, chemical stability as well as thermal durability. In this paper, we demonstrate a gold droplet assisted approach of nano-hole fabrication on c-plane sapphire via a thermal treatment. Uniformly distributed nano-holes are fabricated on the sapphire surface guided by dome shaped Au nanoparticles (NPs) as catalysts and the patterning process is discussed based on the disequilibrium of vapor, liquid, solid interface energies at the Au NP/sapphire interface induced by the Au evaporation at high temperature. Followed by the re-equilibration of interface energy, transport of alumina from the beneath of NPs to the sapphire surface can occur along the NP/sapphire interface resulting in the formation of nano-holes. The fabrication of nano-holes using Au NPs as catalysts is a flexible, economical and convenient approach and can find applications in various optoelectronics.

  12. Planar waveguide solar concentrator with couplers fabricated by laser-induced backside wet etching

    NASA Astrophysics Data System (ADS)

    Zhang, Nikai

    Solar radiation can be converted directly into electricity by using the photovoltaic effect, which represents the principle of operation of solar cells. Currently, most solar cells are made of crystalline silicon and have a conversion efficiency of about 20% or less. Multi-junction solar cells, made of III-V compound semiconductors, can have efficiencies in excess of 40%. The main factor that prohibits such high-efficiency technologies from wider acceptance is the cost. An alternative approach to using large-area expensive solar cells is to employ lower cost optics and concentrate the solar radiation to smaller cell area, which is the basic principle of solar concentrators. In this thesis, we consider a solar concentrator module that consists of a combination of a lens array and a slab waveguide with etched conical holes on one side of the waveguide, which are aligned with the lenslets. Sunlight coming through each of these lenslets is focused on the backside of the waveguide, where a coupling structure (an etched cone) is fabricated. This coupler changes the propagation direction of the incident light in such a way that light is guided through total internal reflection (TIR) within the glass slab and eventually reaches a solar cell, which is properly mounted on the side of the slab. The concept of this concentrated photovoltaic (CPV) system is based on a planar light guide solar concentrator module, proposed earlier by another group. This project builds on the original idea by including the following substantial modifications. The lens array is to be made of solid glass by a mold technology and provided to us by our industrial partner, Libbey, Inc., as opposed to silicone on glass technology, in which the lenses are made out of silicone and sit on a glass substrate. The coupling structures are cone-shaped holes etched directly into the solid glass waveguide, as opposed to coupling structures that are formed by addition of polymeric layer and consequent patterning

  13. Dynamic secondary ion mass spectroscopy of Au nanoparticles on Si wafer using Bi3+ as primary ion coupled with surface etching by Ar cluster ion beam: The effect of etching conditions on surface structure

    NASA Astrophysics Data System (ADS)

    Park, Eun Ji; Choi, Chang Min; Kim, Il Hee; Kim, Jung-Hwan; Lee, Gaehang; Jin, Jong Sung; Ganteför, Gerd; Kim, Young Dok; Choi, Myoung Choul

    2018-01-01

    Wet-chemically synthesized Au nanoparticles were deposited on Si wafer surfaces, and the secondary ions mass spectra (SIMS) from these samples were collected using Bi3+ with an energy of 30 keV as the primary ions. In the SIMS, Au cluster cations with a well-known, even-odd alteration pattern in the signal intensity were observed. We also performed depth profile SIMS analyses, i.e., etching the surface using an Ar gas cluster ion beam (GCIB), and a subsequent Bi3+ SIMS analysis was repetitively performed. Here, two different etching conditions (Ar1600 clusters of 10 keV energy or Ar1000 of 2.5 keV denoted as "harsh" or "soft" etching conditions, respectively) were used. Etching under harsh conditions induced emission of the Au-Si binary cluster cations in the SIMS spectra of the Bi3+ primary ions. The formation of binary cluster cations can be induced by either fragmentation of Au nanoparticles or alloying of Au and Si, increasing Au-Si coordination on the sample surface during harsh GCIB etching. Alternatively, use of the soft GCIB etching conditions resulted in exclusive emission of pure Au cluster cations with nearly no Au-Si cluster cation formation. Depth profile analyses of the Bi3+ SIMS combined with soft GCIB etching can be useful for studying the chemical environments of atoms at the surface without altering the original interface structure during etching.

  14. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  15. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  16. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    PubMed

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  17. Combining wet etching and real-time damage event imaging to reveal the most dangerous laser damage initiator in fused silica.

    PubMed

    Hu, Guohang; Zhao, Yuanan; Liu, Xiaofeng; Li, Dawei; Xiao, Qiling; Yi, Kui; Shao, Jianda

    2013-08-01

    A reliable method, combining a wet etch process and real-time damage event imaging during a raster scan laser damage test, has been developed to directly determine the most dangerous precursor inducing low-density laser damage at 355 nm in fused silica. It is revealed that ~16% of laser damage sites were initiated at the place of the scratches, ~49% initiated at the digs, and ~35% initiated at invisible defects. The morphologies of dangerous scratches and digs were compared with those of moderate ones. It is found that local sharp variation at the edge, twist, or inside of a subsurface defect is the most dangerous laser damage precursor.

  18. Lattice distortions in GaN on sapphire using the CBED-HOLZ technique.

    PubMed

    Sridhara Rao, D V; McLaughlin, K; Kappers, M J; Humphreys, C J

    2009-09-01

    The convergent beam electron diffraction (CBED) methodology was developed to investigate the lattice distortions in wurtzite gallium nitride (GaN) from a single zone-axis pattern. The methodology enabled quantitative measurements of lattice distortions (alpha, beta, gamma and c) in transmission electron microscope (TEM) specimens of a GaN film grown on (0,0,0,1) sapphire by metal-organic vapour-phase epitaxy. The CBED patterns were obtained at different distances from the GaN/sapphire interface. The results show that GaN is triclinic above the interface with an increased lattice parameter c. At 0.85 microm from the interface, alpha=90 degrees , beta=8905 degrees and gamma=11966 degrees . The GaN lattice relaxes steadily back to hexagonal further away from the sapphire substrate. The GaN distortions are mainly confined to the initial stages of growth involving the growth and the coalescence of 3D GaN islands.

  19. 1988 Wet deposition temporal and spatial patterns in North America

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simpson, J.C.; Olsen, A.R.; Bittner, E.A.

    1992-03-01

    The focus of this report is on North American wet deposition temporal patterns from 1979 to 1988 and spatial patterns for 1988. It is the third in a series of reports that investigate the patterns of annual precipitation-weighted average concentration and annual deposition for nine ion species: hydrogen, sulfate, nitrate, ammonium, calcium, chloride, sodium, potassium, and magnesium. Mosaic maps, based on surface estimation using kriging, display concentration and deposition spatial patterns of pH, hydrogen, sulfate, nitrate, ammonium, and calcium ion species for 1988 annual, winter, and summer periods. Temporal pattern analyses use a subset of 35 sites over a 10-yearmore » (1979--1988) period and an expanded subset of 137 sites, with greater spatial coverage, over a 7-year (1982--1988) period. The 10-year period represents the longest period with wet deposition monitoring data available that has a sufficient number of sites with data of known quality to allow a descriptive summary of annual temporal patterns. Sen`s median trend estimate and Kendall`s seasonal tau (KST) test are calculated for each ion species concentration and deposition at each site in both subsets.« less

  20. 1988 Wet deposition temporal and spatial patterns in North America

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simpson, J.C.; Olsen, A.R.; Bittner, E.A.

    1992-03-01

    The focus of this report is on North American wet deposition temporal patterns from 1979 to 1988 and spatial patterns for 1988. It is the third in a series of reports that investigate the patterns of annual precipitation-weighted average concentration and annual deposition for nine ion species: hydrogen, sulfate, nitrate, ammonium, calcium, chloride, sodium, potassium, and magnesium. Mosaic maps, based on surface estimation using kriging, display concentration and deposition spatial patterns of pH, hydrogen, sulfate, nitrate, ammonium, and calcium ion species for 1988 annual, winter, and summer periods. Temporal pattern analyses use a subset of 35 sites over a 10-yearmore » (1979--1988) period and an expanded subset of 137 sites, with greater spatial coverage, over a 7-year (1982--1988) period. The 10-year period represents the longest period with wet deposition monitoring data available that has a sufficient number of sites with data of known quality to allow a descriptive summary of annual temporal patterns. Sen's median trend estimate and Kendall's seasonal tau (KST) test are calculated for each ion species concentration and deposition at each site in both subsets.« less

  1. Development and Industrialization of InGaN/GaN LEDs on Patterned Sapphire Substrates for Low Cost Emitter Architecture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flemish, Joseph; Soer, Wouter

    2015-11-30

    Patterned sapphire substrate (PSS) technology has proven to be an effective approach to improve efficacy and reduce cost of light-emitting diodes (LEDs). The volume emission from the transparent substrate leads to high package efficiency, while the simple and robust architecture of PSS-based LEDs enables low cost. PSS substrates have gained wide use in mid-power LEDs over the past years. In this project, Lumileds has developed and industrialized PSS and epitaxy technology for high- power flip-chip LEDs to bring these benefits to a broader range of applications and accelerate the adoption of energy-efficient solid-state lighting (SSL). PSS geometries were designed formore » highly efficient light extraction in a flip-chip architecture and high-volume manufacturability, and corresponding sapphire patterning and epitaxy manufacturing processes were integrally developed. Concurrently, device and package architectures were developed to take advantage of the PSS flip-chip die in different types of products that meet application needs. The developed PSS and epitaxy technology has been fully implemented in manufacturing at Lumileds’ San Jose, CA location, and incorporated in illumination-grade LED products that have been successfully introduced to the market, including LUXEON Q and LUXEON FlipChip White.« less

  2. Spectroscopic properties for identifying sapphire samples from Ban Bo Kaew, Phrae Province, Thailand

    NASA Astrophysics Data System (ADS)

    Mogmued, J.; Monarumit, N.; Won-in, K.; Satitkune, S.

    2017-09-01

    Gemstone commercial is a high revenue for Thailand especially ruby and sapphire. Moreover, Phrae is a potential gem field located in the northern part of Thailand. The studies of spectroscopic properties are mainly to identify gemstone using advanced techniques (e.g. UV-Vis-NIR spectrophotometry, FTIR spectrometry and Raman spectroscopy). Typically, UV-Vis-NIR spectrophotometry is a technique to study the cause of color in gemstones. FTIR spectrometry is a technique to study the functional groups in gem-materials. Raman pattern can be applied to identify the mineral inclusions in gemstones. In this study, the natural sapphires from Ban Bo Kaew were divided into two groups based on colors including blue and green. The samples were analyzed by UV-Vis-NIR spectrophotometer, FTIR spectrometer and Raman spectroscope for studying spectroscopic properties. According to UV-Vis-NIR spectra, the blue sapphires show higher Fe3+/Ti4+ and Fe2+/Fe3+ absorption peaks than those of green sapphires. Otherwise, green sapphires display higher Fe3+/Fe3+ absorption peaks than blue sapphires. The FTIR spectra of both blue and green sapphire samples show the absorption peaks of -OH,-CH and CO2. The mineral inclusions such as ferrocolumbite and rutile in sapphires from this area were observed by Raman spectroscope. The spectroscopic properties of sapphire samples from Ban Bo Kaew, Phrae Province, Thailand are applied to be the specific evidence for gemstone identification.

  3. Microfabricated Cantilevers Based on Sputtered Thin-Film Ni50Ti50 Shape Memory Alloy (SMA)

    DTIC Science & Technology

    2015-08-01

    surface coating developed during the NiTi deposition or anneal that is relatively resistant to the wet etch. Fig. 2 SEMs after the NiTi wet -etch...SEMs of NiTi devices after the 600 °C anneal , wet -etch patterning of the NiTi. A 120-nm Au capping layer was also sputtered. Figure 3a shows a 200-nm...Ni50Ti50 Cantilever 2 3. Results and Discussion 3 3.1 Wet -Etch Patterning NiTi 3 3.2 Dry-Etch Release of NiTi Devices 5 3.3 Thermal Actuation of

  4. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  5. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-10-01

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate

  6. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  7. Resin-dentin bonds to EDTA-treated vs. acid-etched dentin using ethanol wet-bonding. Part II: Effects of mechanical cycling load on microtensile bond strengths.

    PubMed

    Sauro, Salvatore; Toledano, Manuel; Aguilera, Fatima Sánchez; Mannocci, Francesco; Pashley, David H; Tay, Franklin R; Watson, Timothy F; Osorio, Raquel

    2011-06-01

    To compare microtensile bond strengths (MTBS) subsequent to load cycling of resin bonded acid-etched or EDTA-treated dentin using a modified ethanol wet-bonding technique. Flat dentin surfaces were obtained from extracted human molars and conditioned using 37% H(3)PO(4) (PA) (15s) or 0.1M EDTA (60s). Five experimental adhesives and one commercial bonding agent were applied to the dentin and light-cured. Solvated experimental resins (50% ethanol/50% comonomers) were used as primers and their respective neat resins were used as the adhesives. The resin-bonded teeth were stored in distilled water (24h) or submitted to 5000 loading cycles of 90N. The bonded teeth were then sectioned in beams for MTBS. Modes of failure were examined by scanning electron microscopy. The most hydrophobic resin 1 gave the lowest bond strength values to both acid and EDTA-treated dentin. The hydrophobic resin 2 applied to EDTA-treated dentin showed lower bond strengths after cycling load but this did not occur when it was bonded to PA-etched dentin. Resins 3 and 4, which contained hydrophilic monomers, gave higher bond strengths to both EDTA-treated or acid-etched dentin and showed no significant difference after load cycling. The most hydrophilic resin 5 showed no significant difference in bond strengths after cycling loading when bonded to EDTA or phosphoric acid treated dentin but exhibited low bond strengths. The presence of different functional monomers influences the MTBS of the adhesive systems when submitted to cyclic loads. Adhesives containing hydrophilic comonomers are not affected by cycling load challenge especially when applied on EDTA-treated dentin followed by ethanol wet bonding. Copyright © 2011 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  8. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  9. Sapphire tube pressure vessel

    DOEpatents

    Outwater, John O.

    2000-01-01

    A pressure vessel is provided for observing corrosive fluids at high temperatures and pressures. A transparent Teflon bag contains the corrosive fluid and provides an inert barrier. The Teflon bag is placed within a sapphire tube, which forms a pressure boundary. The tube is received within a pipe including a viewing window. The combination of the Teflon bag, sapphire tube and pipe provides a strong and inert pressure vessel. In an alternative embodiment, tie rods connect together compression fittings at opposite ends of the sapphire tube.

  10. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition.

    PubMed

    Utama, M Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-11-07

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.

  11. Patterning monolayer graphene with zigzag edges on hexagonal boron nitride by anisotropic etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Guole; Wu, Shuang; Zhang, Tingting

    2016-08-01

    Graphene nanostructures are potential building blocks for nanoelectronic and spintronic devices. However, the production of monolayer graphene nanostructures with well-defined zigzag edges remains a challenge. In this paper, we report the patterning of monolayer graphene nanostructures with zigzag edges on hexagonal boron nitride (h-BN) substrates by an anisotropic etching technique. We found that hydrogen plasma etching of monolayer graphene on h-BN is highly anisotropic due to the inert and ultra-flat nature of the h-BN surface, resulting in zigzag edge formation. The as-fabricated zigzag-edged monolayer graphene nanoribbons (Z-GNRs) with widths below 30 nm show high carrier mobility and width-dependent energy gaps atmore » liquid helium temperature. These high quality Z-GNRs are thus ideal structures for exploring their valleytronic or spintronic properties.« less

  12. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, Jerald A.

    1997-01-01

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for 1) cleaning, developing or etching, 2) rinsing, and 3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material.

  13. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, J.A.

    1997-08-26

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for (1) cleaning, developing or etching, (2) rinsing, and (3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material. 5 figs.

  14. Miniature Sapphire Acoustic Resonator - MSAR

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Tjoelker, Robert L.

    2011-01-01

    A room temperature sapphire acoustics resonator incorporated into an oscillator represents a possible opportunity to improve on quartz ultrastable oscillator (USO) performance, which has been a staple for NASA missions since the inception of spaceflight. Where quartz technology is very mature and shows a performance improvement of perhaps 1 dB/decade, these sapphire acoustic resonators when integrated with matured quartz electronics could achieve a frequency stability improvement of 10 dB or more. As quartz oscillators are an essential element of nearly all types of frequency standards and reference systems, the success of MSAR would advance the development of frequency standards and systems for both groundbased and flight-based projects. Current quartz oscillator technology is limited by quartz mechanical Q. With a possible improvement of more than x 10 Q with sapphire acoustic modes, the stability limit of current quartz oscillators may be improved tenfold, to 10(exp -14) at 1 second. The electromagnetic modes of sapphire that were previously developed at JPL require cryogenic temperatures to achieve the high Q levels needed to achieve this stability level. However sapphire fs acoustic modes, which have not been used before in a high-stability oscillator, indicate the required Q values (as high as Q = 10(exp 8)) may be achieved at room temperature in the kHz range. Even though sapphire is not piezoelectric, such a high Q should allow electrostatic excitation of the acoustic modes with a combination of DC and AC voltages across a small sapphire disk (approximately equal to l mm thick). The first evaluations under this task will test predictions of an estimated input impedance of 10 kilohms at Q = 10(exp 8), and explore the Q values that can be realized in a smaller resonator, which has not been previously tested for acoustic modes. This initial Q measurement and excitation demonstration can be viewed similar to a transducer converting electrical energy to

  15. Advances in sapphire optical fiber sensors

    NASA Technical Reports Server (NTRS)

    Wang, Anbo; Wang, George Z.; Gollapudi, Sridhar; May, Russell G.; Murphy, Kent A.; Claus, Richard O.

    1993-01-01

    We describe the development and testing of two sapphire fiber sensor designs intended for use in high temperature environments. The first is a birefringence-balanced polarimetric sapphire fiber sensor. In this sensor, two single crystal sapphire rods, acting as the birefringence sensing element, are connected to each other in such a way that the slow axis of the first rod is aligned along with the fast axis of the second rod, and the fast axis of the first rod is along the slow axis of the second rod. This sensor has been demonstrated for measurement of temperature up to 1500 C. The second is a sapphire-fiber-based intrinsic interferometric sensor. In this sensor, a length of uncoated, unclad, structural-graded multimode sapphire fiber is fusion spliced to a singlemode silica fiber to form a Fabry-Perot cavity. The reflections from the silica-to-sapphire fiber splice and the free endface of the sapphire fiber give rise to the interfering fringe output. This sensor has been demonstrated for the measurement of temperature above 1510 C, and a resolution of 0.1 C has been obtained.

  16. Effect of Charging Electron Exposure on 1064nm Transmission Through Bare Sapphire Optics and SiO2 over HfO2 AR-Coated Sapphire Optics

    NASA Technical Reports Server (NTRS)

    Ottens, Brian P.; Connelly, Joseph; Brown, Stephen; Roeder, James; Kauder, Lonny; Cavanaugh, John

    2010-01-01

    Experiments measuring the effect of electron exposure on 1064nm transmission for optical sapphire were conducted. Detailed before and after inspections did not identify any resulting Litchenburg patterns. Pre- and post-exposure 1064nm transmission measurements are compared.

  17. Effect of Charging Electron Exposure on 1064nm Transmission through Bare Sapphire Optics and SiO2 over HfO2 AR-coated Sapphire Optics

    NASA Technical Reports Server (NTRS)

    Ottens, Brian P.; Connelly, Joseph; Brown, Stephen; Roeder, james; Kauder, Lonny; Cavanaugh, John

    2008-01-01

    Experiments measuring the effect of electron exposure on 1064nm transmission for optical sapphire were conducted. Detailed before and after inspections did not identify any resulting Litchenburg patterns. Pre- and post-exposure 1064nm transmission measurements are compared.

  18. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  19. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  20. Shear Strength and Interfacial Toughness Characterization of Sapphire-Epoxy Interfaces for Nacre-Inspired Composites.

    PubMed

    Behr, Sebastian; Jungblut, Laura; Swain, Michael V; Schneider, Gerold A

    2016-10-12

    The common tensile lap-shear test for adhesive joints is inappropriate for brittle substrates such as glasses or ceramics where stress intensifications due to clamping and additional bending moments invalidate results. Nevertheless, bonding of glasses and ceramics is still important in display applications for electronics, in safety glass and ballistic armor, for dental braces and restoratives, or in recently developed bioinspired composites. To mechanically characterize adhesive bondings in these fields nonetheless, a novel approach based on the so-called Schwickerath test for dental sintered joints is used. This new method not only matches data from conventional analysis but also uniquely combines the accurate determination of interfacial shear strength and toughness in one simple test. The approach is verified for sapphire-epoxy joints that are of interest for bioinspired composites. For these, the procedure not only provides quantitative interfacial properties for the first time, it also exemplarily suggests annealing of sapphire at 1000 °C for 10 h for mechanically and economically effective improvements of the interfacial bond strength and toughness. With increases of strength and toughness from approximately 8 to 29 MPa and from 2.6 to 35 J/m 2 , respectively, this thermal modification drastically enhances the properties of unmodified sapphire-epoxy interfaces. At the same time, it is much more convenient than wet-chemical approaches such as silanization. Hence, besides the introduction of a new testing procedure for adhesive joints of brittle or expensive substrates, a new and facile annealing process for improvements of the adhesive properties of sapphire is suggested and quantitative data for the mechanical properties of sapphire-epoxy interfaces that are common in synthetic nacre-inspired composites are provided for the first time.

  1. AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates with significant improvement in internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Zhang, Yun; Wang, Junxi; Zeng, Jianping; Geng, Chong; Cong, Peipei; Sun, Lili; Wei, Tongbo; Zhao, Lixia; Yan, Qingfeng; He, Chenguang; Qin, Zhixin; Li, Jinmin

    2014-06-01

    We report high-performance AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates (NPSS) using metal-organic chemical vapor deposition. By nanoscale epitaxial lateral overgrowth on NPSS, 4-μm AlN buffer layer has shown strain relaxation and a coalescence thickness of only 2.5 μm. The full widths at half-maximum of X-ray diffraction (002) and (102) ω-scan rocking curves of AlN on NPSS are only 69.4 and 319.1 arcsec. The threading dislocation density in AlGaN-based multi-quantum wells, which are grown on this AlN/NPSS template with a light-emitting wavelength at 283 nm at room temperature, is reduced by 33% compared with that on flat sapphire substrate indicated by atomic force microscopy measurements, and the internal quantum efficiency increases from 30% to 43% revealed by temperature-dependent photoluminescent measurement.

  2. Lift-off process for fine-patterned PZT film using metal oxide as a sacrificial layer

    NASA Astrophysics Data System (ADS)

    Trong Tue, Phan; Shimoda, Tatsuya; Takamura, Yuzuru

    2017-01-01

    Patterning of lead zirconium titanate (PZT) films is crucial for highly integrated piezoelectric/ferroelectric micro-devices. In this work, we report a novel lift-off method using solution-processed indium zinc oxide (IZO) thin film as a sacrificial layer for sub-5 µm fine-patterning PZT film. The processes include IZO layer deposition and patterning, PZT film preparation, and final lift-off. The results reveal that the lift-off PZT processes provide better structural and electrical properties than those formed by the conventional wet-etching method. The successful patterning by the lift-off was mainly due to the fact that the IZO sacrificial layer is easy to etch and has a high-temperature resistance. This finding shows great promise for highly integrated electronic devices.

  3. Damage-free back channel wet-etch process in amorphous indium-zinc-oxide thin-film transistors using a carbon-nanofilm barrier layer.

    PubMed

    Luo, Dongxiang; Zhao, Mingjie; Xu, Miao; Li, Min; Chen, Zikai; Wang, Lang; Zou, Jianhua; Tao, Hong; Wang, Lei; Peng, Junbiao

    2014-07-23

    Amorphous indium-zinc-oxide thin film transistors (IZO-TFTs) with damage-free back channel wet-etch (BCE) process were investigated. A carbon (C) nanofilm was inserted into the interface between IZO layer and source/drain (S/D) electrodes as a barrier layer. Transmittance electron microscope images revealed that the 3 nm-thick C nanofilm exhibited a good corrosion resistance to a commonly used H3PO4-based etchant and could be easily eliminated. The TFT device with a 3 nm-thick C barrier layer showed a saturated field effect mobility of 14.4 cm(2) V(-1) s(-1), a subthreshold swing of 0.21 V/decade, an on-to-off current ratio of 8.3 × 10(10), and a threshold voltage of 2.0 V. The favorable electrical performance of this kind of IZO-TFTs was due to the protection of the inserted C to IZO layer in the back-channel-etch process. Moreover, the low contact resistance of the devices was proved to be due to the graphitization of the C nanofilms after annealing. In addition, the hysteresis and thermal stress testing confirmed that the usage of C barrier nanofilms is an effective method to fabricate the damage-free BCE-type devices with high reliability.

  4. Optical ridge waveguides preserving the thermo-optic features in LiNbO3 crystals fabricated by combination of proton implantation and selective wet etching.

    PubMed

    Tan, Yang; Chen, Feng

    2010-05-24

    We report on a new, simple method to fabricate optical ridge waveguides in a z-cut LiNbO3 wafer by using proton implantation and selective wet etching. The measured modal field is well confined in the ridge waveguide region, which is also confirmed by the numerical simulation. With thermal annealing treatment at 400 degrees C, the propagation loss of the ridge waveguides is determined to be as low as approximately 0.9 dB/cm. In addition, the measured thermo-optic coefficients of the waveguides are in good agreement with those of the bulk, suggesting potential applications in integrated photonics.

  5. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  6. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  7. Bonding of sapphire to sapphire by eutectic mixture of aluminum oxide and zirconium oxide

    NASA Technical Reports Server (NTRS)

    Deluca, J. J. (Inventor)

    1975-01-01

    Bonding of an element comprising sapphire, ruby or blue sapphire to another element of such material with a eutectic mixture of aluminum oxide and zirconium oxide is discussed. The bonding mixture may be applied in the form of a distilled water slurry or by electron beam vapor deposition. In one embodiment the eutectic is formed in situ by applying a layer of zirconium oxide and then heating the assembly to a temperature above the eutectic temperature and below the melting point of the material from which the elements are formed. The formation of a sapphire rubidium maser cell utilizing eutectic bonding is shown.

  8. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    NASA Astrophysics Data System (ADS)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  9. Light-Output Enhancement of GaN-Based Light-Emitting Diodes with Three-Dimensional Backside Reflectors Patterned by Microscale Cone Array

    PubMed Central

    Hu, Jinyong; Wang, Hong

    2014-01-01

    Three-dimensional (3D) backside reflector, compared with flat reflectors, can improve the probability of finding the escape cone for reflecting lights and thus enhance the light-extraction efficiency (LEE) for GaN-based light-emitting diode (LED) chips. A triangle-lattice of microscale SiO2 cone array followed by a 16-pair Ti3O5/SiO2 distributed Bragg reflector (16-DBR) was proposed to be attached on the backside of sapphire substrate, and the light-output enhancement was demonstrated by numerical simulation and experiments. The LED chips with flat reflectors or 3D reflectors were simulated using Monte Carlo ray tracing method. It is shown that the LEE increases as the reflectivity of backside reflector increases, and the light-output can be significantly improved by 3D reflectors compared to flat counterparts. It can also be observed that the LEE decreases as the refractive index of the cone material increases. The 3D 16-DBR patterned by microscale SiO2 cone array benefits large enhancement of LEE. This microscale pattern was prepared by standard photolithography and wet-etching technique. Measurement results show that the 3D 16-DBR can provide 12.1% enhancement of wall-plug efficiency, which is consistent with the simulated value of 11.73% for the enhancement of LEE. PMID:25133262

  10. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    NASA Astrophysics Data System (ADS)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  11. Temperature dependence of Ti:Sapphire fluorescence spectra for the design of cryogenic cooled Ti:Sapphire CPA laser.

    PubMed

    Burton, Harry; Debardelaben, Christopher; Amir, Wafa; Planchon, Thomas A

    2017-03-20

    The fluorescence spectra of titanium doped sapphire (Ti:Sapphire) crystals were measured for temperature ranging from 300K to 77K. The resulting gain cross-section line shapes were calculated and used in a three-dimensional amplification model to illustrate the importance of the precise knowledge of these fluorescence spectra for the design of cryogenic cooled Ti:Sapphire based chirped-pulse laser amplifiers.

  12. Morphological stability of sapphire crystallization front

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baranov, V. V., E-mail: baranov.isc@gmail.com; Nizhankovskyi, S. V.

    2016-03-15

    The main factors and specificity of growth conditions for sapphire and Ti:sapphire crystals, which affect the morphological stability of the crystal–melt interface, have been investigated with allowance for the concentration and radiative melt supercooling. It is shown that the critical sapphire growth rate is determined to a great extent by the optical transparency of the melt and the mixing conditions near the crystallization front.

  13. Wetting transitions on patterned surfaces with diffuse interaction potentials embedded in a Young-Laplace formulation

    NASA Astrophysics Data System (ADS)

    Pashos, G.; Kokkoris, G.; Papathanasiou, A. G.; Boudouvis, A. G.

    2016-01-01

    The Minimum Energy Paths (MEPs) of wetting transitions on pillared surfaces are computed with the Young-Laplace equation, augmented with a pressure term that accounts for liquid-solid interactions. The interactions are smoothed over a short range from the solid phase, therefore facilitating the numerical solution of problems concerning wetting on complex surface patterns. The patterns may include abrupt geometric features, e.g., arrays of rectangular pillars, where the application of the unmodified Young-Laplace is not practical. The MEPs are obtained by coupling the augmented Young-Laplace with the modified string method from which the energy barriers of wetting transitions are eventually extracted. We demonstrate the method on a wetting transition that is associated with the breakdown of superhydrophobic behavior, i.e., the transition from the Cassie-Baxter state to the Wenzel state, taking place on a superhydrophobic pillared surface. The computed energy barriers quantify the resistance of the system to these transitions and therefore, they can be used to evaluate superhydrophobic performance or provide guidelines for optimal pattern design.

  14. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  15. Melt inclusions in alluvial sapphires from Montana, USA: Formation of sapphires as a restitic component of lower crustal melting?

    NASA Astrophysics Data System (ADS)

    Palke, Aaron C.; Renfro, Nathan D.; Berg, Richard B.

    2017-05-01

    We report here compositions of glassy melt inclusions hosted in sapphires (gem quality corundum) from three alluvial deposits in Montana, USA including the Rock Creek, Dry Cottonwood Creek, and Missouri River deposits. While it is likely that sapphires in these deposits were transported to the surface by Eocene age volcanic events, their ultimate origin is still controversial with many models suggesting the sapphires are xenocrysts with a metamorphic or metasomatic genesis. Melt inclusions are trachytic, dacitic, and rhyolitic in composition. Microscopic observations allow separation between primary and secondary melt inclusions. The primary melt inclusions represent the silicate liquid that was present at the time of sapphire formation and are enriched in volatile components (8-14 wt.%). Secondary melt inclusions analyzed here for Dry Cottonwood Creek and Rock Creek sapphires are relatively volatile depleted and represent the magma that carried the sapphires to the surface. We propose that alluvial Montana sapphires from these deposits formed through a peritectic melting reaction during partial melting of a hydrated plagioclase-rich protolith (e.g. an anorthosite). The heat needed to drive this reaction was likely derived from the intrusion of mantle-derived mafic magmas near the base of the continental lithosphere during rollback of the Farallon slab around 50 Ma. These mafic magmas may have ended up as the ultimate carrier of the sapphires to the surface as evidenced by the French Bar trachybasalt near the Missouri River deposit. Alternatively, the trachytic, rhyolitic, and dacitic secondary melt inclusions at Rock Creek and Dry Cottonwood Creek suggests that the same magmas produced during the partial melting event that generated the sapphires may have also transported them to the surface. Determining the genesis of these deposits will further our understanding of sapphire deposits around the world and may help guide future sapphire prospecting techniques. This

  16. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  17. Ethanol Wet-bonding Challenges Current Anti-degradation Strategy

    PubMed Central

    Sadek, F.T.; Braga, R.R.; Muench, A.; Liu, Y.; Pashley, D.H.; Tay, F.R.

    2010-01-01

    The long-term effectiveness of chlorhexidine as a matrix metalloproteinase (MMP) inhibitor may be compromised when water is incompletely removed during dentin bonding. This study challenged this anti-bond degradation strategy by testing the null hypothesis that wet-bonding with water or ethanol has no effect on the effectiveness of chlorhexidine in preventing hybrid layer degradation over an 18-month period. Acid-etched dentin was bonded under pulpal pressure simulation with Scotchbond MP and Single Bond 2, with water wet-bonding or with a hydrophobic adhesive with ethanol wet-bonding, with or without pre-treatment with chlorhexidine diacetate (CHD). Resin-dentin beams were prepared for bond strength and TEM evaluation after 24 hrs and after aging in artificial saliva for 9 and 18 mos. Bonds made to ethanol-saturated dentin did not change over time with preservation of hybrid layer integrity. Bonds made to CHD pre-treated acid-etched dentin with commercial adhesives with water wet-bonding were preserved after 9 mos but not after 18 mos, with severe hybrid layer degradation. The results led to rejection of the null hypothesis and highlight the concept of biomimetic water replacement from the collagen intrafibrillar compartments as the ultimate goal in extending the longevity of resin-dentin bonds. PMID:20940353

  18. Etching characteristics of Si{110} in 20 wt% KOH with addition of hydroxylamine for the fabrication of bulk micromachined MEMS

    NASA Astrophysics Data System (ADS)

    Rao, A. V. Narasimha; Swarnalatha, V.; Pal, P.

    2017-12-01

    Anisotropic wet etching is a most widely employed for the fabrication of MEMS/NEMS structures using silicon bulk micromachining. The use of Si{110} in MEMS is inevitable when a microstructure with vertical sidewall is to be fabricated using wet anisotropic etching. In most commonly employed etchants (i.e. TMAH and KOH), potassium hydroxide (KOH) exhibits higher etch rate and provides improved anisotropy between Si{111} and Si{110} planes. In the manufacturing company, high etch rate is demanded to increase the productivity that eventually reduces the cost of end product. In order to modify the etching characteristics of KOH for the micromachining of Si{110}, we have investigated the effect of hydroxylamine (NH2OH) in 20 wt% KOH solution. The concentration of NH2OH is varied from 0 to 20% and the etching is carried out at 75 °C. The etching characteristics which are studied in this work includes the etch rates of Si{110} and silicon dioxide, etched surface morphology, and undercutting at convex corners. The etch rate of Si{110} in 20 wt% KOH + 15% NH2OH solution is measured to be four times more than that of pure 20 wt% KOH. Moreover, the addition of NH2OH increases the undercutting at convex corners and enhances the etch selectivity between Si and SiO2.

  19. Morphology-Patterned Anisotropic Wetting Surface for Fluid Control and Gas-Liquid Separation in Microfluidics.

    PubMed

    Wang, Shuli; Yu, Nianzuo; Wang, Tieqiang; Ge, Peng; Ye, Shunsheng; Xue, Peihong; Liu, Wendong; Shen, Huaizhong; Zhang, Junhu; Yang, Bai

    2016-05-25

    This article shows morphology-patterned stripes as a new platform for directing flow guidance of the fluid in microfluidic devices. Anisotropic (even unidirectional) spreading behavior due to anisotropic wetting of the underlying surface is observed after integrating morphology-patterned stripes with a Y-shaped microchannel. The anisotropic wetting flow of the fluid is influenced by the applied pressure, dimensions of the patterns, including the period and depth of the structure, and size of the channels. Fluids with different surface tensions show different flowing anisotropy in our microdevice. Moreover, the morphology-patterned surfaces could be used as a microvalve, and gas-water separation in the microchannel was realized using the unidirectional flow of water. Therefore, benefiting from their good performance and simple fabrication process, morphology-patterned surfaces are good candidates to be applied in controlling the fluid behavior in microfluidics.

  20. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  1. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    PubMed

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  2. Selective wetting-induced micro-electrode patterning for flexible micro-supercapacitors.

    PubMed

    Kim, Sung-Kon; Koo, Hyung-Jun; Lee, Aeri; Braun, Paul V

    2014-08-13

    Selective wetting-induced micro-electrode patterning is used to fabricate flexible micro-supercapacitors (mSCs). The resulting mSCs exhibit high performance, mechanical stability, stable cycle life, and hold great promise for facile integration into flexible devices requiring on-chip energy storage. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Natural substrate lift-off technique for vertical light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Lee, Chia-Yu; Lan, Yu-Pin; Tu, Po-Min; Hsu, Shih-Chieh; Lin, Chien-Chung; Kuo, Hao-Chung; Chi, Gou-Chung; Chang, Chun-Yen

    2014-04-01

    Hexagonal inverted pyramid (HIP) structures and the natural substrate lift-off (NSLO) technique were demonstrated on a GaN-based vertical light-emitting diode (VLED). The HIP structures were formed at the interface between GaN and the sapphire substrate by molten KOH wet etching. The threading dislocation density (TDD) estimated by transmission electron microscopy (TEM) was reduced to 1 × 108 cm-2. Raman spectroscopy indicated that the compressive strain from the bottom GaN/sapphire was effectively released through the HIP structure. With the adoption of the HIP structure and NSLO, the light output power and yield performance of leakage current could be further improved.

  4. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  5. Dentin bond optimization using the dimethyl sulfoxide-wet bonding strategy: A 2-year in vitro study.

    PubMed

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Tezvergil-Mutluay, Arzu; Yanikian, Cristiane Rumi Fujiwara; Szesz, Anna Luiza; Loguercio, Alessandro Dourado; Martins, Luís Roberto Marcondes

    2016-12-01

    This study evaluated a new approach, named dimethyl sulfoxide (DMSO)-wet bonding, to produce more desirable long-term prospects for the ultrafine interactions between synthetic polymeric biomaterials and the inherently hydrated dentin substrate. Sound third molars were randomly restored with/without DMSO pretreatment using a total-etch (Scocthbond Multipurpose: SBMP) and a self-etch (Clearfil SE Bond: CF) adhesive systems. Restored teeth (n=10)/group were sectioned into sticks and submitted to different analyses: micro-Raman determined the degree of conversion inside the hybrid layer (DC); resin-dentin microtensile bond strength and fracture pattern analysis at 24h, 1year and 2 years of aging; and nanoleakage evaluation at 24h and 2 years. DMSO-wet bonding produced significantly higher 24h bond strengths for SBMP that were sustained over the two-year period, with significantly less adhesive failures. Similarly, DMSO-treated CF samples presented significantly higher bond strength than untreated samples at two years. Both adhesives had significant less adhesive failures at 2 years with DMSO. DMSO had no effect on DC of SBMP, but significantly increased the DC of CF. DMSO-treated SBMP samples presented reduced silver uptake compared to untreated samples after aging. Biomodification of the dentin substrate by the proposed strategy using DMSO is a suitable approach to produce more durable hybrid layers with superior ability to withstand hydrolytic degradation over time. Although the active role of DMSO on dentin bond improvement may vary according to monomer composition, its use seems to be effective on both self-etch and etch-and-rinse bonding mechanisms. Copyright © 2016 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  6. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  7. Petrogenesis of alkaline basalt-hosted sapphire megacrysts. Petrological and geochemical investigations of in situ sapphire occurrences from the Siebengebirge Volcanic Field, Germany

    NASA Astrophysics Data System (ADS)

    Baldwin, L. C.; Tomaschek, F.; Ballhaus, C.; Gerdes, A.; Fonseca, R. O. C.; Wirth, R.; Geisler, T.; Nagel, T.

    2017-06-01

    Megacrystic sapphires are frequently associated with alkaline basalts, most notably in Asia and Australia, although basalt is not generally normative in corundum. Most of these sapphire occurrences are located in alluvial or eluvial deposits, making it difficult to study the enigmatic relationship between the sapphires and their host rocks. Here, we present detailed petrological and geochemical investigations of in situ megacrystic sapphires within alkaline basalts from the Cenozoic Siebengebirge Volcanic Field (SVF) in Germany. Markedly, the sapphires show several micrometer thick spinel coronas at the contact with the host basalt, indicating chemical disequilibrium between the sapphire and the basaltic melt, supporting a xenogenetic relationship. However, in situ U-Pb dating of a Columbite Group inclusion within one Siebengebirge sapphire using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) indicates a close genetic relationship between sapphire crystallization and alkaline mafic volcanism in the SVF. The syngenetic mineral inclusion suite including carbonates, members of the Pyrochlore, Betafite and Columbite Groupe minerals, as well as a high abundance of HFSE and of gaseous low-density CO2 inclusions support a parentage of a highly evolved, MgO and FeO deficient carbonatitic melt. We identified CO2 to be the link between alkaline basaltic volcanism and the xenocrystic sapphires. Only alkaline volcanic suites can build up enough CO2 in this magma chamber upon fractionation so that at high degrees of fractionation a carbonatitic melt exsolves which in turn can crystallize sapphires.

  8. X-ray Reflectivity Study of a Highly Rough Surface: Si Nanowires Grown by Ag Nanoparticle Etching

    NASA Astrophysics Data System (ADS)

    Kremenak, Jesse; Arendse, Christopher; Cummings, Franscious; Chen, Yiyao; Miceli, Paul

    Vertically oriented Si nanowires (SiNWs) formed by Ag-assisted wet chemical etching of a Si(100) substrate was studied by X-ray reflectivity (XRR) in combination with electron microscopy. Si(100) wafers coated with Ag nanoparticles, which serve as a catalyst, were etched for different durations in a HF/H2O2/DI-H2O solution. Because of the extreme roughness of these surfaces, there are challenges for using XRR methods in such systems. Therefore, significant attention is given to the analysis method of the XRR measurements. This sample-average information presents a valuable complement to electron microscopy studies, which focus on small sections of the sample. The present work shows-for the first time-the amount and distribution of Ag during the formation of SiNWs fabricated by Ag-assisted wet chemical etching, which is vital information for understanding the etching mechanisms. Support is gratefully acknowledged from the National Science Foundation (USA) - DGE1069091, the National Research Foundation (RSA) - TTK14052167658, 76568, 92520, and 93212; and the University of Missouri/University of Western Cape Linkage Program.

  9. Consideration of correlativity between litho and etching shape

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  10. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    NASA Astrophysics Data System (ADS)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  11. Ti : sapphire laser synchronised with femtosecond Yb pump laser via nonlinear pulse coupling in Ti : sapphire active medium

    NASA Astrophysics Data System (ADS)

    Didenko, N. V.; Konyashchenko, A. V.; Konyashchenko, D. A.; Kostryukov, P. V.; Kuritsyn, I. I.; Lutsenko, A. P.; Mavritskiy, A. O.

    2017-02-01

    A laser system utilising the method of synchronous pumping of a Ti : sapphire laser by a high-power femtosecond Yb3+-doped laser is described. The pulse repetition rate of the Ti : sapphire laser is successfully locked to the repetition rate of the Yb laser for more than 6 hours without the use of any additional electronics. The measured timing jitter is shown to be less than 1 fs. A simple qualitative model addressing the synchronisation mechanism utilising the cross-phase modulation of oscillation and pump pulses within a Ti : sapphire active medium is proposed. Output parameters of the Ti : sapphire laser as functions of its cavity length are discussed in terms of this model.

  12. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  13. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  14. Improvement of crystalline quality of N-polar AlN layers on c-plane sapphire by low-pressure flow-modulated MOCVD

    NASA Astrophysics Data System (ADS)

    Takeuchi, M.; Shimizu, H.; Kajitani, R.; Kawasaki, K.; Kumagai, Y.; Koukitu, A.; Aoyagi, Y.

    2007-01-01

    The growth of N-polar AlN layers on c-plane sapphire is reported. Low-temperature AlN (LT-AlN) layers were used as seeding buffer layers with pre-nitridation for sapphire. To avoid strong vapor-phase reaction between trimethylaluminum (TMA) and ammonia (NH 3) and to improve the crystalline quality, low-pressure flow-modulated (FM) metal-organic chemical vapor deposition (MOCVD) technique was introduced with careful optimization of the FM sequence. The surface morphologies and the crystalline quality defined by the X-ray diffraction (XRD) (0 0 2) and (1 0 0) rocking curve measurements strongly depended on the LT-AlN thickness and on the TMA coverage per cycle of the FM growth. The sample showing the best XRD data with a good morphology was almost completely etched in aqueous KOH solution owing to N-polarity. From the plan-view transmission electron microscopy (TEM) observation, the dislocation density was counted to be about 3×10 10 cm -2.

  15. Understanding and controlling the step bunching instability in aqueous silicon etching

    NASA Astrophysics Data System (ADS)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  16. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  17. Numerical simulation of the distribution of individual gas bubbles in shaped sapphire crystals

    NASA Astrophysics Data System (ADS)

    Borodin, A. V.; Borodin, V. A.

    2017-11-01

    The simulation of the effective density of individual gas bubbles in a two-phase melt, consisting of a liquid and gas bubbles, is performed using the virtual model of the thermal unit. Based on the studies, for the first time the theoretically and experimentally grounded mechanism of individual gas bubbles formation in shaped sapphire is proposed. It is shown that the change of the melt flow pattern in crucible affects greatly the bubble density at the crystallization front, and in the crystal. The obtained results allowed reducing the number of individual gas bubbles in sapphire sheets.

  18. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  19. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  20. The study on the nanomachining property and cutting model of single-crystal sapphire by atomic force microscopy.

    PubMed

    Huang, Jen-Ching; Weng, Yung-Jin

    2014-01-01

    This study focused on the nanomachining property and cutting model of single-crystal sapphire during nanomachining. The coated diamond probe is used to as a tool, and the atomic force microscopy (AFM) is as an experimental platform for nanomachining. To understand the effect of normal force on single-crystal sapphire machining, this study tested nano-line machining and nano-rectangular pattern machining at different normal force. In nano-line machining test, the experimental results showed that the normal force increased, the groove depth from nano-line machining also increased. And the trend is logarithmic type. In nano-rectangular pattern machining test, it is found when the normal force increases, the groove depth also increased, but rather the accumulation of small chips. This paper combined the blew by air blower, the cleaning by ultrasonic cleaning machine and using contact mode probe to scan the surface topology after nanomaching, and proposed the "criterion of nanomachining cutting model," in order to determine the cutting model of single-crystal sapphire in the nanomachining is ductile regime cutting model or brittle regime cutting model. After analysis, the single-crystal sapphire substrate is processed in small normal force during nano-linear machining; its cutting modes are ductile regime cutting model. In the nano-rectangular pattern machining, due to the impact of machined zones overlap, the cutting mode is converted into a brittle regime cutting model. © 2014 Wiley Periodicals, Inc.

  1. Neutron Transmission of Single-crystal Sapphire Filters

    NASA Astrophysics Data System (ADS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-05-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum cystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons.

  2. Leveraging Python Interoperability Tools to Improve Sapphire's Usability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gezahegne, A; Love, N S

    2007-12-10

    The Sapphire project at the Center for Applied Scientific Computing (CASC) develops and applies an extensive set of data mining algorithms for the analysis of large data sets. Sapphire's algorithms are currently available as a set of C++ libraries. However many users prefer higher level scripting languages such as Python for their ease of use and flexibility. In this report, we evaluate four interoperability tools for the purpose of wrapping Sapphire's core functionality with Python. Exposing Sapphire's functionality through a Python interface would increase its usability and connect its algorithms to existing Python tools.

  3. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  4. Surface-mount sapphire interferometric temperature sensor.

    PubMed

    Zhu, Yizheng; Wang, Anbo

    2006-08-20

    A fiber-optic high-temperature sensor is demonstrated by bonding a 45 degrees -polished single-crystal sapphire fiber on the surface of a sapphire wafer, whose optical thickness is temperature dependent and measured by white-light interferometry. A novel adhesive-free coupling between the silica and sapphire fibers is achieved by fusion splicing, and its performance is characterized. The sensor's interference signal is investigated for its dependence on angular alignment between the fiber and the wafer. A prototype sensor is tested to 1,170 degrees C with a resolution of 0.4 degrees C, demonstrating excellent potential for high-temperature measurement.

  5. Mode-converting coupler for silicon-on-sapphire devices

    NASA Astrophysics Data System (ADS)

    Zlatanovic, S.; Offord, B. W.; Owen, M.; Shimabukuro, R.; Jacobs, E. W.

    2015-02-01

    Silicon-on-sapphire devices are attractive for the mid-infrared optical applications up to 5 microns due to the low loss of both silicon and sapphire in this wavelength band. Designing efficient couplers for silicon-on-sapphire devices presents a challenge due to a highly confined mode in silicon and large values of refractive index of both silicon and sapphire. Here, we present design, fabrication, and measurements of a mode-converting coupler for silicon-on-sapphire waveguides. We utilize a mode converter layout that consists of a large waveguide that is overlays a silicon inverse tapered waveguide. While this geometry was previously utilized for silicon-on-oxide devices, the novelty is in using materials that are compatible with the silicon-on-sapphire platform. In the current coupler the overlaying waveguide is made of silicon nitride. Silicon nitride is the material of choice because of the large index of refraction and low absorption from near-infrared to mid-infrared. The couplers were fabricated using a 0.25 micron silicon-on-sapphire process. The measured coupling loss from tapered lensed silica fibers to the silicon was 4.8dB/coupler. We will describe some challenges in fabrication process and discuss ways to overcome them.

  6. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  7. Laser etching of polymer masked leadframes

    NASA Astrophysics Data System (ADS)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  8. Reduced cost and improved figure of sapphire optical components

    NASA Astrophysics Data System (ADS)

    Walters, Mark; Bartlett, Kevin; Brophy, Matthew R.; DeGroote Nelson, Jessica; Medicus, Kate

    2015-10-01

    Sapphire presents many challenges to optical manufacturers due to its high hardness and anisotropic properties. Long lead times and high prices are the typical result of such challenges. The cost of even a simple 'grind and shine' process can be prohibitive. The high precision surfaces required by optical sensor applications further exacerbate the challenge of processing sapphire thereby increasing cost further. Optimax has demonstrated a production process for such windows that delivers over 50% time reduction as compared to traditional manufacturing processes for sapphire, while producing windows with less than 1/5 wave rms figure error. Optimax's sapphire production process achieves significant improvement in cost by implementation of a controlled grinding process to present the best possible surface to the polishing equipment. Following the grinding process is a polishing process taking advantage of chemical interactions between slurry and substrate to deliver excellent removal rates and surface finish. Through experiments, the mechanics of the polishing process were also optimized to produce excellent optical figure. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. Through specially developed polishing slurries, the peak-to-valley figure error of spherical sapphire parts is reduced by over 80%.

  9. Dry etching, surface passivation and capping processes for antimonide based photodetectors

    NASA Astrophysics Data System (ADS)

    Dutta, Partha; Langer, Jeffery; Bhagwat, Vinay; Juneja, Jasbir

    2005-05-01

    III-V antimonide based devices suffer from leakage currents. Surface passivation and subsequent capping of the surfaces are absolutely essential for any practical applicability of antimonide based devices. The quest for a suitable surface passivation technology is still on. In this paper, we will present some of the promising recent developments in this area based on dry etching of GaSb based homojunction photodiodes structures followed by various passivation and capping schemes. We have developed a damage-free, universal dry etching recipe based on unique ratios of Cl2/BCl3/CH4/Ar/H2 in ECR plasma. This novel dry plasma process etches all III-V compounds at different rates with minimal damage to the side walls. In GaSb based photodiodes, an order of magnitude lower leakage current, improved ideality factor and higher responsivity has been demonstrated using this recipe compared to widely used Cl2/Ar and wet chemical etch recipes. The dynamic zero bias resistance-area product of the Cl2/BCl3/CH4/Ar/H2 etched diodes (830 Ω cm2) is higher than the Cl2/Ar (300 Ω cm2) and wet etched (330 Ω cm2) diodes. Ammonium sulfide has been known to passivate surfaces of III-V compounds. In GaSb photodiodes, the leakage current density reduces by a factor of 3 upon sulfur passivation using ammonium sulfide. However, device performance degrades over a period of time in the absence of any capping or protective layer. Silicon Nitride has been used as a cap layer by various researchers. We have found that by using silicon nitride caps, the devices exhibit higher leakage than unpassivated devices probably due to plasma damage during SiNx deposition. We have experimented with various polymers for capping material. It has been observed that ammonium sulfide passivation when combined with parylene capping layer (150 Å), devices retain their improved performance for over 4 months.

  10. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  11. High-quality AlN grown on a thermally decomposed sapphire surface

    NASA Astrophysics Data System (ADS)

    Hagedorn, S.; Knauer, A.; Brunner, F.; Mogilatenko, A.; Zeimer, U.; Weyers, M.

    2017-12-01

    In this study we show how to realize a self-assembled nano-patterned sapphire surface on 2 inch diameter epi-ready wafer and the subsequent AlN overgrowth both in the same metal-organic vapor phase epitaxial process. For this purpose in-situ annealing in H2 environment was applied prior to AlN growth to thermally decompose the c-plane oriented sapphire surface. By proper AlN overgrowth management misoriented grains that start to grow on non c-plane oriented facets of the roughened sapphire surface could be overcome. We achieved crack-free, atomically flat AlN layers of 3.5 μm thickness. The layers show excellent material quality homogeneously over the whole wafer as proved by the full width at half maximum of X-ray measured ω-rocking curves of 120 arcsec to 160 arcsec for the 002 reflection and 440 arcsec to 550 arcsec for the 302 reflection. The threading dislocation density is 2 ∗ 109 cm-2 which shows that the annealing and overgrowth process investigated in this work leads to cost-efficient AlN templates for UV LED devices.

  12. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  13. Predicting vertically-nonsequential wetting patterns with a source-responsive model

    USGS Publications Warehouse

    Nimmo, John R.; Mitchell, Lara

    2013-01-01

    Water infiltrating into soil of natural structure often causes wetting patterns that do not develop in an orderly sequence. Because traditional unsaturated flow models represent a water advance that proceeds sequentially, they fail to predict irregular development of water distribution. In the source-responsive model, a diffuse domain (D) represents flow within soil matrix material following traditional formulations, and a source-responsive domain (S), characterized in terms of the capacity for preferential flow and its degree of activation, represents preferential flow as it responds to changing water-source conditions. In this paper we assume water undergoing rapid source-responsive transport at any particular time is of negligibly small volume; it becomes sensible at the time and depth where domain transfer occurs. A first-order transfer term represents abstraction from the S to the D domain which renders the water sensible. In tests with lab and field data, for some cases the model shows good quantitative agreement, and in all cases it captures the characteristic patterns of wetting that proceed nonsequentially in the vertical direction. In these tests we determined the values of the essential characterizing functions by inverse modeling. These functions relate directly to observable soil characteristics, rendering them amenable to evaluation and improvement through hydropedologic development.

  14. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire. II. Electron energy loss spectroscopic study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Kim, Young-Min

    In Part I, we have shown that the addition of Si into sapphire by ion implantationmakes the sapphire substrate elastically softer than for the undoped sapphire. The more compliant layer of the Si-implanted sapphire substrate can absorb the misfit stress at the GaN/sapphire interface, which produces a lower threading-dislocation density in the GaN overlayer. Here in Part II, based on experimental results by electron energy loss spectroscopy and a first-principle molecular orbital calculation in the literature, we suggest that the softening effect of Si results from a reduction of ionic bonding strength in sapphire (α-Al{sub 2}O{sub 3}) with the substitutionmore » of Si for Al.« less

  15. Apparatus For Etching Or Depositing A Desired Profile Onto A Surface

    DOEpatents

    Rushford, Michael C.; Britten, Jerald A.

    2004-05-25

    An apparatus and method for modifying the surface of an object by contacting said surface with a liquid processing solution using the liquid applicator geometry and Marangoni effect (surface tension gradient-driven flow) to define and confine the dimensions of the wetted zone on said object surface. In particular, the method and apparatus involve contouring or figuring the surface of an object using an etchant solution as the wetting fluid and using real-time metrology (e.g. interferometry) to control the placement and dwell time of this wetted zone locally on the surface of said object, thereby removing material from the surface of the object in a controlled manner. One demonstrated manifestation is in the deterministic optical figuring of thin glasses by wet chemical etching using a buffered hydrofluoric acid solution and Marangoni effect.

  16. Sapphire Fabry-Perot high-temperature sensor study

    NASA Astrophysics Data System (ADS)

    Yao, Yi-qiang; Liang, Wei-long; Gui, Xinwang; Fan, Dian

    2017-04-01

    A new structure sapphire fiber Fabry-Perot (F-P) high-temperature sensor based on sapphire wafer was proposed and fabricated. The sensor uses the sapphire fiber as a transmission waveguide, the sapphire wafer as an Fabry-Perot (F-P) interferometer and the new structure of "Zirconia ferrule-Zirconia tube" as the sensor fixing structure of the sensor. The reflection spectrum of the interferometer was demodulated by a serial of data processing including FIR bandpass filter, FFT (Fast Fourier Transformation) estimation and LSE (least squares estimation) compensation to obtain more precise OPD. Temperature measurement range is from 20 to 1000°C in experiment. The experimental results show that the sensor has the advantages of small size, low cost, simple fabrication and high repeatability. It can be applied for longterm, stable and high-precision high temperature measurement in harsh environments.

  17. Photomask etch system and process for 10nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Chandrachood, Madhavi; Grimbergen, Michael; Yu, Keven; Leung, Toi; Tran, Jeffrey; Chen, Jeff; Bivens, Darin; Yalamanchili, Rao; Wistrom, Richard; Faure, Tom; Bartlau, Peter; Crawford, Shaun; Sakamoto, Yoshifumi

    2015-10-01

    While the industry is making progress to offer EUV lithography schemes to attain ultimate critical dimensions down to 20 nm half pitch, an interim optical lithography solution to address an immediate need for resolution is offered by various integration schemes using advanced PSM (Phase Shift Mask) materials including thin e-beam resist and hard mask. Using the 193nm wavelength to produce 10nm or 7nm patterns requires a range of optimization techniques, including immersion and multiple patterning, which place a heavy demand on photomask technologies. Mask schemes with hard mask certainly help attain better selectivity and hence better resolution but pose integration challenges and defectivity issues. This paper presents a new photomask etch solution for attenuated phase shift masks that offers high selectivity (Cr:Resist > 1.5:1), tighter control on the CD uniformity with a 3sigma value approaching 1 nm and controllable CD bias (5-20 nm) with excellent CD linearity performance (<5 nm) down to the finer resolution. The new system has successfully demonstrated capability to meet the 10 nm node photomask CD requirements without the use of more complicated hard mask phase shift blanks. Significant improvement in post wet clean recovery performance was demonstrated by the use of advanced chamber materials. Examples of CD uniformity, linearity, and minimum feature size, and etch bias performance on 10 nm test site and production mask designs will be shown.

  18. Sapphire capillary interstitial irradiators for laser medicine

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Dolganova, I. N.; Dubyanskaya, E. N.; Mukhina, E. E.; Zaytsev, K. I.; Kurlov, V. N.

    2018-04-01

    In this paper, we demonstrate instruments for laser radiation delivery based on sapphire capillary needles. Such sapphire irradiators (introducers) can be used for various medical applications, such as photodynamic therapy, laser hyperthermia, laser interstitial thermal therapy, and ablation of tumors of various organs. Unique properties of sapphire allow for effective redistribution of the heat, generated in biological tissues during their exposure to laser radiation. This leads to homogeneous distribution of the laser irradiation around the needle, and lower possibility of formation of the overheating focuses, as well as the following non-transparent thrombi.

  19. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives.

    PubMed

    Choi, An-Na; Lee, Ji-Hye; Son, Sung-Ae; Jung, Kyoung-Hwa; Kwon, Yong Hoon; Park, Jeong-Kil

    2017-10-25

    The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS) testing and confocal laser scanning microscopy (CLSM). Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying), 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey's post hoc tests (α = 0.05). Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed ( p < 0.05). One-way ANOVA showed that All-Bond Universal was the only material influenced by the wetness of the dentin surfaces. Wetness of the dentin surface is a factor influencing the micro-tensile bond strength of universal adhesives.

  20. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    PubMed Central

    Lee, Ji-Hye; Son, Sung-Ae; Jung, Kyoung-Hwa; Kwon, Yong Hoon

    2017-01-01

    The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS) testing and confocal laser scanning microscopy (CLSM). Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying), 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05). Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p < 0.05). One-way ANOVA showed that All-Bond Universal was the only material influenced by the wetness of the dentin surfaces. Wetness of the dentin surface is a factor influencing the micro-tensile bond strength of universal adhesives. PMID:29068404

  1. Fabrication of planarised conductively patterned diamond for bio-applications.

    PubMed

    Tong, Wei; Fox, Kate; Ganesan, Kumaravelu; Turnley, Ann M; Shimoni, Olga; Tran, Phong A; Lohrmann, Alexander; McFarlane, Thomas; Ahnood, Arman; Garrett, David J; Meffin, Hamish; O'Brien-Simpson, Neil M; Reynolds, Eric C; Prawer, Steven

    2014-10-01

    The development of smooth, featureless surfaces for biomedical microelectronics is a challenging feat. Other than the traditional electronic materials like silicon, few microelectronic circuits can be produced with conductive features without compromising the surface topography and/or biocompatibility. Diamond is fast becoming a highly sought after biomaterial for electrical stimulation, however, its inherent surface roughness introduced by the growth process limits its applications in electronic circuitry. In this study, we introduce a fabrication method for developing conductive features in an insulating diamond substrate whilst maintaining a planar topography. Using a combination of microwave plasma enhanced chemical vapour deposition, inductively coupled plasma reactive ion etching, secondary diamond growth and silicon wet-etching, we have produced a patterned substrate in which the surface roughness at the interface between the conducting and insulating diamond is approximately 3 nm. We also show that the patterned smooth topography is capable of neuronal cell adhesion and growth whilst restricting bacterial adhesion. Copyright © 2014 Elsevier B.V. All rights reserved.

  2. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  3. Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

    NASA Astrophysics Data System (ADS)

    Shao, Jinhai; Deng, Jianan; Lu, W.; Chen, Yifang

    2017-07-01

    A process to fabricate T-shaped gates with the footprint scaling down to 10 nm using a double patterning procedure is reported. One of the keys in this process is to separate the definition of the footprint from that for the gate-head so that the proximity effect originated from electron forward scattering in the resist is significantly minimized, enabling us to achieve as narrow as 10-nm foot width. Furthermore, in contrast to the reported technique for 10-nm T-shaped profile in resist, this process utilizes a metallic film with a nanoslit as an etch mask to form a well-defined 10-nm-wide foot in a SiNx layer by reactive ion etch. Such a double patterning process has demonstrated enhanced reliability. The detailed process is comprehensively described, and its advantages and limitations are discussed. Nanofabrication of InP-based high-electron-mobility transistors using the developed process for 10- to 20-nm T-shaped gates is currently under the way.

  4. Deep inductively coupled plasma etching of ELO-GaN grown with high fill factor

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Lee, Jaesoong; Ni, Xianfeng; Leach, Jacob; Özgür, Ümit; Morkoç, Hadis

    2011-02-01

    The epitaxial lateral overgrowth (ELO) gallium nitride (GaN) was grown with high fill factor using metal organic chemical vapor deposition (MOCVD). The inductively coupled plasma (ICP) etching of ELO-GaN based on Cl2/Ar/SiCl4 gas mixture was performed. Surface properties of ELO-GaN subjected to ICP etching have been investigated and optimized etching condition in ELO-GaN with ICP etching is presented. Radiofrequency (RF) power and the flow rate of Cl2 gas were modified during the experiments. The window region, wing region and the edge region of ELO-GaN pattern present different etching characteristics. Different etching conditions were studied to get the minimized plasma-induced damage, relatively high etching rates, and excellent surface profiles. Etch depths of the etched ELO-GaN with smooth surface up to about 19 μm were achieved. The most suitable three-step etching condition is discussed with the assessment based on the morphology observation of the etched surface of ELO-GaN patterns.

  5. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  6. Complex Investigations of Sapphire Crystals Production

    NASA Astrophysics Data System (ADS)

    Malyukov, S. P.; Klunnikova, Yu V.

    The problem of optimum conditions choice for processing sapphire substrates was solved with optimization methods and with combination of analytical simulation methods, experiment and expert system technology. The experimental results and software give rather full information on features of real structure of the sapphire crystal substrates and can be effectively used for optimization of technology of the substrate preparation for electronic devices.

  7. [Effects of soil wetting pattern on the soil water-thermal environment and cotton root water consumption under mulched drip irrigation].

    PubMed

    Li, Dong-wei; Li, Ming-si; Liu, Dong; Lyu, Mou-chao; Jia, Yan-hui

    2015-08-01

    Abstract: To explore the effects of soil wetting pattern on soil water-thermal environment and water consumption of cotton root under mulched drip irrigation, a field experiment with three drip intensities (1.69, 3.46 and 6.33 L · h(-1)), was carried out in Shihezi, Xinjiang Autonomous Region. The soil matric potential, soil temperature, cotton root distribution and water consumption were measured during the growing period of cotton. The results showed that the main factor influencing the soil temperature of cotton under plastic mulch was sunlight. There was no significant difference in the soil temperature and root water uptake under different treatments. The distribution of soil matrix suction in cotton root zone under plastic mulch was more homogeneous under ' wide and shallow' soil wetting pattern (W633). Under the 'wide and shallow' soil wetting pattern, the average difference of cotton root water consumption between inner row and outer row was 0.67 mm · d(-1), which was favorable to the cotton growing trimly at both inner and outer rows; for the 'narrow and deep' soil wetting pattern (W169), the same index was 0.88 mm · d(-1), which was unfavorable to cotton growing uniformly at both inner and outer rows. So, we should select the broad-shallow type soil wetting pattern in the design of drip irrigation under mulch.

  8. Improved Ohmic-contact to AlGaN/GaN using Ohmic region recesses by self-terminating thermal oxidation assisted wet etching technique

    NASA Astrophysics Data System (ADS)

    Liu, J.; Wang, J.; Wang, H.; Zhu, L.; Wu, W.

    2017-06-01

    Lower Ti/Al/Ni/Au Ohmic contact resistance on AlGaN/GaN with wider rapid thermal annealing (RTA) temperature window was achieved using recessed Ohmic contact structure based on self-terminating thermal oxidation assisted wet etching technique (STOAWET), in comparison with conventional Ohmic contacts. Even at lower temperature such as 650°C, recessed structure by STOAWET could still obtain Ohmic contact with contact resistance of 1.97Ω·mm, while conventional Ohmic structure mainly featured as Schottky contact. Actually, both Ohmic contact recess and mesa isolation processes could be accomplished by STOAWET in one process step and the process window of STOAWET is wide, simplifying AlGaN/GaN HEMT device process. Our experiment shows that the isolation leakage current by STOAWET is about one order of magnitude lower than that by inductivity coupled plasma (ICP) performed on the same wafer.

  9. Temperature dependence of sapphire fiber Raman scattering

    DOE PAGES

    Liu, Bo; Yu, Zhihao; Tian, Zhipeng; ...

    2015-04-27

    Anti-Stokes Raman scattering in sapphire fiber has been observed for the first time. Temperature dependence of Raman peaks’ intensity, frequency shift, and linewidth were also measured. Three anti-Stokes Raman peaks were observed at temperatures higher than 300°C in a 0.72-m-long sapphire fiber excited by a second-harmonic Nd YAG laser. The intensity of anti-Stokes peaks are comparable to that of Stokes peaks when the temperature increases to 1033°C. We foresee the combination of sapphire fiber Stokes and anti-Stokes measurement in use as a mechanism for ultrahigh temperature sensing.

  10. Wafer scale BN on sapphire substrates for improved graphene transport.

    PubMed

    Vangala, Shivashankar; Siegel, Gene; Prusnick, Timothy; Snure, Michael

    2018-06-11

    Wafer scale (2") BN grown by metal organic chemical vapor deposition (MOCVD) on sapphire was examined as a weakly interacting dielectric substrate for graphene, demonstrating improved transport properties over conventional sapphire and SiO 2 /Si substrates. Chemical vapor deposition grown graphene was transferred to BN/sapphire substrates for evaluation of more than 30 samples using Raman and Hall effects measurements. A more than 2x increase in Hall mobility and 10x reduction in sheet carrier density was measured for graphene on BN/sapphire compared to sapphire substrates. Through control of the MOCVD process, BN films with roughness ranging from <0.1 nm to >1 nm were grown and used to study the effects of substrate roughness on graphene transport. Arrays of graphene field effect transistors were fabricated on 2" BN/sapphire substrates demonstrating scalability and device performance enhancement.

  11. Controllable laser thermal cleavage of sapphire wafers

    NASA Astrophysics Data System (ADS)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  12. Ultrafast optical measurements of surface waves on a patterned layered nanostructure

    NASA Astrophysics Data System (ADS)

    Daly, Brian; Bjornsson, Matteo; Connolly, Aine; Mahat, Sushant; Rachmilowitz, Bryan; Antonelli, George; Myers, Alan; Yoo, Hui-Jae; Singh, Kanwal; King, Sean

    2015-03-01

    We report ultrafast optical pump-probe measurements of 12 - 54 GHz surface acoustic waves (SAWs) on patterned layered nanostructures. These very high frequency SAWs were generated and detected on the following patterned film stack: 25 nm physically vapor deposited TiN / 180 nm porous PECVD-grown a-SiOC:H dielectric / 12 nm non-porous PECVD-grown a-SiOC:H etch-stop / 100 nm CVD-grown a-SiO2 / Si (100) substrate. The TiN layer was dry plasma etched to form lines of rectangular cross section with pitches of 420 nm, 250 nm, 180 nm, and 168 nm and the lines were oriented parallel to the [110] direction on the wafer surface. The absorption of ultrafast pulses from a Ti:sapphire oscillator operating at 800 nm generated SAWs that were detected by time-delayed probe pulses from the same oscillator via a reflectivity change (ΔR) . In each of the four cases the SAW frequency increased with decreasing pitch, but not in a linear way as had been seen in previous experiments of this sort. By comparing the results with mechanical simulations, we present evidence for the detection of different types of SAWs in each case, including Rayleigh-like waves, Sezawa waves, and leaky or radiative waves. This work was supported by NSF Award DMR1206681.

  13. Double Sided Si(Ge)/Sapphire/III-Nitride Hybrid Structure

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Choi, Sang Hyouk (Inventor)

    2016-01-01

    One aspect of the present invention is a double sided hybrid crystal structure including a trigonal Sapphire wafer containing a (0001) C-plane and having front and rear sides. The Sapphire wafer is substantially transparent to light in the visible and infrared spectra, and also provides insulation with respect to electromagnetic radio frequency noise. A layer of crystalline Si material having a cubic diamond structure aligned with the cubic <111> direction on the (0001) C-plane and strained as rhombohedron to thereby enable continuous integration of a selected (SiGe) device onto the rear side of the Sapphire wafer. The double sided hybrid crystal structure further includes an integrated III-Nitride crystalline layer on the front side of the Sapphire wafer that enables continuous integration of a selected III-Nitride device on the front side of the Sapphire wafer.

  14. Femtosecond laser etching of dental enamel for bracket bonding.

    PubMed

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  15. Improved light extraction efficiency of GaN-based flip-chip light-emitting diodes with an antireflective interface layer

    NASA Astrophysics Data System (ADS)

    Wu, Dongxue; Ma, Ping; Liu, Boting; Zhang, Shuo; Wang, Junxi; Li, Jinmin

    2016-05-01

    GaN-based flip-chip light-emitting diodes (FC-LEDs) grown on nanopatterned sapphire substrates (NPSS) are fabricated using self-assembled SiO2 nanospheres as masks during inductively coupled plasma etching. By controlling the pattern spacing, epitaxial GaN can be grown from the top or bottom of patterns to obtain two different GaN/substrate interfaces. The optoelectronic characteristics of FC-LED chips with different GaN/sapphire interfaces are studied. The FC-LED with an antireflective interface layer consisting of a NPSS with GaN in the pattern spacings demonstrates better optical properties than the FC-LED with an interface embedded with air voids. Our study indicates that the two types of FC-LEDs grown on NPSS show higher crystal quality and improved electrical and optical characteristics compared with those of FC-LEDs grown on conventional planar sapphire substrates.

  16. Selective area growth of N-polar GaN nanorods by plasma-assisted MBE on micro-cone-patterned c-sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jmerik, V. N.; Kuznetsova, N. V.; Nechaev, D. V.; Shubina, T. V.; Kirilenko, D. A.; Troshkov, S. I.; Davydov, V. Yu.; Smirnov, A. N.; Ivanov, S. V.

    2017-11-01

    The site-controlled selective area growth of N-polar GaN nanorods (NR) was developed by plasma-assisted MBE (PA MBE) on micro-cone-patterned sapphire substrates (μ-CPSS) by using a two-stage growth process. A GaN nucleation layer grown by migration enhanced epitaxy provides the best selectivity for nucleation of NRs on the apexes of 3.5-μm-diameter cones, whereas the subsequent growth of 1-μm-high NRs with a constant diameter of about 100 nm proceeds by standard high-temperature PA MBE at nitrogen-rich conditions. These results are explained by anisotropy of the surface energy for GaN of different polarity and crystal orientation. The InGaN single quantum wells inserted in the GaN NRs grown on the μ-CPSS demonstrate photoluminescence at 510 nm with a spatially periodic variation of its intensity with a period of ∼6 μm equal to that of the substrate patterning profile.

  17. Effect of dimethyl sulfoxide wet-bonding technique on hybrid layer quality and dentin bond strength.

    PubMed

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Marques, Marcelo Rocha; Aguiar, Flávio Henrique Baggio; Martins, Luís Roberto Marcondes

    2015-06-01

    This study examined the effect of a dimethyl sulfoxide (DMSO) wet bonding technique on the resin infiltration depths at the bonded interface and dentin bond strength of different adhesive systems. Flat dentin surfaces of 48 human third molars were treated with 50% DMSO (experimental groups) or with distilled water (controls) before bonding using an etch-and-rinse (SBMP: Scotchbond Multi-Purpose, 3M ESPE) or a self-etch (Clearfil: Clearfil SE Bond, Kuraray) adhesive system. The restored crown segments (n=12/group) were stored in distilled water (24h) and sectioned for interfacial analysis of exposed collagen using Masson's Trichrome staining and for microtensile bond strength testing. The extent of exposed collagen was measured using light microscopy and a histometric analysis software. Failure modes were examined by SEM. Data was analyzed by two-way ANOVA followed by Tukey Test (α=0.05). The interaction of bonding protocol and adhesive system had significant effects on the extension of exposed collagen matrix (p<0.0001) and bond strength (p=0.0091). DMSO-wet bonding significantly reduced the extent of exposed collagen matrix for SBMP and Clearfil (p<0.05). Significant increase in dentin bond strength was observed on DMSO-treated specimens bonded with SBMP (p<0.05), while no differences were observed for Clearfil (p>0.05). DMSO-wet bonding was effective to improve the quality of resin-dentin bonds of the tested etch-and-rinse adhesives by reducing the extent of exposed collagen matrix at the base of the resin-dentin biopolymer. The improved penetration of adhesive monomers is reflected as an increase in the immediate bond strength when the DMSO-wet bonding technique is used with a water-based etch-and-rinse adhesive. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  18. Electron Beam Welder Used to Braze Sapphire to Platinum

    NASA Technical Reports Server (NTRS)

    Forsgren, Roger C.; Vannuyen, Thomas

    1998-01-01

    A new use for electron beam brazing was recently developed by NASA Lewis Research Center's Manufacturing Engineering Division. This work was done to fabricate a fiberoptic probe (developed by Sentec Corporation) that could measure high temperatures less than 600 deg C of vibrating machinery, such as in jet engine combustion research. Under normal circumstances, a sapphire fiber would be attached to platinum by a ceramic epoxy. However, no epoxies can adhere ceramic fibers to platinum under such high temperatures and vibration. Also, since sapphire and platinum have different thermal properties, the epoxy bond is subjected to creep over time. Therefore, a new method had to be developed that would permanently and reliably attach a sapphire fiber to platinum. Brazing a sapphire fiber to a platinum shell. The fiber-optic probe assembly consists of a 0.015-in.-diameter sapphire fiber attached to a 0.25-in.-long, 0.059-in.-diameter platinum shell. Because of the small size of this assembly, electron beam brazing was chosen instead of conventional vacuum brazing. The advantage of the electron beam is that it can generate a localized heat source in a vacuum. Gold reactive braze was used to join the sapphire fiber and the platinum. Consequently, the sapphire fiber was not affected by the total heat needed to braze the components together.

  19. Modification of Patterned Nanoporous Gold Thin Film Electrodes via Electro-annealing and Electrochemical Etching

    NASA Astrophysics Data System (ADS)

    Dorofeeva, Tatiana

    current to np-Au electrodes, which leads coarsening due to a combination of Joule heating and other mechanisms. This method offers the capability to anneal different electrodes to varying degrees of coarsening in one step, by employing electrodes patterns with different cross-sectional areas - easily attained since np-Au can be patterned into arbitrary shapes via photolithography - to control electrode resistivity, thus current density and the amount of electro-annealing of an electrode. A surprising finding was that electro-annealing lead to electrode coarsening at much lower temperatures than conventional thermal treatment, which was attributed to augmented electron-surface atom interactions at high current densities that may in turn enhance surface atom diffusivity. A major advantage of electro-annealing is the ability to monitor the resistance change of the electrode (surrogate for electrode morphology) in real-time and vary the electro-annealing current accordingly to establish a closed-loop electro-annealing configuration. In nanostructured materials, the electrical resistance is often a function of nanostructure, thus changes in resistance can be directly linked to morphological changes of the electrode. Examination of the underlying mechanisms of nanostructure-dependent resistance change revealed that both ligament diameter and grain size play a role in dictating the observed electrode resistance change. The second method relies on electrochemical etching of ligaments to modify electrode morphology in order to maintain both a high effective surface area and large pores for unhindered transport of molecules to/from the ligament surfaces - an important consideration for many physico-chemical processes, such fuel cells, electrochemical sensors, and drug delivery platforms. The advantage of this method over purely chemical approach is that while an entire sample in exposed to the chemical reagent, the etching process does not occur until the necessary electrochemical

  20. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  1. Modern trends in crystal growth and new applications of sapphire

    NASA Astrophysics Data System (ADS)

    Akselrod, Mark S.; Bruni, Frank J.

    2012-12-01

    We provide an overview of the latest market trends and modern competing methods of sapphire crystal growth and the application of sapphire wafers as LED substrates. Almost all methods of high temperature growth from the melt are suitable for sapphire production, but each of these methods has its advantages and disadvantages depending on the application and required finished product form factor. Special attention is paid to the review of defects and imperfections that allow the engineering of new active devices based on sapphire.

  2. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    NASA Astrophysics Data System (ADS)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  3. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  4. Evolution of the sapphire industry: Rubicon Technology and Gavish

    NASA Astrophysics Data System (ADS)

    Harris, Daniel C.

    2009-05-01

    A. Verneuil developed flame fusion to grow sapphire and ruby on a commercial scale around 1890. Flame fusion was further perfected by Popov in the Soviet Union in the 1930s and by Linde Air Products Co. in the U.S. during World War II. Union Carbide Corp., the successor to Linde, developed Czochralski crystal growth for sapphire laser materials in the 1960s. Stepanov in the Soviet Union published his sapphire growth method in 1959. Edge-Defined Film-Fed Growth (EFG), which is similar to the Stepanov method, was developed by H. Labelle in the U. S. in the 1960s and 1970s. The Heat Exchanger Method (HEM), invented by F. Schmid and D. Viechnicki in 1967 was commercialized in the 1970s. Gradient solidification was invented in Israel in the 1970s by J. Makovsky. The Horizontal Directional Solidification Method (HDSM) proposed by Kh. S. Bagdasorov in the Soviet Union in the 1960s was further developed at the Institute for Single Crystals in Ukraine. Kyropoulos growth of sapphire, known as GOI crystal growth in the Soviet Union, was developed by M. Musatov at the State Optical Institute in St. Petersburg in the 1970s and 1980s. At the Institute for Single Crystals in Ukraine, E. Dobrovinskaya characterized Verneuil, Czochralsky, Bagdasarov, and GOI sapphire. In 1995, she emigrated to the United States and joined S&R Rubicon, founded near Chicago by R. Mogilevsky initially to import sapphire and ruby. Mogilevsky began producing sapphire by the Kyropoulos method in 1999. In 2000 the company name was changed to Rubicon Technology. Today, Dobrovinskaya is Chief Scientist and Rubicon produces high quality Kyropoulos sapphire substrates for solid-state lighting. In 1995, H. Branover of Ben Gurion University and a sole investor founded Gavish, which is Hebrew for "crystal." They invited another veteran of the Ukrainian Institute for Single Crystals, V. Pishchik, to become Chief Scientist. Under Pishchik's technical leadership and J. Sragowicz's business leadership, Gavish now

  5. Implication of ethanol wet-bonding in hybrid layer remineralization.

    PubMed

    Kim, J; Gu, L; Breschi, L; Tjäderhane, L; Choi, K K; Pashley, D H; Tay, F R

    2010-06-01

    During mineralization, unbound water within the collagen matrix is replaced by apatite. This study tested the null hypothesis that there is no difference in the status of in vitro biomimetic remineralization of hybrid layers, regardless of their moisture contents. Acid-etched dentin was bonded with One-Step with ethanol-wet-bonding, water-wet-bonding, and water-overwet-bonding protocols. Composite-dentin slabs were subjected to remineralization for 1-4 months in a medium containing dual biomimetic analogs, with set Portland cement as the calcium source and characterized by transmission electron microscopy. Remineralization was either non-existent or restricted to the intrafibrillar mode in ethanol-wet-bonded specimens. Extensive intrafibrillar and interfibrillar remineralization was observed in water-wet-bonded specimens. Water-overwet specimens demonstrated partial remineralization of hybrid layers and precipitation of mineralized plates within water channels. The use of ethanol-wet-bonding substantiates that biomimetic remineralization is a progressive dehydration process that replaces residual water in hybrid layers with apatite crystallites.

  6. Enamel Wetness Effects on Microshear Bond Strength of Different Bonding Agents (Adhesive Systems): An in vitro Comparative Evaluation Study.

    PubMed

    Kulkarni, Girish; Mishra, Vinay K

    2016-05-01

    The purpose of this study was to compare the effect of enamel wetness on microshear bond strength using different adhesive systems. To evaluate microshear bond strength of three bonding agents on dry enamel; to evaluate microshear bond strength of three bonding agents on wet enamel; and to compare microshear bond strength of three different bonding agents on dry and wet enamel. Sixty extracted noncarious human premolars were selected for this study. Flat enamel surfaces of approximately 3 mm were obtained by grinding the buccal surfaces of premolars with water-cooled diamond disks. This study evaluated one etch-and-rinse adhesive system (Single Bond 2) and two self-etching adhesive systems (Clearfil SE Bond and Xeno-V). The specimens were divided into two groups (n = 30). Group I (dry) was air-dried for 30 seconds and in group II (wet) surfaces were blotted with absorbent paper to remove excess water. These groups were further divided into six subgroups (n = 10) according to the adhesives used. The resin composite, Filtek Z 250, was bonded to flat enamel surfaces that had been treated with one of the adhesives, following the manufacturer's instructions. After being stored in water at 37°C for 24 hours, bonded specimens were stressed in universal testing machine (Fig. 3) at a crosshead speed of 1 mm/min. The data were evaluated with one-way and two-way analysis of variance (ANOVA), t-test, and Tukey's Multiple Post hoc tests (a = 0.05). The two-way ANOVA and Tukey's Multiple Post hoc tests showed significant differences among adhesive systems, but wetness did not influence microshear bond strength (p = 0.1762). The one-way ANOVA and t-test showed that the all-in-one adhesive (Xeno-V) was the only material influenced by the presence of water on the enamel surface. Xeno-V showed significantly higher microshear bond strength when the enamel was kept wet. Single Bond 2 adhesive showed significantly higher microshear bond strength as compared with Xeno-V adhesive but no

  7. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    PubMed

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (p<0.001). A deeper enamel-etching pattern was observed for all universal adhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. High extraction efficiency GaN-based light-emitting diodes on embedded SiO2 nanorod array and nanoscale patterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Huang, Hung-Wen; Huang, Jhi-Kai; Kuo, Shou-Yi; Lee, Kang-Yuan; Kuo, Hao-Chung

    2010-06-01

    In this paper, GaN-based LEDs with a nanoscale patterned sapphire substrate (NPSS) and a SiO2 photonic quasicrystal (PQC) structure on an n-GaN layer using nanoimprint lithography are fabricated and investigated. The light output power of LED with a NPSS and a SiO2 PQC structure on an n-GaN layer was 48% greater than that of conventional LED. Strong enhancement in output power is attributed to better epitaxial quality and higher reflectance resulted from NPSS and PQC structures. Transmission electron microscopy images reveal that threading dislocations are blocked or bended in the vicinities of NPSS layer. These results provide promising potential to increase output power for commercial light emitting devices.

  9. Synthesis of high quality graphene on capped (1 1 1) Cu thin films obtained by high temperature secondary grain growth on c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kim, Youngwoo; Moyen, Eric; Yi, Hemian; Avila, José; Chen, Chaoyu; Asensio, Maria C.; Lee, Young Hee; Pribat, Didier

    2018-07-01

    We propose a novel growth technique, in which graphene is synthesized on capped Cu thin films deposited on c-plane sapphire. The cap is another sapphire plate which is just laid upon the Cu thin film, in direct contact with it. Thanks to this ‘contact cap’, Cu evaporation can be suppressed at high temperature and the 400 nm-thick Cu films can be annealed above 1000 °C, resulting in (1 1 1)-oriented grains of millimeter size. Following this high temperature annealing, graphene is grown by chemical vapor deposition during the same pump-down operation, without removing the contact cap. The orientation and doping type of the as-grown graphene were first studied, using low energy electron diffraction, as well as high resolution angle-resolved photoemission spectroscopy. In particular, the orientation relationships between the graphene and copper thin film with respect to the sapphire substrate were precisely determined. We find that the graphene sheets exhibit a minimal rotational disorder, with ~90% of the grains aligned along the copper high symmetry direction. Detailed transport measurements were also performed using field-effect transistor structures. Carrier mobility values as high as 8460 cm2 V‑1 s‑1 have been measured on top gate transistors fabricated directly on the sapphire substrate, by etching the Cu film from underneath the graphene sheets. This is by far the best carrier mobility value obtained to date for graphene sheets synthesized on a thin film-type metal substrate.

  10. Vascular stents with submicrometer-scale surface patterning realized via titanium deep reactive ion etching

    NASA Astrophysics Data System (ADS)

    Gott, Shannon C.; Jabola, Benjamin A.; Rao, Masaru P.

    2015-08-01

    Herein, we report progress towards realization of vascular stents that will eventually provide opportunity for evaluating cellular response to rationally-designed, submicrometer-scale surface patterning in physiologically-relevant contexts, i.e. those that provide exposure to the complex multicellular milieu, flow-induced shear, and tissue-device interactions present in vivo. Specifically, using our novel titanium deep reactive ion etching technique (Ti DRIE), we discuss recent advances that have enabled: (a) fabrication of precisely-defined, grating-based surface patterns on planar Ti foils with minimum feature sizes as small as 0.15 μm (b) creation of cylindrical stents from micromachined planar Ti foils; and (c) integration of these processes to produce the first submicrometer-scale surface-patterned Ti stents that are compatible with conventional balloon catheter deployment techniques. We also discuss results from elastoplastic finite element simulations and preliminary mechanical testing of these devices to assess their mechanical performance. These efforts represent key steps towards our long-term goal of developing a new paradigm in stenting, where rationally-designed surface patterning provides a physical means for facilitating healing, and thus, improving outcomes in vascular intervention applications.

  11. Surface study of irradiated sapphires from Phrae Province, Thailand using AFM

    NASA Astrophysics Data System (ADS)

    Monarumit, N.; Jivanantaka, P.; Mogmued, J.; Lhuaamporn, T.; Satitkune, S.

    2017-09-01

    The irradiation is one of the gemstone enhancements for improving the gem quality. Typically, there are many varieties of irradiated gemstones in the gem market such as diamond, topaz, and sapphire. However, it is hard to identify the gemstones before and after irradiation. The aim of this study is to analyze the surface morphology for classifying the pristine and irradiated sapphires using atomic force microscope (AFM). In this study, the sapphire samples were collected from Phrae Province, Thailand. The samples were irradiated by high energy electron beam for a dose of ionizing radiation at 40,000 kGy. As the results, the surface morphology of pristine sapphires shows regular atomic arrangement, whereas, the surface morphology of irradiated sapphires shows the nano-channel observed by the 2D and 3D AFM images. The atomic step height and root mean square roughness have changed after irradiation due to the micro-structural defect on the sapphire surface. Therefore, this study is a frontier application for sapphire identification before and after irradiation.

  12. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  13. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  14. Sapphire: Canada's Answer to Space-Based Surveillance of Orbital Objects

    NASA Astrophysics Data System (ADS)

    Maskell, P.; Oram, L.

    The Canadian Department of National Defence is in the process of developing the Canadian Space Surveillance System (CSSS) as the main focus of the Surveillance of Space (SofS) Project. The CSSS consists of two major elements: the Sapphire System and the Sensor System Operations Centre (SSOC). The space segment of the Sapphire System is comprised of the Sapphire Satellite - an autonomous spacecraft with an electro-optical payload which will act as a contributing sensor to the United States (US) Space Surveillance Network (SSN). It will operate in a circular, sunsynchronous orbit at an altitude of approximately 750 kilometers and image a minimum of 360 space objects daily in orbits ranging from 6,000 to 40,000 kilometers in altitude. The ground segment of the Sapphire System is composed of a Spacecraft Control Center (SCC), a Satellite Processing and Scheduling Facility (SPSF), and the Sapphire Simulator. The SPSF will be responsible for data transmission, reception, and processing while the SCC will serve to control and monitor the Sapphire Satellite. Surveillance data will be received from Sapphire through two ground stations. Following processing by the SPSF, the surveillance data will then be forwarded to the SSOC. The SSOC will function as the interface between the Sapphire System and the US Joint Space Operations Center (JSpOC). The JSpOC coordinates input from various sensors around the world, all of which are a part of the SSN. The SSOC will task the Sapphire System daily and provide surveillance data to the JSpOC for correlation with data from other SSN sensors. This will include orbital parameters required to predict future positions of objects to be tracked. The SSOC receives daily tasking instructions from the JSpOC to determine which objects the Sapphire spacecraft is required to observe. The advantage of this space-based sensor over ground-based telescopes is that weather and time of day are not factors affecting observation. Thus, space-based optical

  15. High power continuous-wave titanium:sapphire laser

    DOEpatents

    Erbert, G.V.; Bass, I.L.; Hackel, R.P.; Jenkins, S.L.; Kanz, V.K.; Paisner, J.A.

    1993-09-21

    A high-power continuous-wave laser resonator is provided, wherein first, second, third, fourth, fifth and sixth mirrors form a double-Z optical cavity. A first Ti:sapphire rod is disposed between the second and third mirrors and at the mid-point of the length of the optical cavity, and a second Ti:sapphire rod is disposed between the fourth and fifth mirrors at a quarter-length point in the optical cavity. Each Ti:sapphire rod is pumped by two counter-propagating pump beams from a pair of argon-ion lasers. For narrow band operation, a 3-plate birefringent filter and an etalon are disposed in the optical cavity so that the spectral output of the laser consists of 5 adjacent cavity modes. For increased power, seventy and eighth mirrors are disposed between the first and second mirrors to form a triple-Z optical cavity. A third Ti:sapphire rod is disposed between the seventh and eighth mirrors at the other quarter-length point in the optical cavity, and is pumped by two counter-propagating pump beams from a third pair of argon-ion lasers. 5 figures.

  16. Critical Casimir forces, Goldstone modes and anomalous wetting

    NASA Astrophysics Data System (ADS)

    Balibar, Sebastien

    2004-03-01

    We have measured the contact angle of a ^3He - ^4He interface on a sapphire window, near the tricritical temperature Tt of liquid helium mixtures (T. Ueno et al., J. Low Temp. Phys. 130, 543, 2003). We have found the first experimental evidence of a violation of "critical point wetting", the general phenomenon introduced by J.W. Cahn in 1977. We then proposed that Fisher and de Gennes' "critical Casimir effect" provides the necessary long range force for this anomalous wetting behavior to occur (T. Ueno et al. Phys. Rev. Lett. 90, 116102, 2003). Our measurements are now extended to the superfluid region far below the tricritical temperature T_t. Our goal is to test the prediction by M. Kardar and R. Golestanian that the confinement of Goldstone modes in superfluid films leads to an additionnal contribution to the Casimir force (M. Kardar and R. Golestanian, Rev. Mod. Phys. 71, 1233, 1999). We compare theoretical predictions to experimental results.

  17. Defect analysis of the LED structure deposited on the sapphire substrate

    NASA Astrophysics Data System (ADS)

    Nie, Qichu; Jiang, Zhimin; Gan, Zhiyin; Liu, Sheng; Yan, Han; Fang, Haisheng

    2018-04-01

    Transmission electron microscope (TEM) and double-crystal X-ray diffraction (DCXRD) measurements have been performed to investigate dislocations of the whole structure of the LED layers deposited on both the conventional (unpatterned sapphire substrate, UPSS) and patterned sapphire substrates (PSS). TEM results show that there exists a dislocation-accumulated region near the substrate/GaN interface, where the dislocation density is much higher with the UPPS than that with the PSS. It indicates that the pattern on the substrate surface is able to block the formation and propagation of dislocations. Further analysis discloses that slope of the pattern is found to suppress the deposition of GaN, and thus to provide more spaces for the epitaxially lateral overgrowth (ELO) of high temperature GaN, which significantly reduces the number of the initial islands, and minimizes dislocation formation due to the island coalescence. V-defect incorporating the threading dislocation is detected in the InGaN/GaN multi-quantum wells (MQWs), and its propagation mechanism is determined as the decrease of the surface energy due to the incorporation of indium. In addition, temperature dependence of dislocation formation is further investigated. The results show that dislocation with the screw component decreases monotonously as temperature goes up. However, edge dislocation firstly drops, and then increases by temperature due to the enhanced thermal mismatch stress. It implies that an optimized range of the growth temperature can be obtained to improve quality of the LED layers.

  18. Influence of chemistry on wetting dynamics of nanotextured hydrophobic surfaces.

    PubMed

    Di Mundo, Rosa; Palumbo, Fabio; d'Agostino, Riccardo

    2010-04-06

    In this work, the role of a chemical parameter, such as the degree of fluorination, on the wetting behavior of nanotextured hydrophobic surfaces is investigated. Texture and chemistry tuning of the surfaces has been accomplished with single batch radiofrequency low-pressure plasma processes. Polystyrene substrates have been textured by CF(4) plasma etching and subsequently covered by thin films with a tunable F-to-C ratio, obtained in discharges fed with C(4)F(8)-C(2)H(4). Measurements of wetting dynamics reveal a regime transition from adhesive-hydrophobic to slippery-superhydrophobic, i.e., from wet to non wet states, as the F-to-C rises at constant topography. Such achievements are strengthened by calculation of the solid fraction of surface water contact area applying Cassie-Baxter advancing and receding equations to water contact angle data of textured and flat reference surfaces.

  19. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    PubMed

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  20. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    PubMed

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (p<0.05), DMSO/H 2 O and DMSO/ethanol produced significantly higher bond strengths for SBMP irrespective of dentin condition (p<0.05). SU presented significantly higher nanoleakage levels (p<0.05) and micropermeability than SBMP. Improvement in hybrid layer integrity occurred for SBMP and SU for both pretreatments, albeit most pronouncedly for DMSO/ethanol regardless of dentin moisture. DMSO pretreatments may be used as a new suitable strategy to improve bonding of water-based adhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments

  1. Sapphire shaped crystals for laser-assisted cryodestruction of biological tissues

    NASA Astrophysics Data System (ADS)

    Shikunova, I. A.; Dubyanskaya, E. N.; Kuznetsov, A. A.; Katyba, G. M.; Dolganova, I. N.; Mukhina, E. E.; Chernomyrdin, N. V.; Zaytsev, K. I.; Tuchin, V. V.; Kurlov, V. N.

    2018-04-01

    We have developed cryo-applicators based on the sapphire shaped crystals fabricated using the edge-defined film-fed growth (EFG) and noncapillary shaping (NCS) techniques. Due to the unique physical properties of sapphire: i.e. high thermal, mechanical, and chemical strength, impressive thermal conductivity and optical transparency, these cryo-applicators yield combination of the tissue cryo-destruction with its exposure to laser radiation for controlling the thermal regimes of cryosurgery, and with the optical diagnosis of tissue freezing. We have applied the proposed sapphire cryo-applicators for the destruction of tissues in vitro. The observed results highlight the prospectives of the sapphire cryo-applicators in cryosurgery.

  2. Precise identification of <1 0 0> directions on Si{0 0 1} wafer using a novel self-aligning pre-etched technique

    NASA Astrophysics Data System (ADS)

    Singh, S. S.; Veerla, S.; Sharma, V.; Pandey, A. K.; Pal, P.

    2016-02-01

    Micromirrors with a tilt angle of 45° are widely used in optical switching and interconnect applications which require 90° out of plane reflection. Silicon wet bulk micromachining based on surfactant added TMAH is usually employed to fabricate 45° slanted walls at the < 1 0 0> direction on Si≤ft\\{0 0 1\\right\\} wafers. These slanted walls are used as 45° micromirrors. However, the appearance of a precise 45° ≤ft\\{0 1 1\\right\\} wall is subject to the accurate identification of the < 1 0 0> direction. In this paper, we present a simple technique based on pre-etched patterns for the identification of < 1 0 0> directions on the Si≤ft\\{0 0 1\\right\\} surface. The proposed pre-etched pattern self-aligns itself at the < 1 0 0> direction while becoming misaligned at other directions. The < 1 0 0> direction is determined by a simple visual inspection of pre-etched patterns and does not need any kind of measurement. To test the accuracy of the proposed method, we fabricated a 32 mm long rectangular opening with its sides aligned along the < 1 0 0> direction, which is determined using the proposed technique. Due to the finite etch rate of the ≤ft\\{1 1 0\\right\\} plane, undercutting occurred, which was measured at 12 different locations along the longer edge of the rectangular strip. The mean of these undercutting lengths, measured perpendicular to the mask edge, is found to be 13.41 μm with a sub-micron standard deviation of 0.38 μm. This level of uniform undercutting indicates that our method of identifying the < 1 0 0> direction is precise and accurate. The developed method will be extremely useful in fabricating arrays of 45° micromirrors.

  3. From ‘petal effect’ to ‘lotus effect’ on the highly flexible Silastic S elastomer microstructured using a fluorine based reactive ion etching process

    NASA Astrophysics Data System (ADS)

    Frankiewicz, Christophe; Zoueshtiagh, Farzam; Talbi, Abdelkrim; Streque, Jérémy; Pernod, Philippe; Merlen, Alain

    2014-11-01

    A fluorine-based reactive ion etching (RIE) process has been applied on a new family of silicone elastomers named ‘Silastic S’ for the first time. Excellent mechanical properties are the principal advantage of this elastomer. The main objective of this study was (i) to develop a new process with an electrodeposited thin Nickel (Ni) layer as a mask to obtain a more precise pattern transfer for deep etching (ii) to investigate the etch rates and the etch profiles obtained under various plasma conditions (gas mixture ratios and pressure). The resulting process exhibits etch rates that range from 20 µm h-1 to 40 µm h-1. The process was optimized to obtain anisotropic profiles of the edges. Finally, it is shown that (iii) the wetting contact angle could be easily modified with this process from 103° to 162°, with a hysteresis that ranges from 2° to 140°. The process is, at present, the only reported solution to reproduce the ‘petal effect’ (high contact angle hysteresis value) on a highly flexible substrate. A possibility to control the contact angle hysteresis from the ‘petal effect’ to the ‘lotus effect’ (low contact angle hysteresis value) has been investigated to allow a precise control on the required energy to pin or unpin the contact line of water droplets. This opens multiple possibilities to exploit this elastomer in many microfluidics applications.

  4. A peek into the history of sapphire crystal growth

    NASA Astrophysics Data System (ADS)

    Harris, Daniel C.

    2003-09-01

    After the chemical compositions of sapphire and ruby were unraveled in the middle of the 19th century, chemists set out to grow artificial crystals of these valuable gemstones. In 1885 a dealer in Geneva began to sell ruby that is now believed to have been created by flame fusion. Gemnologists rapidly concluded that the stones were artificial, but the Geneva ruby stimulated A. V. L. Verneuil in Paris to develop a flame fusion process to produce higher quality ruby and sapphire. By 1900 there was brisk demand for ruby manufactured by Verneuil's method, even though Verneuil did not publicly announce his work until 1902 and did not publish details until 1904. The Verneuil process was used with little alteration for the next 50 years. From 1932-1953, S. K. Popov in the Soviet Union established a capability for manufacturing high quality sapphire by the Verneuil process. In the U.S., under government contract, Linde Air Products Co. implemented the Verneuil process for ruby and sapphire when European sources were cut off during World War II. These materials were essential to the war effort for jewel bearings in precision instruments. In the 1960s and 1970s, the Czochralski process was implemented by Linde and its successor, Union Carbide, to make higher crystal quality material for ruby lasers. Stimulated by a government contract for structural fibers in 1966, H. LaBelle invented edge-defined film-fed growth (EFG). The Saphikon company, which is currently owned by Saint-Gobain, evolved from this effort. Independently and simultaneously, Stepanov developed edge-defined film-fed growth in the Soviet Union. In 1967 F. Schmid and D. Viechnicki at the Army Materials Research Lab grew sapphire by the heat exchanger method (HEM). Schmid went on to establish Crystal Systems, Inc. around this technology. Rotem Industries, founded in Israel in 1969, perfected the growth of sapphire hemispheres and near-net-shape domes by gradient solidification. In the U.S., growth of near

  5. Nanostructured sapphire optical fiber for sensing in harsh environments

    NASA Astrophysics Data System (ADS)

    Chen, Hui; Liu, Kai; Ma, Yiwei; Tian, Fei; Du, Henry

    2017-05-01

    We describe an innovative and scalable strategy of transforming a commercial unclad sapphire optical fiber to an allalumina nanostructured sapphire optical fiber (NSOF) that overcomes decades-long challenges faced in the field of sapphire fiber optics. The strategy entails fiber coating with metal Al followed by subsequent anodization to form anodized alumina oxide (AAO) cladding of highly organized pore channel structure. We show that Ag nanoparticles entrapped in AAO show excellent structural and morphological stability and less susceptibility to oxidation for potential high-temperature surface-enhanced Raman Scattering (SERS). We reveal, with aid of numerical simulations, that the AAO cladding greatly increases the evanescent-field overlap both in power and extent and that lower porosity of AAO results in higher evanescent-field overlap. This work has opened the door to new sapphire fiber-based sensor design and sensor architecture.

  6. Microfluidic etching and oxime-based tailoring of biodegradable polyketoesters.

    PubMed

    Barrett, Devin G; Lamb, Brian M; Yousaf, Muhammad N

    2008-09-02

    A straightforward, flexible, and inexpensive method to etch biodegradable poly(1,2,6-hexanetriol alpha-ketoglutarate) films is reported. Microfluidic delivery of the etchant, a solution of NaOH, can create micron-scale channels through local hydrolysis of the polyester film. In addition, the presence of a ketone in the repeat unit allows for prior or post chemoselective modifications, enabling the design of functionalized microchannels. Delivery of oxyamine tethered ligands react with ketone groups on the polyketoester to generate covalent oxime linkages. By thermally sealing an etched film to a second flat surface, poly(1,2,6-hexanetriol alpha-ketoglutarate) can be used to create biodegradable microfluidic devices. In order to determine the versatility of the microfluidic etch technique, poly(epsilon-caprolactone) was etched with acetone. This strategy provides a facile method for the direct patterning of biodegradable materials, both through etching and chemoselective ligand immobilization.

  7. High power continuous-wave titanium:sapphire laser

    DOEpatents

    Erbert, Gaylen V.; Bass, Isaac L.; Hackel, Richard P.; Jenkins, Sherman L.; Kanz, Vernon K.; Paisner, Jeffrey A.

    1993-01-01

    A high-power continuous-wave laser resonator (10) is provided, wherein first, second, third, fourth, fifth and sixth mirrors (11-16) form a double-Z optical cavity. A first Ti:Sapphire rod (17) is disposed between the second and third mirrors (12,13) and at the mid-point of the length of the optical cavity, and a second Ti:Sapphire rod (18) is disposed between the fourth and fifth mirrors (14,15) at a quarter-length point in the optical cavity. Each Ti:Sapphire rod (17,18) is pumped by two counter-propagating pump beams from a pair of argon-ion lasers (21-22, 23-24). For narrow band operation, a 3-plate birefringent filter (36) and an etalon (37) are disposed in the optical cavity so that the spectral output of the laser consists of 5 adjacent cavity modes. For increased power, seventy and eighth mirrors (101, 192) are disposed between the first and second mirrors (11, 12) to form a triple-Z optical cavity. A third Ti:Sapphire rod (103) is disposed between the seventh and eighth mirrors (101, 102) at the other quarter-length point in the optical cavity, and is pumped by two counter-propagating pump beams from a third pair of argon-ion lasers (104, 105).

  8. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    NASA Astrophysics Data System (ADS)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  9. Multiple patterns of polymer gels in microspheres due to the interplay among phase separation, wetting, and gelation.

    PubMed

    Yanagisawa, Miho; Nigorikawa, Shinpei; Sakaue, Takahiro; Fujiwara, Kei; Tokita, Masayuki

    2014-11-11

    We report the spontaneous patterning of polymer microgels by confining a polymer blend within microspheres. A poly(ethylene glycol) (PEG) and gelatin solution was confined inside water-in-oil (W/O) microdroplets coated with a layer of zwitterionic lipids: dioleoylphosphatidylethanolamine (PE) and dioleoylphosphatidylcholine (PC). The droplet confinement affected the kinetics of the phase separation, wetting, and gelation after a temperature quench, which determined the final microgel pattern. The gelatin-rich phase completely wetted to the PE membrane and formed a hollow microcapsule as a stable state in the PE droplets. Gelation during phase separation varied the relation between the droplet size and thickness of the capsule wall. In the case of the PC droplets, phase separation was completed only for the smaller droplets, wherein the microgel partially wetted the PC membrane and had a hemisphere shape. In addition, the temperature decrease below the gelation point increased the interfacial tension between the PEG/gelatin phases and triggered a dewetting transition. Interestingly, the accompanying shape deformation to minimize the interfacial area was only observed for the smaller PC droplets. The critical size decreased as the gelatin concentration increased, indicating the role of the gel elasticity as an inhibitor of the deformation. Furthermore, variously patterned microgels with spherically asymmetric shapes, such as discs and stars, were produced as kinetically trapped states by regulating the incubation time, polymer composition, and droplet size. These findings demonstrate a way to regulate the complex shapes of microgels using the interplay among phase separation, wetting, and gelation of confined polymer blends in microdroplets.

  10. Temperature-modulated annealing of c-plane sapphire for long-range-ordered atomic steps

    NASA Astrophysics Data System (ADS)

    Yatsui, Takashi; Kuribara, Kazunori; Sekitani, Tsuyoshi; Someya, Takao; Yoshimoto, Mamoru

    2016-03-01

    High-quality single-crystalline sapphire is used to prepare various semiconductors because of its thermal stability. Here, we applied the tempering technique, which is well known in the production of chocolate, to prepare a sapphire substrate. Surprisingly, we successfully realised millimetre-range ordering of the atomic step of the sapphire substrate. We also obtained a sapphire atomic step with nanometre-scale uniformity in the terrace width and atomic-step height. Such sapphire substrates will find applications in the preparation of various semiconductors and devices.

  11. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  12. Single-Crystal Sapphire Optical Fiber Sensor Instrumentation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pickrell, Gary; Scott, Brian; Wang, Anbo

    2013-12-31

    This report summarizes technical progress on the program “Single-Crystal Sapphire Optical Fiber Sensor Instrumentation,” funded by the National Energy Technology Laboratory of the U.S. Department of Energy, and performed by the Center for Photonics Technology of the Bradley Department of Electrical and Computer Engineering at Virginia Tech. This project was completed in three phases, each with a separate focus. Phase I of the program, from October 1999 to April 2002, was devoted to development of sensing schema for use in high temperature, harsh environments. Different sensing designs were proposed and tested in the laboratory. Phase II of the program, frommore » April 2002 to April 2009, focused on bringing the sensor technologies, which had already been successfully demonstrated in the laboratory, to a level where the sensors could be deployed in harsh industrial environments and eventually become commercially viable through a series of field tests. Also, a new sensing scheme was developed and tested with numerous advantages over all previous ones in Phase II. Phase III of the program, September 2009 to December 2013, focused on development of the new sensing scheme for field testing in conjunction with materials engineering of the improved sensor packaging lifetimes. In Phase I, three different sensing principles were studied: sapphire air-gap extrinsic Fabry-Perot sensors; intensity-based polarimetric sensors; and broadband polarimetric sensors. Black body radiation tests and corrosion tests were also performed in this phase. The outcome of the first phase of this program was the selection of broadband polarimetric differential interferometry (BPDI) for further prototype instrumentation development. This approach is based on the measurement of the optical path difference (OPD) between two orthogonally polarized light beams in a single-crystal sapphire disk. At the beginning of Phase II, in June 2004, the BPDI sensor was tested at the Wabash River coal

  13. Shear strength of metal-sapphire contacts

    NASA Technical Reports Server (NTRS)

    Pepper, S. V.

    1976-01-01

    The shear strength of polycrystalline Ag, Cu, Ni, and Fe contacts on clean (0001) sapphire has been studied in ultrahigh vacuum. Both clean metal surfaces and surfaces exposed to O2, Cl2, and C2H4 were used. The results indicate that there are two sources of strength of Al2O3-metal contacts: an intrinsic one that depends on the particular clean metal in contact with Al2O3 and an additional one due to intermediate films. The shear strength of the clean metal contacts correlated directly with the free energy of oxide formation for the lowest metal oxide, in accord with the hypothesis that a chemical bond is formed between metal cations and oxygen anions in the sapphire surface. Contacts formed by metals exposed to chlorine exhibited uniformly low shear strength indicative of van der Waals bonding between chlorinated metal surfaces and sapphire. Contacts formed by metals exposed to oxygen exhibited enhanced shear strength, in accord with the hypothesis that an intermediate oxide layer increases interfacial strength.

  14. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    NASA Astrophysics Data System (ADS)

    Zamuruyev, Konstantin O.; Zrodnikov, Yuriy; Davis, Cristina E.

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µm minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µm. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µm in borosilicate glass), feature under etch ratio in isotropic etch (~1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility.

  15. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  16. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  17. Plasma-Etching of Spray-Coated Single-Walled Carbon Nanotube Films for Biointerfaces

    NASA Astrophysics Data System (ADS)

    Kim, Joon Hyub; Lee, Jun-Yong; Min, Nam Ki

    2012-08-01

    We present an effective method for the batch fabrication of miniaturized single-walled carbon nanotube (SWCNT) film electrodes using oxygen plasma etching. We adopted the approach of spray-coating for good adhesion of the SWCNT film onto a pre-patterned Pt support and used O2 plasma patterning of the coated films to realize efficient biointerfaces between SWCNT surfaces and biomolecules. By these approaches, the SWCNT film can be easily integrated into miniaturized electrode systems. To demonstrate the effectiveness of plasma-etched SWCNT film electrodes as biointerfaces, Legionella antibody was selected as analysis model owing to its considerable importance to electrochemical biosensors and was detected using plasma-etched SWCNT film electrodes and a 3,3',5,5'-tetramethyl-benzidine dihydrochloride/horseradish peroxidase (TMB/HRP) catalytic system. The response currents increased with increasing concentration of Legionella antibody. This result indicates that antibodies were effectively immobilized on plasma-etched and activated SWCNT surfaces.

  18. Progress on 10 Kelvin cryo-cooled sapphire oscillator

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Dick, G. John; Diener, William A.

    2004-01-01

    We present recent progress on the 10 Kelvin Cryocooled Sapphire Oscillator (10K CSO). Included are incorporation of a new pulse tube cryocooler, cryocooler vibration comparisons between G-M and pulse-tube types, phase noise, and frequency stability tests. For the advantage of a single stage pulse tube cryocooler, we also present results for a 40K Compensated Sapphire Oscillator (40K CSO).

  19. High-uniformity centimeter-wide Si etching method for MEMS devices with large opening elements

    NASA Astrophysics Data System (ADS)

    Okamoto, Yuki; Tohyama, Yukiya; Inagaki, Shunsuke; Takiguchi, Mikio; Ono, Tomoki; Lebrasseur, Eric; Mita, Yoshio

    2018-04-01

    We propose a compensated mesh pattern filling method to achieve highly uniform wafer depth etching (over hundreds of microns) with a large-area opening (over centimeter). The mesh opening diameter is gradually changed between the center and the edge of a large etching area. Using such a design, the etching depth distribution depending on sidewall distance (known as the local loading effect) inversely compensates for the over-centimeter-scale etching depth distribution, known as the global or within-die(chip)-scale loading effect. Only a single DRIE with test structure patterns provides a micro-electromechanical systems (MEMS) designer with the etched depth dependence on the mesh opening size as well as on the distance from the chip edge, and the designer only has to set the opening size so as to obtain a uniform etching depth over the entire chip. This method is useful when process optimization cannot be performed, such as in the cases of using standard conditions for a foundry service and of short turn-around-time prototyping. To demonstrate, a large MEMS mirror that needed over 1 cm2 of backside etching was successfully fabricated using as-is-provided DRIE conditions.

  20. Spatial inhomogeneities in Al x Ga1-x N quantum wells induced by the surface morphology of AlN/sapphire templates

    NASA Astrophysics Data System (ADS)

    Zeimer, Ute; Jeschke, Joerg; Mogilatenko, Anna; Knauer, Arne; Kueller, Viola; Hoffmann, Veit; Kuhn, Christian; Simoneit, Tino; Martens, Martin; Wernicke, Tim; Kneissl, Michael; Weyers, Markus

    2015-11-01

    The effects of the template on the optical and structural properties of Al0.75Ga0.25N/Al0.8Ga0.2N multiple quantum well (MQWs) laser active regions have been investigated. The laser structures for optical pumping were grown on planar c-plane AlN/sapphire as well as on thick epitaxially laterally overgrown (ELO) AlN layers on patterned AlN/sapphire. Two ELO AlN/sapphire templates were investigated, one with a miscut of the sapphire surface to the m-direction with an angle of 0.25°, the other with a miscut angle of 0.25° to the sapphire a-direction. The MQWs are studied by atomic force microscopy, plan-view cathodoluminescence (CL) at room temperature and 83 K as well as transmission electron microscopy using high-angle annular dark-field imaging and energy-dispersive x-ray spectroscopy. The results are compared to optical pumping measurements. It was found that the surface morphology of the templates determines the lateral wavelength distribution in the MQWs observed by spectral CL mappings. The lateral wavelength spread is largest for the laser structures grown on ELO AlN with miscut to sapphire a-direction caused by the local variation of the MQW thicknesses and the Ga incorporation at macrosteps on the ELO-AlN. A CL peak wavelength spread of up to 7 nm has been found. The MQWs grown on planar AlN/sapphire templates show a homogeneous wavelength distribution. However, due to the high threading dislocation density and the resulting strong nonradiative recombination, laser operation could not be achieved. The laser structures grown on ELO AlN/sapphire show optically pumped lasing with a record short wavelength of 237 nm.

  1. Modal reduction in single crystal sapphire optical fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Yujie; Hill, Cary; Liu, Bo

    2015-10-12

    A new type of single crystal sapphire optical fiber (SCSF) design is proposed to reduce the number of guided modes via a highly dispersive cladding with a periodic array of high and low index regions in the azimuthal direction. The structure retains a “core” region of pure single crystal (SC) sapphire in the center of the fiber and a “cladding” region of alternating layers of air and SC sapphire in the azimuthal direction that is uniform in the radial direction. The modal characteristics and confinement losses of the fundamental mode were analyzed via the finite element method by varying themore » effective core diameter and the dimensions of the “windmill” shaped cladding. The simulation results showed that the number of guided modes were significantly reduced in the “windmill” fiber design, as the radial dimension of the air and SC sapphire cladding regions increase with corresponding decrease in the azimuthal dimension. It is anticipated that the “windmill” SCSF will readily improve the performance of current fiber optic sensors in the harsh environment and potentially enable those that were limited by the extremely large modal volume of unclad SCSF.« less

  2. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    NASA Astrophysics Data System (ADS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-12-01

    Blue sapphire is categorised in a corundum (Al2O3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV-Vis-NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  3. In situ conductance measurements of copper phthalocyanine thin film growth on sapphire [0001].

    PubMed

    Murdey, Richard; Sato, Naoki

    2011-06-21

    The current flowing through a thin film of copper phthalocyanine vacuum deposited on a single crystal sapphire [0001] surface was measured during film growth from 0 to 93 nm. The results, expressed as conductance vs. nominal film thickness, indicate three distinct film growth regions. Conductive material forms below about 5 nm and again above 35 nm, but in the intermediate thicknesses the film conductance was observed to decrease with increasing film thickness. With the aid of ac-AFM topology images taken ex situ, the conductance results are explained based on the Stranski-Krastanov (2D + 3D) film growth mechanism, in which the formation of a thin wetting layer is followed by the growth of discrete islands that eventually coalesce into an interpenetrating, conductive network. © 2011 American Institute of Physics

  4. Silicon etching using only Oxygen at high temperature: An alternative approach to Si micro-machining on 150 mm Si wafers

    NASA Astrophysics Data System (ADS)

    Chai, Jessica; Walker, Glenn; Wang, Li; Massoubre, David; Tan, Say Hwa; Chaik, Kien; Hold, Leonie; Iacopi, Alan

    2015-12-01

    Using a combination of low-pressure oxygen and high temperatures, isotropic and anisotropic silicon (Si) etch rates can be controlled up to ten micron per minute. By varying the process conditions, we show that the vertical-to-lateral etch rate ratio can be controlled from 1:1 isotropic etch to 1.8:1 anisotropic. This simple Si etching technique combines the main respective advantages of both wet and dry Si etching techniques such as fast Si etch rate, stiction-free, and high etch rate uniformity across a wafer. In addition, this alternative O2-based Si etching technique has additional advantages not commonly associated with dry etchants such as avoiding the use of halogens and has no toxic by-products, which improves safety and simplifies waste disposal. Furthermore, this process also exhibits very high selectivity (>1000:1) with conventional hard masks such as silicon carbide, silicon dioxide and silicon nitride, enabling deep Si etching. In these initial studies, etch rates as high as 9.2 μm/min could be achieved at 1150 °C. Empirical estimation for the calculation of the etch rate as a function of the feature size and oxygen flow rate are presented and used as proof of concepts.

  5. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  6. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  7. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    NASA Astrophysics Data System (ADS)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  8. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{submore » x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.« less

  9. FIB Secondary Etching Method for Fabrication of Fine CNT Forest Metamaterials

    NASA Astrophysics Data System (ADS)

    Pander, Adam; Hatta, Akimitsu; Furuta, Hiroshi

    2017-10-01

    Anisotropic materials, like carbon nanotubes (CNTs), are the perfect substitutes to overcome the limitations of conventional metamaterials; however, the successful fabrication of CNT forest metamaterial structures is still very challenging. In this study, a new method utilizing a focused ion beam (FIB) with additional secondary etching is presented, which can obtain uniform and fine patterning of CNT forest nanostructures for metamaterials and ranging in sizes from hundreds of nanometers to several micrometers. The influence of the FIB processing parameters on the morphology of the catalyst surface and the growth of the CNT forest was investigated, including the removal of redeposited material, decreasing the average surface roughness (from 0.45 to 0.15 nm), and a decrease in the thickness of the Fe catalyst. The results showed that the combination of FIB patterning and secondary etching enabled the growth of highly aligned, high-density CNT forest metamaterials. The improvement in the quality of single-walled CNTs (SWNTs), defined by the very high G/D peak ratio intensity of 10.47, demonstrated successful fine patterning of CNT forest for the first time. With a FIB patterning depth of 10 nm and a secondary etching of 0.5 nm, a minimum size of 150 nm of CNT forest metamaterials was achieved. The development of the FIB secondary etching method enabled for the first time, the fabrication of SWNT forest metamaterials for the optical and infrared regime, for future applications, e.g., in superlenses, antennas, or thermal metamaterials.

  10. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  11. Development of a sapphire fiber thermometer using two wavelength bands

    NASA Astrophysics Data System (ADS)

    Ye, Linhua; Shen, Yonghang

    1996-09-01

    This paper reports the development of a sapphire ((alpha) - Al2O3) single crystal optical fiber thermometer using two wavelength bands. A thin film of precious metal or ceramic deposited onto one end of the sapphire fiber forms a mini-radiation cavity. The other end of the sapphire fiber is coupled to a low-loss silica fiber. Radiation from the small cavity is transmitted along the silica fiber into a photodetection system which consists of a lens, beam splitter, two interference filters (820 nm and 940 nm center wavelength, 30 nm bandwidth) and two silicon photocells. The temperature measurement is based on the detection of radiation from the small cavity. The sapphire fiber (0.25 - 1.0 mm diameter, 100 - 450 mm length) was grown by the laser heated pedestal growth (LHPG) methods. Transmission loss in the sapphire fiber was experimentally measured. Theoretical analysis shows the apparent emittance of the small cavity with a length to diameter (L/D) ratio greater than eight is a constant value near to one, so the small cavity can be considered as a small black-body cavity. Using the developed sapphire fiber temperature sensor, we have built a sapphire fiber thermometer based on a 8098 single-chip microcomputer system. It was calibrated at some known stable temperature point and uses the fundamental radiation law to extrapolate to other temperatures. By taking the ratio of the optical power at two wavelengths, errors due to changes in the system, such as emissivity and transmission losses, can be canceled out. The thermometer has an operating temperature range of 800 to 1900 degrees Celsius, and an accuracy of 0.2% at 1000 degrees Celsius. There are a number of applications of the thermometer both in science and industry.

  12. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    PubMed

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  13. Surface microroughness of ion-beam etched optical surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Savvides, N.

    2005-03-01

    Ion-beam etching (IBE) and ion-beam figuring techniques using low-energy ion-beam sources have been applied for more than ten years in the fabrication and finishing of extremely smooth high-performance optics. We used optical interferometric techniques and atomic force microscopy to study the evolution of the surface root-mean-square (rms) microroughness, Rq, as a function of depth of a material removed (0-3000 nm) by a broad ion-beam source (Ar{sup +} ions of energy 600 eV and ion current density of 1 mA cm{sup -2}). Highly polished samples of fused silica and Zerodur (Rq{approx}3.5 A) showed a small decrease in microroughness (to 2.5 A)more » after 3000-nm IBE removal while an ultrapolished single-crystal sapphire sample (Rq{approx}1 A rms) retained its very low microroughness during IBE. Power spectral density functions over the spatial frequency interval of measurement (f=5x10{sup -3}-25 {mu}m{sup -1}) indicate that the IBE surfaces have minimal subsurface damage and low optical scatter.« less

  14. Direct comparison of the performance of commonly used e-beam resists during nano-scale plasma etching of Si, SiO2, and Cr

    NASA Astrophysics Data System (ADS)

    Goodyear, Andy; Boettcher, Monika; Stolberg, Ines; Cooke, Mike

    2015-03-01

    Electron beam writing remains one of the reference pattern generation techniques, and plasma etching continues to underpin pattern transfer. We report a systematic study of the plasma etch resistance of several e-beam resists, both negative and positive as well as classical and Chemically Amplified Resists: HSQ[1,2] (Dow Corning), PMMA[3] (Allresist GmbH), AR-P6200 (Allresist GmbH), ZEP520 (Zeon Corporation), CAN028 (TOK), CAP164 (TOK), and an additional pCAR (non-disclosed provider). Their behaviour under plasma exposure to various nano-scale plasma etch chemistries was examined (SF6/C4F8 ICP silicon etch, CHF3/Ar RIE SiO2 etch, Cl2/O2 RIE and ICP chrome etch, and HBr ICP silicon etch). Samples of each resist type were etched simultaneously to provide a direct comparison of their etch resistance. Resist thicknesses (and hence resist erosion rates) were measured by spectroscopic ellipsometer in order to provide the highest accuracy for the resist comparison. Etch selectivities (substrate:mask etch rate ratio) are given, with recommendations for the optimum resist choice for each type of etch chemistry. Silicon etch profiles are also presented, along with the exposure and etch conditions to obtain the most vertical nano-scale pattern transfer. We identify one resist that gave an unusually high selectivity for chlorinated and brominated etches which could enable pattern transfer below 10nm without an additional hard mask. In this case the resist itself acts as a hard mask. We also highlight the differing effects of fluorine and bromine-based Silicon etch chemistries on resist profile evolution and hence etch fidelity.

  15. Resistless lithography - selective etching of silicon with gallium doping regions

    NASA Astrophysics Data System (ADS)

    Abdullaev, D.; Milovanov, R.; Zubov, D.

    2016-12-01

    This paper presents the results for used of resistless lithography with a further reactive-ion etching (RIE) in various chemistry after local (Ga+) implantation of silicon with different doping dose and different size doped regions. We describe the different etching regimes for pattern transfer of FIB implanted Ga masks in silicon. The paper studied the influence of the implantation dose on the silicon surface, the masking effect and the mask resistance to erosion at dry etching. Based on these results we conclude about the possibility of using this method to create micro-and nanoscale silicon structures.

  16. The Effect of Hydrofluoric Acid Etching Duration on the Surface Micromorphology, Roughness, and Wettability of Dental Ceramics

    PubMed Central

    Ramakrishnaiah, Ravikumar; Alkheraif, Abdulaziz A.; Divakar, Darshan Devang; Matinlinna, Jukka P.; Vallittu, Pekka K.

    2016-01-01

    The current laboratory study is evaluating the effect of hydrofluoric acid etching duration on the surface characteristics of five silica-based glass ceramics. Changes in the pore pattern, crystal structure, roughness, and wettability were compared and evaluated. Seventy-five rectangularly shaped specimens were cut from each material (IPS e-max™, Dentsply Celtra™, Vita Suprinity™, Vita mark II™, and Vita Suprinity FC™); the sectioned samples were finished, polished, and ultrasonically cleaned. Specimens were randomly assigned into study groups: control (no etching) and four experimental groups (20, 40, 80 and 160 s of etching). The etched surfaces’ microstructure including crystal structure, pore pattern, pore depth, and pore width was studied under a scanning electron microscope, and the surface roughness and wettability were analyzed using a non-contact surface profilometer and a contact angle measuring device, respectively. The results were statistically analyzed using one-way analysis of variance (ANOVA) and the post hoc Tukey’s test. The results showed a significant change in the pore number, pore pattern, crystal structure, surface roughness, and wettability with increased etching duration. Etching for a short time resulted in small pores, and etching for longer times resulted in wider, irregular grooves. A significant increase in the surface roughness and wettability was observed with an increase in the etching duration. The findings also suggested a strong association between the surface roughness and wettability. PMID:27240353

  17. Reliability improvement methods for sapphire fiber temperature sensors

    NASA Astrophysics Data System (ADS)

    Schietinger, C.; Adams, B.

    1991-08-01

    Mechanical, optical, electrical, and software design improvements can be brought to bear in the enhancement of fiber-optic sapphire-fiber temperature measurement tool reliability in harsh environments. The optical fiber thermometry (OFT) equipment discussed is used in numerous process industries and generally involves a sapphire sensor, an optical transmission cable, and a microprocessor-based signal analyzer. OFT technology incorporating sensors for corrosive environments, hybrid sensors, and two-wavelength measurements, are discussed.

  18. Method to fabricate multi-level silicon-based microstructures via use of an etching delay layer

    DOEpatents

    Manginell, Ronald P.; Schubert, W. Kent; Shul, Randy J.

    2005-08-16

    New methods for fabrication of silicon microstructures have been developed. In these methods, an etching delay layer is deposited and patterned so as to provide differential control on the depth of features being etched into a substrate material. Structures having features with different depth can be formed thereby in a single etching step.

  19. Picosecond temporal contrast of Ti:Sapphire lasers (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kalashnikov, Mikhail P.; Khodakovskiy, Nikita

    2017-05-01

    The temporal shape of recompressed Ti:sapphire CPA pulses typically contains relatively long pre- and post- pedestals appearing on a picosecond time scale. Despite playing a key role in laser-matter interactions, these artifacts - especially the shape of the leading front of the recompressed pulses - are poorly investigated and understood. The related publications consider picosecond pedestals appearing at both fronts of the main pulse to be related to scattering of the stretched pulse off diffraction gratings inside the stretcher or due to clipping of the pulse spectrum at dielectric coatings. In our experiments we analyzed different types of stretcher-compressor combinations used in Ti:Sapphire laser systems. These include a prism-based stretcher and a bulk compressor, transmission and reflection diffraction gratings - based combinations. We identified pedestals that are typical for the particular stretcher-compressor combination. Especially investigated are those which are coherent with the major recompressed pulse, since with self-phase modulation in power amplifiers they will grow nonlinearly and finally appear symmetric around the major pulse, generating the pre-pedestal from the post-pedestal. Thus, a previously unreported influence of the trailing pedestal has been identified. It is commonly known that recompressed pulses from Ti:sapphire chirped-pulse amplifier systems are accompanied by a slowly decaying ragged post-pedestal. The detailed investigation shows that it consists of numerous pulses with temporal separation in the picosecond range. These are coherent with the main pulse. Moreover, the temporal structure of the trailing pedestal is independent of the particular realization of the Ti:sapphire system and it is present in radiation of any Ti:Sapphire CPA system including Kerr- mode locked master oscillators. Our investigations show that the coherent ragged post-pedestal is the post-radiation of inverted Ti:sapphire medium resulting from phonon

  20. Etching nano-holes in silicon carbide using catalytic platinum nano-particles

    NASA Astrophysics Data System (ADS)

    Moyen, E.; Wulfhekel, W.; Lee, W.; Leycuras, A.; Nielsch, K.; Gösele, U.; Hanbücken, M.

    2006-09-01

    The catalytic reaction of platinum during a hydrogen etching process has been used to perform controlled vertical nanopatterning of silicon carbide substrates. A first set of experiments was performed with platinum powder randomly distributed on the SiC surface. Subsequent hydrogen etching in a hot wall reactor caused local atomic hydrogen production at the catalyst resulting in local SiC etching and hole formation. Secondly, a highly regular and monosized distribution of Pt was obtained by sputter deposition of Pt through an Au membrane serving as a contact mask. After the lift-off of the mask, the hydrogen etching revealed the onset of well-controlled vertical patterned holes on the SiC surface.

  1. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less

  2. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    NASA Astrophysics Data System (ADS)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  3. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    PubMed

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  4. Effect of EDTA and Phosphoric Acid Pretreatment on the Bonding Effectiveness of Self-Etch Adhesives to Ground Enamel

    PubMed Central

    Ibrahim, Ihab M.; Elkassas, Dina W.; Yousry, Mai M.

    2010-01-01

    Objectives: This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Methods: Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9–1.0), intermediary strong AdheSE (pH=1.6–1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Results: Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Conclusions: Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel. PMID:20922162

  5. Method of Fabricating Double Sided Si(Ge)/Sapphire/III-Nitride Hybrid Structure

    NASA Technical Reports Server (NTRS)

    Choi, Sang Hyouk (Inventor); Park, Yeonjoon (Inventor)

    2017-01-01

    One aspect of the present invention is a double sided hybrid crystal structure including a trigonal Sapphire wafer containing a (0001) C-plane and having front and rear sides. The Sapphire wafer is substantially transparent to light in the visible and infrared spectra, and also provides insulation with respect to electromagnetic radio frequency noise. A layer of crystalline Si material having a cubic diamond structure aligned with the cubic <111> direction on the (0001) C-plane and strained as rhombohedron to thereby enable continuous integration of a selected (SiGe) device onto the rear side of the Sapphire wafer. The double sided hybrid crystal structure further includes an integrated III-Nitride crystalline layer on the front side of the Sapphire wafer that enables continuous integration of a selected III-Nitride device on the front side of the Sapphire wafer.

  6. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    NASA Astrophysics Data System (ADS)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  7. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  8. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    NASA Astrophysics Data System (ADS)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  9. High-temperature effects on the light transmission through sapphire optical fiber

    DOE PAGES

    Wilson, Brandon A.; Petrie, Christian M.; Blue, Thomas E.

    2018-03-13

    Single crystal sapphire optical fiber was tested at high temperatures (1500°C) to determine its suitability for optical instrumentation in high-temperature environments. Broadband light transmission (450-2300 nm) through sapphire fiber was measured as a function of temperature as a test of the fiber's ability to survive and operate in high-temperature environments. Upon heating sapphire fiber to 1400°C, large amounts of light attenuation were measured across the entire range of light wavelengths that were tested. SEM and TEM images of the heated sapphire fiber indicated that a layer had formed at the surface of the fiber, most likely due to a chemicalmore » change at high temperatures. The microscopy results suggest that the surface layer may be in the form of aluminum hydroxide. Subsequent tests of sapphire fiber in an inert atmosphere showed minimal light attenuation at high temperatures along with the elimination of any surface layers on the fiber, indicating that the air atmosphere is indeed responsible for the increased attenuation and surface layer formation at high temperatures.« less

  10. High-temperature effects on the light transmission through sapphire optical fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wilson, Brandon A.; Petrie, Christian M.; Blue, Thomas E.

    Single crystal sapphire optical fiber was tested at high temperatures (1500°C) to determine its suitability for optical instrumentation in high-temperature environments. Broadband light transmission (450-2300 nm) through sapphire fiber was measured as a function of temperature as a test of the fiber's ability to survive and operate in high-temperature environments. Upon heating sapphire fiber to 1400°C, large amounts of light attenuation were measured across the entire range of light wavelengths that were tested. SEM and TEM images of the heated sapphire fiber indicated that a layer had formed at the surface of the fiber, most likely due to a chemicalmore » change at high temperatures. The microscopy results suggest that the surface layer may be in the form of aluminum hydroxide. Subsequent tests of sapphire fiber in an inert atmosphere showed minimal light attenuation at high temperatures along with the elimination of any surface layers on the fiber, indicating that the air atmosphere is indeed responsible for the increased attenuation and surface layer formation at high temperatures.« less

  11. Optically Defined Multifunctional Patterning of Photosensitive Thin-Film Silica Mesophases

    NASA Astrophysics Data System (ADS)

    Doshi, Dhaval A.; Huesing, Nicola K.; Lu, Mengcheng; Fan, Hongyou; Lu, Yunfeng; Simmons-Potter, Kelly; Potter, B. G.; Hurd, Alan J.; Brinker, C. Jeffrey

    2000-10-01

    Photosensitive films incorporating molecular photoacid generators compartmentalized within a silica-surfactant mesophase were prepared by an evaporation-induced self-assembly process. Ultraviolet exposure promoted localized acid-catalyzed siloxane condensation, which can be used for selective etching of unexposed regions; for ``gray-scale'' patterning of refractive index, pore size, surface area, and wetting behavior; and for optically defining a mesophase transformation (from hexagonal to tetragonal) within the film. The ability to optically define and continuously control both structure and function on the macro- and mesoscales is of interest for sensor arrays, nanoreactors, photonic and fluidic devices, and low-dielectric-constant films.

  12. Energy output reduction and surface alteration of quartz and sapphire tips following Er:YAG laser contact irradiation for tooth enamel ablation.

    PubMed

    Eguro, Toru; Aoki, Akira; Maeda, Toru; Takasaki, Aristeo Atsushi; Hasegawa, Mitsuru; Ogawa, Masaaki; Suzuki, Takanori; Yonemoto, Kazuaki; Ishikawa, Isao; Izumi, Yuichi; Katsuumi, Ichiroh

    2009-10-01

    Despite the recent increase in application of Er:YAG laser for various dental treatments, limited information is available regarding the contact tips. This study examined the changes in energy output and surface condition of quartz and sapphire contact tips after Er:YAG laser contact irradiation for tooth enamel ablation. Ten sets of unused quartz or sapphire contact tips were employed for contact irradiation to sound enamel of extracted teeth. The teeth were irradiated with Er:YAG laser at approximately 75 J/cm(2)/pulse and 20 Hz under water spray for 60 minutes. The energy output was measured before and every 5 minutes after irradiation, and the changes in morphology and chemical composition of the contact surface were analyzed. The energy output significantly decreased with time in both tips. The energy output from the sapphire tips was generally higher on average than that of the quartz. The contact surfaces of all the used quartz tips were concave and irregular. Most of the sapphire tips also appeared rough with crater formation and fractures, except for a few tips in which a high energy output and the original smooth surface were maintained. Spots of melted tooth substances were seen attached to the surface of both tips. In contact enamel ablation, the sapphire tip appeared to be more resistant than the quartz tip. The quartz tips showed similar patterns of energy reduction and surface alteration, whereas the sapphire tips revealed a wider and more characteristic variation among tips. Lasers Surg. Med. 41:595-604, 2009. (c) 2009 Wiley-Liss, Inc.

  13. Failure Analysis of Sapphire Refractive Secondary Concentrators

    NASA Technical Reports Server (NTRS)

    Salem, Jonathan A.; Quinn, George D.

    2009-01-01

    Failure analysis was performed on two sapphire, refractive secondary concentrators (RSC) that failed during elevated temperature testing. Both concentrators failed from machining/handling damage on the lens face. The first concentrator, which failed during testing to 1300 C, exhibited a large r-plane twin extending from the lens through much of the cone. The second concentrator, which was an attempt to reduce temperature gradients and failed during testing to 649 C, exhibited a few small twins on the lens face. The twins were not located at the origin, but represent another mode of failure that needs to be considered in the design of sapphire components. In order to estimate the fracture stress from fractographic evidence, branching constants were measured on sapphire strength specimens. The fractographic analysis indicated radial tensile stresses of 44 to 65 MPa on the lens faces near the origins. Finite element analysis indicated similar stresses for the first RSC, but lower stresses for the second RSC. Better machining and handling might have prevented the fractures, however, temperature gradients and resultant thermal stresses need to be reduced to prevent twinning.

  14. Deep-etched sinusoidal polarizing beam splitter grating.

    PubMed

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lv, Peng

    2010-04-01

    A sinusoidal-shaped fused-silica grating as a highly efficient polarizing beam splitter (PBS) is investigated based on the simplified modal method. The grating structure depends mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These ratios can be used as a guideline for the grating design at different wavelengths. A sinusoidal-groove PBS grating is designed at a wavelength of 1310 nm under Littrow mounting, and the transmitted TM and TE polarized waves are mainly diffracted into the zeroth order and the -1st order, respectively. The grating profile is optimized by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient (>95.98%) over the O-band wavelength range (1260-1360 nm) for both TE and TM polarizations. The sinusoidal grating can exhibit higher diffraction efficiency, larger extinction ratio, and less reflection loss than the rectangular-groove PBS grating. By applying wet etching technology on the rectangular grating, which was manufactured by holographic recording and inductively coupled plasma etching technology, the sinusoidal grating can be approximately fabricated. Experimental results are in agreement with theoretical values.

  15. Optical properties of micromachined polysilicon reflective surfaces with etching holes

    NASA Astrophysics Data System (ADS)

    Zou, Jun; Byrne, Colin; Liu, Chang; Brady, David J.

    1998-08-01

    MUMPS (Multi-User MEMS Process) is receiving increasingly wide use in micro optics. We have investigated the optical properties of the polysilicon reflective surface in a typical MUMPS chip within the visible light spectrum. The effect of etching holes on the reflected laser beam is studied. The reflectivity and diffraction patterns at five different wavelengths have been measured. The optical properties of the polysilicon reflective surface are greatly affected by the surface roughness, the etching holes, as well as the material. The etching holes contribute to diffraction and reduction of reflectivity. This study provides a basis for optimal design of micromachined free-space optical systems.

  16. Direct diode pumped Ti:sapphire ultrafast regenerative amplifier system

    DOE PAGES

    Backus, Sterling; Durfee, Charles; Lemons, Randy; ...

    2017-02-10

    Here, we report on a direct diode-pumped Ti:sapphire ultrafast regenerative amplifier laser system producing multi-uJ energies with repetition rate from 50 to 250 kHz. By combining cryogenic cooling of Ti:sapphire with high brightness fiber-coupled 450nm laser diodes, we for the first time demonstrate a power-scalable CW-pumped architecture that can be directly applied to demanding ultrafast applications such as coherent high-harmonic EUV generation without any complex post-amplification pulse compression. Initial results promise a new era for Ti:sapphire amplifiers not only for ultrafast laser applications, but also for tunable CW sources. We discuss the unique challenges to implementation, as well as themore » solutions to these challenges.« less

  17. Direct diode pumped Ti:sapphire ultrafast regenerative amplifier system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Backus, Sterling; Durfee, Charles; Lemons, Randy

    Here, we report on a direct diode-pumped Ti:sapphire ultrafast regenerative amplifier laser system producing multi-uJ energies with repetition rate from 50 to 250 kHz. By combining cryogenic cooling of Ti:sapphire with high brightness fiber-coupled 450nm laser diodes, we for the first time demonstrate a power-scalable CW-pumped architecture that can be directly applied to demanding ultrafast applications such as coherent high-harmonic EUV generation without any complex post-amplification pulse compression. Initial results promise a new era for Ti:sapphire amplifiers not only for ultrafast laser applications, but also for tunable CW sources. We discuss the unique challenges to implementation, as well as themore » solutions to these challenges.« less

  18. Ti:sapphire - A theoretical assessment for its spectroscopy

    NASA Astrophysics Data System (ADS)

    Da Silva, A.; Boschetto, D.; Rax, J. M.; Chériaux, G.

    2017-03-01

    This article tries to theoretically compute the stimulated emission cross-sections when we know the oscillator strength of a broad material class (dielectric crystals hosting metal-transition impurity atoms). We apply the present approach to Ti:sapphire and check it by computing some emission cross-section curves for both π and σ polarizations. We also set a relationship between oscillator strength and radiative lifetime. Such an approach will allow future parametric studies for Ti:sapphire spectroscopic properties.

  19. Optimizing Ti:Sapphire laser for quantitative biomedical imaging

    NASA Astrophysics Data System (ADS)

    James, Jeemol; Thomsen, Hanna; Hanstorp, Dag; Alemán Hérnandez, Felipe Ademir; Rothe, Sebastian; Enger, Jonas; Ericson, Marica B.

    2018-02-01

    Ti:Sapphire lasers are powerful tools in the field of scientific research and industry for a wide range of applications such as spectroscopic studies and microscopic imaging where tunable near-infrared light is required. To push the limits of the applicability of Ti:Sapphire lasers, fundamental understanding of the construction and operation is required. This paper presents two projects, (i) dealing with the building and characterization of custom built tunable narrow linewidth Ti:Sapphire laser for fundamental spectroscopy studies; and the second project (ii) the implementation of a fs-pulsed commercial Ti:Sapphire laser in an experimental multiphoton microscopy platform. For the narrow linewidth laser, a gold-plated diffraction grating with a Littrow geometry was implemented for highresolution wavelength selection. We demonstrate that the laser is tunable between 700 to 950 nm, operating in a pulsed mode with a repetition rate of 1 kHz and maximum average output power around 350 mW. The output linewidth was reduced from 6 GHz to 1.5 GHz by inserting an additional 6 mm thick etalon. The bandwidth was measured by means of a scanning Fabry Perot interferometer. Future work will focus on using a fs-pulsed commercial Ti:Sapphire laser (Tsunami, Spectra physics), operating at 80 MHz and maximum average output power around 1 W, for implementation in an experimental multiphoton microscopy set up dedicated for biomedical applications. Special focus will be on controlling pulse duration and dispersion in the optical components and biological tissue using pulse compression. Furthermore, time correlated analysis of the biological samples will be performed with the help of time correlated single photon counting module (SPCM, Becker&Hickl) which will give a novel dimension in quantitative biomedical imaging.

  20. Wetting characteristic of ceramic to water and adhesive resin.

    PubMed

    Oh, Won-Suck; Shen, Chiayi; Alegre, Brandon; Anusavice, Kenneth J

    2002-12-01

    Maximum wetting of ceramic by adhesive resin is required to achieve optimal adhesion of the resin to ceramic. It is unknown whether the adhesion of the resin to the ceramic is affected by the surface topography and wetting by water or the adhesive resin. This study was designed to characterize the effect of surface topography on the wetting of ceramics by water and adhesive resin. Three materials, a veneering ceramic, Eris (ERV), and 2 core ceramics, Empress 1 core ceramic (E1C) and an experimental core ceramic (EXC), were used. Four surface-roughening procedures were used. They included polishing through 1200-grit SiC paper (P), air abrasion with 50 microm Al(2)O(3) (A), etching with 5% hydrofluoric acid gel (E), and a combination of airborne particle abrasion and etching (A/E). Forty bar specimens (15 x 10 x 1.5 mm) were prepared from each material (N=120). Twelve groups of 10 specimens each were prepared for the 4 surface-roughening procedures. Advancing (theta(A)) and receding (theta(R)) contact angles were measured with a CAHN Dynamic Contact Analyzer, on the basis of the Wilhelmy plate technique, with water and adhesive resin. The work of adhesion (W(A)) by the probing media was calculated by use of advancing contact angle data. The data were analyzed by t testing, analysis of variance, and Duncan's tests (alpha=0.05) to determine the statistical significance of differences in the contact angles between ceramic and water or resin as a function of surface roughening. In general, the mean theta(A) values were higher than the mean theta(R) values except for groups of E or A/E specimens with water used as a probing medium. E and A/E treatments yielded the lowest contact angle values, followed by A and P treatments (P<.001). The E1C exhibited the highest mean contact angles, whereas EXC exhibited the lowest mean contact angle except for the theta(R) with resin. The corresponding values for ERV were between those of E1C and EXC except for theta(R) values with resin

  1. Improved photoluminescence efficiency in UV nanopillar light emitting diode structures by recovery of dry etching damage.

    PubMed

    Jeon, Dae-Woo; Jang, Lee-Woon; Jeon, Ju-Won; Park, Jae-Woo; Song, Young Ho; Jeon, Seong-Ran; Ju, Jin-Woo; Baek, Jong Hyeob; Lee, In-Hwan

    2013-05-01

    In this study, we have fabricated 375-nm-wavelength InGaN/AlInGaN nanopillar light emitting diodes (LED) structures on c-plane sapphire. A uniform and highly vertical nanopillar structure was fabricated using self-organized Ni/SiO2 nano-size mask by dry etching method. To minimize the dry etching damage, the samples were subjected to high temperature annealing with subsequent chemical passivation in KOH solution. Prior to annealing and passivation the UV nanopillar LEDs showed the photoluminescence (PL) efficiency about 2.5 times higher than conventional UV LED structures which is attributed to better light extraction efficiency and possibly some improvement of internal quantum efficiency due to partially relieved strain. Annealing alone further increased the PL efficiency by about 4.5 times compared to the conventional UV LEDs, while KOH passivation led to the overall PL efficiency improvement by more than 7 times. Combined results of Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) suggest that annealing decreases the number of lattice defects and relieves the strain in the surface region of the nanopillars whereas KOH treatment removes the surface oxide from nanopillar surface.

  2. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  3. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    NASA Astrophysics Data System (ADS)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  4. Highχ block copolymers for directed self-assembly patterning without the need for topcoat or solvent annealing

    NASA Astrophysics Data System (ADS)

    Xu, Kui; Hockey, Mary Ann; Calderas, Eric; Guerrero, Douglas; Sweat, Daniel; Fiehler, Jeffrey

    2017-03-01

    High-χ block copolymers for directed self-assembly (DSA) patterning that do not need topcoat or solvent annealing have been developed. A variety of functionalities have been successfully added into the block copolymers, such as balanced surface energy between the polymer blocks, outstandingly high χ, tunable glass transition temperature (Tg), and selective crosslinking. Perpendicular orientation control, as desired for patterning, of the block copolymers can be simply achieved by thermal annealing due to the equal surface energy of the polymer blocks at the annealing temperatures, which allows avoiding solvent annealing or top-coat. The χ value can be tuned up to achieve L0 as low as 8-10 nm for lamellar-structured block copolymers and hole/pillar size as small as 5-6 nm for cylinder-structured block copolymers. The Tg of the block copolymers can be tuned to improve the kinetics of thermal annealing by enhancing the polymer chain mobility. Block-selective crosslinking facilitates the pattern transfer by mitigating pattern collapse during wet etching and improving oxygen plasma etching selectivity between the polymer blocks. This paper provides an introductory review of our high-χ block copolymer materials with various functionalities for achieving improved DSA performance.

  5. Ultrasensitive label-free detection of DNA hybridization by sapphire-based graphene field-effect transistor biosensor

    NASA Astrophysics Data System (ADS)

    Xu, Shicai; Jiang, Shouzhen; Zhang, Chao; Yue, Weiwei; Zou, Yan; Wang, Guiying; Liu, Huilan; Zhang, Xiumei; Li, Mingzhen; Zhu, Zhanshou; Wang, Jihua

    2018-01-01

    Graphene has attracted much attention in biosensing applications for its unique properties. Because of one-atom layer structure, every atom of graphene is exposed to the environment, making the electronic properties of graphene are very sensitive to charged analytes. Therefore, graphene is an ideal material for transistors in high-performance sensors. Chemical vapor deposition (CVD) method has been demonstrated the most successful method for fabricating large area graphene. However, the conventional CVD methods can only grow graphene on metallic substrate and the graphene has to be transferred to the insulating substrate for further device fabrication. The transfer process creates wrinkles, cracks, or tears on the graphene, which severely degrade electrical properties of graphene. These factors severely degrade the sensing performance of graphene. Here, we directly fabricated graphene on sapphire substrate by high temperature CVD without the use of metal catalysts. The sapphire-based graphene was patterned and make into a DNA biosensor in the configuration of field-effect transistor. The sensors show high performance and achieve the DNA detection sensitivity as low as 100 fM (10-13 M), which is at least 10 times lower than prior transferred CVD G-FET DNA sensors. The use of the sapphire-based G-FETs suggests a promising future for biosensing applications.

  6. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  7. Femtosecond laser-induced periodic surface structural formation on sapphire with nanolayered gold coating

    NASA Astrophysics Data System (ADS)

    Yin, Kai; Wang, Cong; Duan, Ji'an; Guo, Chunlei

    2016-09-01

    Sapphire has a potential as a new generation of electronics display. However, direct processing of sapphire surface by visible or near-IR laser light is challenging since sapphire is transparent to these wavelengths. In this study, we investigate the formation of femtosecond laser-induced periodic surface structures (LIPSSs) on sapphire coated with nanolayered gold film. We found a reduced threshold by about 25 % in generating uniform LIPSSs on sapphire due to the nanolayered gold film. Different thickness of nanolayered gold films are studied, and it is shown that the change in thickness does not significantly affect the threshold reduction. It is believed that the diffusion of hot electrons in the gold films increases interfacial carrier density and electron-phonon coupling that results in a reduced threshold and more uniform periodic surface structure generation.

  8. Raman scattering in single-crystal sapphire at elevated temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thapa, Juddha; Liu, Bo; Woodruff, Steven D.

    Sapphire is a widely used high-temperature material and this work presents thorough characterization of all the measurable Raman scattering modes in sapphire and their temperature dependencies. Here, Raman scattering in bulk sapphire rods is measured from room temperature to 1081 °C and is illustrated as a method of noncontact temperature measurement. A single-line argon ion laser at 488 nm was used to excite the sapphire rods inside a cylindrical furnace. All the anti-Stokes peaks (or lines) were observable through the entire temperature range of interest, while Stokes peaks were observable until they were obscured by background thermal emission. Temperature measurementsmore » were found to be most reliable for A 1g and E g modes using the peaks at ±418, ±379, +578, +645, and, +750 cm -1 (+ and – are designated for Stokes and anti-Stokes peaks respectively). The 418 cm -1 peak was found to be the most intense peak. The temperature dependence of peak position, peak width, and peak area of the ±418 and ±379 peaks is presented. For +578, +645 and +750, the temperature dependence of peak position is presented. The peaks’ spectral positions provide the most precise temperature information within the experimental temperature range. Finally, the resultant temperature calibration curves are given, which indicate that sapphire can be used in high-temperature Raman thermometry with an accuracy of about 1.38°C average standard deviation over the entire >1000°C temperature range.« less

  9. Raman scattering in single-crystal sapphire at elevated temperatures

    DOE PAGES

    Thapa, Juddha; Liu, Bo; Woodruff, Steven D.; ...

    2017-10-25

    Sapphire is a widely used high-temperature material and this work presents thorough characterization of all the measurable Raman scattering modes in sapphire and their temperature dependencies. Here, Raman scattering in bulk sapphire rods is measured from room temperature to 1081 °C and is illustrated as a method of noncontact temperature measurement. A single-line argon ion laser at 488 nm was used to excite the sapphire rods inside a cylindrical furnace. All the anti-Stokes peaks (or lines) were observable through the entire temperature range of interest, while Stokes peaks were observable until they were obscured by background thermal emission. Temperature measurementsmore » were found to be most reliable for A 1g and E g modes using the peaks at ±418, ±379, +578, +645, and, +750 cm -1 (+ and – are designated for Stokes and anti-Stokes peaks respectively). The 418 cm -1 peak was found to be the most intense peak. The temperature dependence of peak position, peak width, and peak area of the ±418 and ±379 peaks is presented. For +578, +645 and +750, the temperature dependence of peak position is presented. The peaks’ spectral positions provide the most precise temperature information within the experimental temperature range. Finally, the resultant temperature calibration curves are given, which indicate that sapphire can be used in high-temperature Raman thermometry with an accuracy of about 1.38°C average standard deviation over the entire >1000°C temperature range.« less

  10. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    PubMed

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    from those of Clearfil SE Bond and ABF. One-Up Bond F did not bond to unprepared enamel. Commercial self-etching adhesives performed better on prepared enamel than on unprepared enamel. The field-emission scanning electron microscope revealed a deep interprismatic etching pattern for the total-etch adhesive, whereas the self-etching systems resulted in an etching pattern ranging from absent to moderate.

  11. Wetting properties of hybrid structure with hydrophilic ridges and hydrophobic channels

    NASA Astrophysics Data System (ADS)

    Lee, Dong-Ki; Choi, Su Young; Park, Min Soo; Cho, Young Hak

    2018-02-01

    In the present study, we fabricated a hybrid structure where the upper surface of the ridge is hydrophilic and the inner surface of the channel is hydrophobic. Laser-induced backside wet etching (LIBWE) process was performed to machine the hybrid structure on a Pyrex glass substrate. Wetting properties were evaluated from static contact angles (CAs) measurement in parallel and orthogonal directions. The water droplet on the hybrid structure was in the Cassie-Baxter state and showed anisotropic wetting property along groove lines. Moisture condensation studies under humid condition indicated that water droplets grew and coalesced on the ridge with hydrophilicity. Furthermore, water-oil separation was tested using a microfluidic chip with the developed hybrid structure. In case of hybrid microfluidic chip, the water could not flow into channel but the hexadecane could flow due to the capillary pressure difference.

  12. High temperature sensing using higher-order-mode rejected sapphire-crystal fiber gratings

    NASA Astrophysics Data System (ADS)

    Zhan, Chun; Kim, Jae Hun; Lee, Jon; Yin, Stuart; Ruffin, Paul; Luo, Claire

    2007-09-01

    In this paper, we report the fabrication of higher-order-mode rejected fiber Bragg gratings (FBGs) in sapphire crystal fiber using infrared (IR) femtosecond laser illumination. The grating is tested in high temperature furnace up to 1600 degree Celsius. As sapphire fiber is only available as highly multimode fiber, a scheme to filter out higher order modes in favor for the fundamental mode is theoretically evaluated and experimentally demonstrated. The approach is to use an ultra thin sapphire crystal fiber (60 micron in diameter) to decrease the number of modes. The small diameter fiber also enables bending the fiber to certain radius which is carefully chosen to provide low loss for the fundamental mode LP01 and high loss for the other high-order modes. After bending, less-than-2-nm resonant peak bandwidth is achieved. The grating spectrum is improved, and higher resolution sensing measurement can be achieved. This mode filtering method is very easy to implement. Furthermore, the sapphire fiber is sealed with hi-purity alumina ceramic cement inside a flexible high temperature titanium tube, and the highly flexible titanium tube offers a robust packaging to sapphire fiber. Our high temperature sapphire grating sensor is very promising in extremely high temperature sensing application.

  13. Review and perspective: Sapphire optical fiber cladding development for harsh environment sensing

    NASA Astrophysics Data System (ADS)

    Chen, Hui; Buric, Michael; Ohodnicki, Paul R.; Nakano, Jinichiro; Liu, Bo; Chorpening, Benjamin T.

    2018-03-01

    The potential to use single-crystal sapphire optical fiber as an alternative to silica optical fibers for sensing in high-temperature, high-pressure, and chemically aggressive harsh environments has been recognized for several decades. A key technological barrier to the widespread deployment of harsh environment sensors constructed with sapphire optical fibers has been the lack of an optical cladding that is durable under these conditions. However, researchers have not yet succeeded in incorporating a high-temperature cladding process into the typical fabrication process for single-crystal sapphire fibers, which generally involves seed-initiated fiber growth from the molten oxide state. While a number of advances in fabrication of a cladding after fiber-growth have been made over the last four decades, none have successfully transitioned to a commercial manufacturing process. This paper reviews the various strategies and techniques for fabricating an optically clad sapphire fiber which have been proposed and explored in published research. The limitations of current approaches and future prospects for sapphire fiber cladding are discussed, including fabrication methods and materials. The aim is to provide an understanding of the past research into optical cladding of sapphire fibers and to assess possible material systems for future research on this challenging problem for harsh environment sensors.

  14. Effect of Ti:sapphire laser on shear bond strength of orthodontic brackets to ceramic surfaces.

    PubMed

    Erdur, Emire Aybuke; Basciftci, Faruk Ayhan

    2015-08-01

    With increasing demand for orthodontic treatments in adults, orthodontists continue to debate the optimal way to prepare ceramic surfaces for bonding. This study evaluated the effects of a Ti:sapphire laser on the shear bond strength (SBS) of orthodontic brackets bonded to two ceramic surfaces (feldspathic and IPS Empress e-Max) and the results were compared with those using two other lasers (Er:YAG and Nd:YAG) and 'conventional' techniques, i.e., sandblasting (50 µm) and hydrofluoric (HF) acid. In total, 150 ceramic discs were prepared and divided into two groups. In each group, the following five subgroups were prepared: Ti:sapphire laser, Nd:YAG laser, Er:YAG laser, sandblasting, and HF acid. Mandibular incisor brackets were bonded using a light-cured adhesive. The samples were stored in distilled water for 24 hours at 37°C and then thermocycled. Extra samples were prepared and examined using scanning electron microscopy (SEM). SBS testing was performed and failure modes were classified. ANOVA and Tukey's HSD tests were used to compare SBS among the five subgroups (P < 0.05). Feldspathic and IPS Empress e-Max ceramics had similar SBS values. The Ti:sapphire femtosecond laser (16.76 ± 1.37 MPa) produced the highest mean bond strength, followed by sandblasting (12.79 ± 1.42 MPa) and HF acid (11.28 ± 1.26 MPa). The Er:YAG (5.43 ± 1.21 MPa) and Nd:YAG laser (5.36 ± 1.04 MPa) groups were similar and had the lowest SBS values. More homogeneous and regular surfaces were observed in the ablation pattern with the Ti:sapphire laser than with the other treatments by SEM analysis. Within the limitations of this in vitro study, Ti:sapphire laser- treated surfaces had the highest SBS values. Therefore, this technique may be useful for the pretreatment of ceramic surfaces as an alternative to 'conventional' techniques. © 2015 Wiley Periodicals, Inc.

  15. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  16. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  17. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  18. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  19. Titanium-doped sapphire laser research and design study

    NASA Technical Reports Server (NTRS)

    Moulton, Peter F.

    1987-01-01

    Three main topics were considered in this study: the fundamental laser parameters of titanium-doped sapphire, characterization of commercially grown material, and design of a tunable, narrow-linewidth laser. Fundamental parameters investigated included the gain cross section, upper-state lifetime as a function of temperature and the surface-damage threshold. Commercial material was found to vary widely in the level of absorption of the laser wavelength with the highest absorption in Czochralski-grown crystals. Several Yi:sapphire lasers were constructed, including a multimode laser with greater than 50mJ of output energy and a single-transverse-mode ring laser, whose spectral and temporal characteristics were completely characterized. A design for a narrow-linewidth (single-frequency) Ti:sapphire laser was developed, based on the results of the experimental work. The design involves the use of a single-frequency, quasi-cw master oscillator, employed as an injection source for a pulsed ring laser.

  20. Changes in boron fiber strength due to surface removal by chemical etching

    NASA Technical Reports Server (NTRS)

    Smith, R. J.

    1976-01-01

    The effects of chemical etching on the tensile strength of commercial boron/tungsten fibers were investigated. Fibers with as-received diameters of 203, 143, and 100 micrometers were etched to diameters as small as 43 micrometers. The etching generally resulted in increasing fiber tensile strength with decreasing fiber diameter. And for the 203 micrometer fibers there was an accompanying significant decrease in the coefficient of variation of the tensile strength for diameters down to 89 micrometers. Heat treating these fibers above 1,173 K in a vacuum caused a marked decrease in the average tensile strength of at least 80 percent. But after the fibers were etched, their strengths exceeded the as-received strengths. The tensile strength behavior is explained in terms of etching effects on surface flaws and the residual stress pattern of the as-received fibers.

  1. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    NASA Astrophysics Data System (ADS)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  2. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  3. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  4. Utilization of optical emission endpoint in photomask dry etch processing

    NASA Astrophysics Data System (ADS)

    Faure, Thomas B.; Huynh, Cuc; Lercel, Michael J.; Smith, Adam; Wagner, Thomas

    2002-03-01

    Use of accurate and repeatable endpoint detection during dry etch processing of photomask is very important for obtaining good mask mean-to-target and CD uniformity performance. It was found that the typical laser reflectivity endpoint detecting system used on photomask dry etch systems had several key limitations that caused unnecessary scrap and non-optimum image size performance. Consequently, work to develop and implement use of a more robust optical emission endpoint detection system for chrome dry etch processing of photomask was performed. Initial feasibility studies showed that the emission technique was sensitive enough to monitor pattern loadings on contact and via level masks down to 3 percent pattern coverage. Additional work was performed to further improve this to 1 percent pattern coverage by optimizing the endpoint detection parameters. Comparison studies of mask mean-to-target performance and CD uniformity were performed with the use of optical emission endpoint versus laser endpoint for masks built using TOK IP3600 and ZEP 7000 resist systems. It was found that an improvement in mean-to-target performance and CD uniformity was realized on several types of production masks. In addition, part-to-part endpoint time repeatability was found to be significantly improved with the use of optical emission endpoint.

  5. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  6. DPSSL pumped 20-TW Ti:sapphire laser system for DD fusion experiment

    NASA Astrophysics Data System (ADS)

    Sekine, T.; Hatano, Y.; Takeuchi, Y.; Kawashima, T.

    2016-03-01

    A diode-pumped solid-state laser (DPSSL) pumped 20-TW output Ti:sapphire laser system has been developed. A diode-pumped Nd:glass laser with output energy of 12.7 J in 527 nm was used as a pump source for a 20-TW Ti:sapphire amplifier. A CeLiB6O10 nonlinear optical crystal was used as a frequency doubler of the Nd:glass DPSSL[1]. Figure 1 shows typical output pulse energy of the 20-TW amplifier as a function of pumping energy and a near field pattern. A 1.65 J pulse energy was obtained by 4.5 J pump energy. The amplified seed pulse is compressed to typically 60 fs as shown in Fig. 1 by a vacuumed pulse compressor with 80% of transmissivity. Encircled energy ratio, into a circled with 8 μm diameter area, of far field pattern focused by off-axis parabolic mirror with F# of 3 is numerically evaluated to 40% at TW class output condition. Then focal intensity would reach to 1018W/cm2. This all- DPSSL system contributes for stable and continual investigation of laser induced plasma experiment. We have succeeded continual and high efficient generation of DD fusion neutron from CD nano-particles by cluster fusion scheme using the 20-TW laser. A yield of ∼105 neutrons per shot was stably observed during continuous 100 shots with repetition rate of 0.1Hz.

  7. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  8. Fibrous dosage forms by wet 3D-micro-patterning: process design, manufacture, and drug release rate.

    PubMed

    Blaesi, Aron H; Saka, Nannaji

    2018-06-19

    Recently, we have introduced fibrous dosage forms prepared by 3D-micro-patterning of drug-laden viscous melts. Such dosage forms enable predictable microstructures and increased drug release rates, and they can be manufactured continuously. However, melt processing is not applicable if the melting temperature of the formulation is greater than the degradation temperature of the drug or of the excipient. In this work, therefore, a continuous wet micro-patterning process that operates at ambient temperature is presented. The excipient is plasticized by a solvent and the patterned dosage form is solidified by air drying. Process models show that the micro-patterning time is the ratio of the fiber length in the dosage form and the velocity of the fiber stream. It was 1.3 minutes in the experiments, but can be reduced further. The drying time is limited by the diffusive flux of solvent through the fibers: it was about 3 minutes for the experimental conditions. Furthermore, models are developed to illustrate the effects of fiber radius, inter-fiber spacing, viscosity of the drug-excipient-solvent mixture, and drying conditions on the microstructure of the dosage form. Models and experimental results show that for a viscosity of the wet fibers of the order 10 3 Pa·s, both the patterned microstructure is well preserved and the crossed fibers are well bonded. Finally, the drug release rate by the dosage forms is experimentally determined and theoretically modeled. The results of the experiments validate the models fairly. Copyright © 2018. Published by Elsevier B.V.

  9. Hybrid silicon honeycomb/organic solar cells with enhanced efficiency using surface etching.

    PubMed

    Liu, Ruiyuan; Sun, Teng; Liu, Jiawei; Wu, Shan; Sun, Baoquan

    2016-06-24

    Silicon (Si) nanostructure-based photovoltaic devices are attractive for their excellent optical and electrical performance, but show lower efficiency than their planar counterparts due to the increased surface recombination associated with the high surface area and roughness. Here, we demonstrate an efficiency enhancement for hybrid nanostructured Si/polymer solar cells based on a novel Si honeycomb (SiHC) structure using a simple etching method. SiHC structures are fabricated using a combination of nanosphere lithography and plasma treatment followed by a wet chemical post-etching. SiHC has shown superior light-trapping ability in comparison with the other Si nanostructures, along with a robust structure. Anisotropic tetramethylammonium hydroxide etching not only tunes the final surface morphologies of the nanostructures, but also reduces the surface roughness leading to a lower recombination rate in the hybrid solar cells. The suppressed recombination loss, benefiting from the reduced surface-to-volume ratio and roughness, has resulted in a high open-circuit voltage of 600 mV, a short-circuit current of 31.46 mA cm(-2) due to the light-trapping ability of the SiHCs, and yields a power conversion efficiency of 12.79% without any other device structure optimization.

  10. Molecular-orbital model for metal-sapphire interfacial strength

    NASA Technical Reports Server (NTRS)

    Johnson, K. H.; Pepper, S. V.

    1982-01-01

    Self-consistent-field X-Alpha scattered-wave cluster molecular-orbital models have been constructed for transition and noble metals (Fe, Ni, Cu, and Ag) in contact with a sapphire (Al2O3) surface. It is found that a chemical bond is established between the metal d-orbital electrons and the nonbonding 2p-orbital electrons of the oxygen anions on the Al2O3 surface. An increasing number of occupied metal-sapphire antibonding molecular orbitals explains qualitatively the observed decrease of contact shear strength through the series Fe, Ni, Cu, and Ag.

  11. Modified TMAH based etchant for improved etching characteristics on Si{1 0 0} wafer

    NASA Astrophysics Data System (ADS)

    Swarnalatha, V.; Narasimha Rao, A. V.; Ashok, A.; Singh, S. S.; Pal, P.

    2017-08-01

    Wet bulk micromachining is a popular technique for the fabrication of microstructures in research labs as well as in industry. However, increasing the throughput still remains an active area of research, and can be done by increasing the etching rate. Moreover, the release time of a freestanding structure can be reduced if the undercutting rate at convex corners can be improved. In this paper, we investigate a non-conventional etchant in the form of NH2OH added in 5 wt% tetramethylammonium hydroxide (TMAH) to determine its etching characteristics. Our analysis is focused on a Si{1 0 0} wafer as this is the most widely used in the fabrication of planer devices (e.g. complementary metal oxide semiconductors) and microelectromechanical systems (e.g. inertial sensors). We perform a systematic and parametric analysis with concentrations of NH2OH varying from 5% to 20% in step of 5%, all in 5 wt% TMAH, to obtain the optimum concentration for achieving improved etching characteristics including higher etch rate, undercutting at convex corners, and smooth etched surface morphology. Average surface roughness (R a), etch depth, and undercutting length are measured using a 3D scanning laser microscope. Surface morphology of the etched Si{1 0 0} surface is examined using a scanning electron microscope. Our investigation has revealed a two-fold increment in the etch rate of a {1 0 0} surface with the addition of NH2OH in the TMAH solution. Additionally, the incorporation of NH2OH significantly improves the etched surface morphology and the undercutting at convex corners, which is highly desirable for the quick release of microstructures from the substrate. The results presented in this paper are extremely useful for engineering applications and will open a new direction of research for scientists in both academic and industrial laboratories.

  12. Strain Evolution of Annealed Hydrogen-Implanted (0001) Sapphire

    NASA Astrophysics Data System (ADS)

    Wong, Christine Megan

    Exfoliation is a technique used to remove a thin, uniform layer of material from the bulk that involves the annealing of hydrogen ion-implanted materials in order to initiate defect nucleation and growth leading to guided crack propagation. This study presents an investigation into the annealing process required to initiate blistering (an essential precursor to exfoliation) in (0001) sapphire implanted at room temperature with hydrogen ions. Triple axis x-ray diffraction was used to characterize the evolution of the implanted layer for single crystal (0001) sapphire substrates implanted at room temperature at 360 keV with either a 5x1016 cm -2 or 8x1016 cm-2 dose of hydrogen ions. A simulation of the ion distribution in TRIM estimated that the projected range and thickness of the implanted layer for both doses was approximately 2.2 mum. Following implantation, the implanted sapphire was annealed using a two-step annealing procedure. The first step was performed at a lower temperature, ideally to nucleate and coarsen defects. Temperatures investigated ranged from 550 - 650 °C. The second step was performed at a higher temperature (800 °C) to induce further defect coarsening and surface blistering. After all annealing steps, triple axis o/2theta and o scans were taken to observe any changes in the diffraction profile - namely, any reduction in the amplitude and shift in the location of the fringes associated with strain in the crystal - which would correlate with defect growth and nucleation. It was found that significant strain fringe reduction first occurred after annealing at 650 °C for 8 hours for both doses; however, it was not clear whether or not this strain reduction was due primarily to hydrogen diffusion or to recovery of other defects induced during the ion implantation. The o/2theta curves were then fit using Bede RADS in order to quantify the strain within the crystal and confirm the reduction of the strained layer within the crystal. Finally

  13. Etch-free patterning of poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) for optoelectronics.

    PubMed

    Rutledge, Steven A; Helmy, Amr S

    2015-02-25

    Spatial control of the conductivity of poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) is demonstrated through the use of ultraviolet (UV) exposure. With appropriate UV exposure, electrical characterization shows that the in-plane sheet resistance of PEDOT:PSS films is increased by 4 orders of magnitude compared to unexposed regions. Characterization of the films using Raman spectroscopy identifies a significant reduction of the inter-ring stretching modes between PEDOT monomers and a morphological shift from the quinoid to benzoid form of PEDOT. Additional analysis using Fourier transform infrared spectroscopy indicates a reduction in film doping and a decrease in C═C vibrational modes that are associated with PEDOT oligomer length. Height and phase images of these films obtained from atomic force microscopy exhibit a loss of phase segregation in the film between the PEDOT grains and PSS regions. Spectroscopic ellipsometry highlights an increase in both the real and imaginary components of the index upon UV exposure. This broad range of analysis consistently suggests that the increased resistivity can be attributed to a significant reduction in material doping caused by scission-driven decomposition of the conductive PEDOT chains. When flood exposure is combined with the use of an appropriate UV blocking mask, patterning in the conductivity of PEDOT:PSS films can be realized. In contrast to other patterning approaches, no resist development or etching is required for the electrical isolation of certain regions. To demonstrate the efficacy of this process, an organic light emitting diode was fabricated with UV-patterned PEDOT:PSS as a hole transport layer. The regions of unexposed PEDOT:PSS produced electroluminescence, whereas those exposed to UV remained unlit, enabling the realization of pixelated illumination with no removal of material.

  14. BOND STRENGTH AND MORPHOLOGY OF ENAMEL USING SELF-ETCHING ADHESIVE SYSTEMS WITH DIFFERENT ACIDITIES

    PubMed Central

    Moura, Sandra Kiss; Reis, Alessandra; Pelizzaro, Arlete; Dal-Bianco, Karen; Loguercio, Alessandro Dourado; Arana-Chavez, Victor Elias; Grande, Rosa Helena Miranda

    2009-01-01

    Objectives: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. Material and methods: Composite resin (Filtek Z250) buildups were bonded to untreated (prophylaxis) and treated (burcut or SiC-paper) enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition): Clearfil SE Bond (CSE); OptiBond Solo Plus Self-Etch (OP); AdheSe (AD); Tyrian Self Priming Etching (TY), Adper Scotchbond Multi-Purpose Plus (SBMP) and Adper Single Bond (SB). After storage in water (24 h/37°C), the bonded specimens were sectioned into sticks with 0.8 mm2 cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa) were subjected to two-way ANOVA and Tukey's test (α=0.05). The etching patterns of the adhesive systems were also observed with a scanning electron microscope. Results: The main factor adhesive system was statistically significant (p<0.05). The mean bond strength values (MPa) and standard deviations were: CSE (20.5±3.5), OP (11.3±2.3), AD (11.2±2.8), TY (11.1±3.0), SBMP (21.9±4.0) and SB (24.9±3.0). Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. Conclusion: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed. PMID:19668991

  15. Three dimensional characterization of GaN-based light emitting diode grown on patterned sapphire substrate by confocal Raman and photoluminescence spectromicroscopy.

    PubMed

    Li, Heng; Cheng, Hui-Yu; Chen, Wei-Liang; Huang, Yi-Hsin; Li, Chi-Kang; Chang, Chiao-Yun; Wu, Yuh-Renn; Lu, Tien-Chang; Chang, Yu-Ming

    2017-03-30

    We performed depth-resolved PL and Raman spectral mappings of a GaN-based LED structure grown on a patterned sapphire substrate (PSS). Our results showed that the Raman mapping in the PSS-GaN heterointerface and the PL mapping in the In x Ga 1-x N/GaN MQWs active layer are spatially correlated. Based on the 3D construction of E 2 (high) Raman peak intensity and frequency shift, V-shaped pits in the MQWs can be traced down to the dislocations originated in the cone tip area of PSS. Detail analysis of the PL peak distribution further revealed that the indium composition in the MQWs is related to the residual strain propagating from the PSS-GaN heterointerface toward the LED surface. Numerical simulation based on the indium composition distribution also led to a radiative recombination rate distribution that shows agreement with the experimental PL intensity distribution in the In x Ga 1-x N/GaN MQWs active layer.

  16. Three dimensional characterization of GaN-based light emitting diode grown on patterned sapphire substrate by confocal Raman and photoluminescence spectromicroscopy

    PubMed Central

    Li, Heng; Cheng, Hui-Yu; Chen, Wei-Liang; Huang, Yi-Hsin; Li, Chi-Kang; Chang, Chiao-Yun; Wu, Yuh-Renn; Lu, Tien-Chang; Chang, Yu-Ming

    2017-01-01

    We performed depth-resolved PL and Raman spectral mappings of a GaN-based LED structure grown on a patterned sapphire substrate (PSS). Our results showed that the Raman mapping in the PSS-GaN heterointerface and the PL mapping in the InxGa1−xN/GaN MQWs active layer are spatially correlated. Based on the 3D construction of E2(high) Raman peak intensity and frequency shift, V-shaped pits in the MQWs can be traced down to the dislocations originated in the cone tip area of PSS. Detail analysis of the PL peak distribution further revealed that the indium composition in the MQWs is related to the residual strain propagating from the PSS-GaN heterointerface toward the LED surface. Numerical simulation based on the indium composition distribution also led to a radiative recombination rate distribution that shows agreement with the experimental PL intensity distribution in the InxGa1−xN/GaN MQWs active layer. PMID:28358119

  17. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    PubMed

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  18. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  19. Patterns of primary succession of native and introduced plants in lowland wet forests in eastern Hawaii

    Treesearch

    Naupaka Zimmerman; Flint 1 Hughes; Patrick Hart; Heather Kalei Chang; David Perez; Ryan Kaipoalohaakala Like; Rebecca Ostertag

    2008-01-01

    The majority of Hawaii's lowland wet forests no longer exist, with many of the last remaining patches found on the eastern, windward sides of the largest islands. To better understand successional patterns and invasion in these native systems, we quantified basal area (BA) and densities of woody species and understory cover at nine sites in the Puna district on...

  20. Wet-chemical systems and methods for producing black silicon substrates

    DOEpatents

    Yost, Vernon; Yuan, Hao-Chih; Page, Matthew

    2015-05-19

    A wet-chemical method of producing a black silicon substrate. The method comprising soaking single crystalline silicon wafers in a predetermined volume of a diluted inorganic compound solution. The substrate is combined with an etchant solution that forms a uniform noble metal nanoparticle induced Black Etch of the silicon wafer, resulting in a nanoparticle that is kinetically stabilized. The method comprising combining with an etchant solution having equal volumes acetonitrile/acetic acid:hydrofluoric acid:hydrogen peroxide.

  1. Nucleation sites of Ge nanoislands grown on pit-patterned Si substrate prepared by electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Smagina, Zh. V.; Zinovyev, V. A.; Rudin, S. A.; Novikov, P. L.; Rodyakina, E. E.; Dvurechenskii, A. V.

    2018-04-01

    Regular pit-patterned Si(001) substrates were prepared by electron-beam lithography followed by plasma chemical etching. The geometry of the pits was controlled by varying the etching conditions and the electron-beam exposure duration. It was shown that the location of three-dimensional (3D) Ge nanoislands subsequently grown on the pit-patterned Si substrates depends on the shape of the pit bottom. In the case of pits having a sharp bottom, 3D Ge islands nucleate inside the pits. For pits with a wide flat bottom, the 3D Ge island nucleation takes place at the pit periphery. This effect is attributed to the strain relaxation depending not only on the initial pit shape, but also on its evolution during the Ge wetting layer deposition. It was shown by Monte Carlo simulations that in the case of a pit with a pointed bottom, the relaxation is most effective inside the pit, while for a pit with a wide bottom, the most relaxed area migrates during Ge deposition from the pit bottom to its edges, where 3D Ge islands nucleate.

  2. Highly flexible transparent electrodes based on mesh-patterned rigid indium tin oxide.

    PubMed

    Sakamoto, Kosuke; Kuwae, Hiroyuki; Kobayashi, Naofumi; Nobori, Atsuki; Shoji, Shuichi; Mizuno, Jun

    2018-02-12

    We developed highly bendable transparent indium tin oxide (ITO) electrodes with a mesh pattern for use in flexible electronic devices. The mesh patterns lowered tensile stress and hindered propagation of cracks. Simulations using the finite element method confirmed that the mesh patterns decreased tensile stress by over 10% because of the escaped strain to the flexible film when the electrodes were bent. The proposed patterned ITO electrodes were simply fabricated by photolithography and wet etching. The resistance increase ratio of a mesh-patterned ITO electrode after bending 1000 times was at least two orders of magnitude lower than that of a planar ITO electrode. In addition, crack propagation was stopped by the mesh pattern of the patterned ITO electrode. A mesh-patterned ITO electrode was used in a liquid-based organic light-emitting diode (OLED). The OLED displayed the same current density-voltage-luminance (J-V-L) curves before and after bending 100 times. These results indicate that the developed mesh-patterned ITO electrodes are attractive for use in flexible electronic devices.

  3. Isotropically etched radial micropore for cell concentration, immobilization, and picodroplet generation.

    PubMed

    Perroud, Thomas D; Meagher, Robert J; Kanouff, Michael P; Renzi, Ronald F; Wu, Meiye; Singh, Anup K; Patel, Kamlesh D

    2009-02-21

    To enable several on-chip cell handling operations in a fused-silica substrate, small shallow micropores are radially embedded in larger deeper microchannels using an adaptation of single-level isotropic wet etching. By varying the distance between features on the photolithographic mask (mask distance), we can precisely control the overlap between two etch fronts and create a zero-thickness semi-elliptical micropore (e.g. 20 microm wide, 6 microm deep). Geometrical models derived from a hemispherical etch front show that micropore width and depth can be expressed as a function of mask distance and etch depth. These models are experimentally validated at different etch depths (25.03 and 29.78 microm) and for different configurations (point-to-point and point-to-edge). Good reproducibility confirms the validity of this approach to fabricate micropores with a desired size. To illustrate the wide range of cell handling operations enabled by micropores, we present three on-chip functionalities: continuous-flow particle concentration, immobilization of single cells, and picoliter droplet generation. (1) Using pressure differentials, particles are concentrated by removing the carrier fluid successively through a series of 44 shunts terminated by 31 microm wide, 5 microm deep micropores. Theoretical values for the concentration factor determined by a flow circuit model in conjunction with finite volume modeling are experimentally validated. (2) Flowing macrophages are individually trapped in 20 microm wide, 6 microm deep micropores by hydrodynamic confinement. The translocation of transcription factor NF-kappaB into the nucleus upon lipopolysaccharide stimulation is imaged by fluorescence microscopy. (3) Picoliter-sized droplets are generated at a 20 microm wide, 7 microm deep micropore T-junction in an oil stream for the encapsulation of individual E. coli bacteria cells.

  4. [The design of all solid-state tunable pulsed Ti:sapphire laser system].

    PubMed

    Chen, Zhe; Ku, Geng; Wan, Junchao; Wang, Wei; Zhou, Chuanqing

    2013-05-01

    This paper presented a design of broadly all solid-state tunable pulsed Ti:sapphire laser with high power and stable performance. The laser was pumped by custom-made Nd:YAG laser which had water cooling system and amplified by two stage amplifier. The method accomplished tunable output of all solid-state tunable pulsed Ti:sapphire laser by modifying the reflection angle of the back mirror. We investigated the relationship between the power of the pumping laser and the all solid-state tunable pulsed Ti: sapphire laser by changing the power of the pumping source.

  5. New insights into trace element wet deposition in the Himalayas: amounts, seasonal patterns, and implications.

    PubMed

    Cong, Zhiyuan; Kang, Shichang; Zhang, Yulan; Gao, Shaopeng; Wang, Zhongyan; Liu, Bin; Wan, Xin

    2015-02-01

    Our research provides the first complete year-long dataset of wet deposition of trace elements in the high Himalayas based on a total of 42 wet deposition events on the northern slope of Mt. Qomolangma (Everest). Except for typical crustal elements (Al, Fe, and Mn), the concentration level of most trace elements (Sc, V, Cr, Co, Ni, Cu, Zn, As, Mo, Cd, Sn, Cs, Pb, Bi, and U) are generally comparable to those preserved in snow pits and ice cores from the nearby East Rongbuk Glacier. Cadmium was the element most affected by anthropogenic emissions. No pronounced seasonal variations are observed for most trace elements despite different transport pathways. In our study, the composition of wet precipitation reflects a regional background condition and is not clearly related to specific source regions. For the trace element record from ice cores and snow pits in the Himalayas, it could be deduced that the pronounced seasonal patterns were caused by the dry deposition of trace elements (aerosols) during their long exposure to the atmosphere after precipitation events. Our findings are of value for the understanding of the trace element deposition mechanisms in the Himalayas.

  6. Electron-beam induced nano-etching of suspended graphene

    PubMed Central

    Sommer, Benedikt; Sonntag, Jens; Ganczarczyk, Arkadius; Braam, Daniel; Prinz, Günther; Lorke, Axel; Geller, Martin

    2015-01-01

    Besides its interesting physical properties, graphene as a two-dimensional lattice of carbon atoms promises to realize devices with exceptional electronic properties, where freely suspended graphene without contact to any substrate is the ultimate, truly two-dimensional system. The practical realization of nano-devices from suspended graphene, however, relies heavily on finding a structuring method which is minimally invasive. Here, we report on the first electron beam-induced nano-etching of suspended graphene and demonstrate high-resolution etching down to ~7 nm for line-cuts into the monolayer graphene. We investigate the structural quality of the etched graphene layer using two-dimensional (2D) Raman maps and demonstrate its high electronic quality in a nano-device: A 25 nm-wide suspended graphene nanoribbon (GNR) that shows a transport gap with a corresponding energy of ~60 meV. This is an important step towards fast and reliable patterning of suspended graphene for future ballistic transport, nano-electronic and nano-mechanical devices. PMID:25586495

  7. Application of cyclic fluorocarbon/argon discharges to device patterning

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metzler, Dominik, E-mail: dmetzler@umd.edu; Uppireddi, Kishore; Bruce, Robert L.

    2016-01-15

    With increasing demands on device patterning to achieve smaller critical dimensions and pitches for the 5 nm node and beyond, the need for atomic layer etching (ALE) is steadily increasing. In this work, a cyclic fluorocarbon/Ar plasma is successfully used for ALE patterning in a manufacturing scale reactor. Self-limited etching of silicon oxide is observed. The impact of various process parameters on the etch performance is established. The substrate temperature has been shown to play an especially significant role, with lower temperatures leading to higher selectivity and lower etch rates, but worse pattern fidelity. The cyclic ALE approach established with thismore » work is shown to have great potential for small scale device patterning, showing self-limited etching, improved uniformity and resist mask performance.« less

  8. Application of cyclic fluorocarbon/argon discharges to device patterning

    DOE PAGES

    Metzler, Dominik; Uppiredi, Kishore; Bruce, Robert L.; ...

    2015-11-13

    With increasing demands on device patterning to achieve smaller critical dimensions and pitches for the 5nm node and beyond, the need for atomic layer etching (ALE) is steadily increasing. In this study, a cyclic fluorocarbon/Ar plasma is successfully used for ALE patterning in a manufacturing scale reactor. Self-limited etching of silicon oxide is observed. The impact of various process parameters on the etch performance is established. The substrate temperature has been shown to play an especially significant role, with lower temperatures leading to higher selectivity and lower etch rates, but worse pattern fidelity. The cyclic ALE approach established with thismore » work is shown to have great potential for small scale device patterning, showing self-limited etching, improved uniformity and resist mask performance.« less

  9. Selforganized Structure Formation in Organized Microstructuring by Laser-Jet Etching

    NASA Astrophysics Data System (ADS)

    Rabbow, T. J.; Plath, P. J.; Mora, A.; Haase, M.

    Laser-jet induced wet etching of stainless steel in 5M H3PO4 has been investigated. By this method, it is possible to cut and microstructure metals and alloys that form passive layers in strong etchants. Due to the laser heating of the metal and the adjacent layers of the etchant, the passive layer is removed and an active dissolution of the base metal together with the formation of hydrogen is observed. The reactions are limited by the transport of fresh acid and the removal of dissolved metal. A jet of etchant reduces the transport limitations. For definite ranges of the laser power, the feed velocity and the etchant jet velocity, a regime of periodic structure formation of the kerf, often called ripples, has been found. The ripple length depends on all three parameters. The ripple formation can be brought into correlation with a periodic change of the intensity of the reflected light as well as oscillations of the potential workpiece. It could be shown that the periodic structure formation is connected to a spreading of an etching front from the laser activated area, that temporarily moves ahead to the laser. This leads to modulations of the interface for the laser absorption, which results, for example, in oscillations of the intensity of the reflected light. This means the laser induced etching reaction attracts a feedback based on the conditions of absorption for the laser. For those parameters of feed velocity, laser power and etchant jet velocity, without ripple formation the laser induced etching front is of a constant distance to the laser which results in steady conditions at the interface for the absorption of the laser.

  10. Investigations of gain redshift in high peak power Ti:sapphire laser systems

    NASA Astrophysics Data System (ADS)

    Wu, Fenxiang; Yu, Linpeng; Zhang, Zongxin; Li, Wenkai; Yang, Xiaojun; Wu, Yuanfeng; Li, Shuai; Wang, Cheng; Liu, Yanqi; Lu, Xiaoming; Xu, Yi; Leng, Yuxin

    2018-07-01

    Gain redshift in high peak power Ti:sapphire laser systems can result in narrowband spectral output and hence lengthen the compressed pulse duration. In order to realize broadband spectral output in 10 PW-class Ti:sapphire lasers, the influence on gain redshift induced by spectral pre-shaping, gain distribution of cascaded amplifiers and Extraction During Pumping (EDP) technique have been investigated. The theoretical and experimental results show that the redshift of output spectrum is sensitive to the spectral pre-shaping and the gain distribution of cascaded amplifiers, while insensitive to the pumping scheme with or without EDP. Moreover, the output spectrum from our future 10 PW Ti:sapphire laser is theoretically analyzed based on the investigations above, which indicates that a Fourier-transform limited (FTL) pulse duration of 21 fs can be achieved just by optimizing the spectral pre-shaping and gain distribution in 10 PW-class Ti:sapphire lasers.

  11. Faster and exact implementation of the continuous cellular automaton for anisotropic etching simulations

    NASA Astrophysics Data System (ADS)

    Ferrando, N.; Gosálvez, M. A.; Cerdá, J.; Gadea, R.; Sato, K.

    2011-02-01

    The current success of the continuous cellular automata for the simulation of anisotropic wet chemical etching of silicon in microengineering applications is based on a relatively fast, approximate, constant time stepping implementation (CTS), whose accuracy against the exact algorithm—a computationally slow, variable time stepping implementation (VTS)—has not been previously analyzed in detail. In this study we show that the CTS implementation can generate moderately wrong etch rates and overall etching fronts, thus justifying the presentation of a novel, exact reformulation of the VTS implementation based on a new state variable, referred to as the predicted removal time (PRT), and the use of a self-balanced binary search tree that enables storage and efficient access to the PRT values in each time step in order to quickly remove the corresponding surface atom/s. The proposed PRT method reduces the simulation cost of the exact implementation from {O}(N^{5/3}) to {O}(N^{3/2} log N) without introducing any model simplifications. This enables more precise simulations (only limited by numerical precision errors) with affordable computational times that are similar to the less precise CTS implementation and even faster for low reactivity systems.

  12. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    NASA Astrophysics Data System (ADS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min-1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ.

  13. Earthworm abundance and distribution pattern in contrasting plant communities within a tropical wet forest in Puerto Rico

    Treesearch

    G. Gonzalez; X. Zou; A. Sabat; N. Fetcher

    1999-01-01

    Plant communities may impose strong control on soil fauna properties. We examined the abundance and distribution pattern of earthworms in two contrasting plant communities within a tropical wet forest in Puerto Rico. The Dacryodes community occurs in well-drained soils and is dominated by Dacryodes excels, Manilkara bidentata, Guarea guidonea, and Sloanea berteriana....

  14. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    NASA Astrophysics Data System (ADS)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  15. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    PubMed

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  16. Cl 2-based dry etching of the AlGaInN system in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Cho, Hyun; Vartuli, C. B.; Abernathy, C. R.; Donovan, S. M.; Pearton, S. J.; Shul, R. J.; Han, J.

    1998-12-01

    Cl 2-Based inductively coupled plasmas with low additional d.c. self-biases (-100 V) produce convenient etch rates (500-1500 Å·min -1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N 2, H 2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl 2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.

  17. Investigation of the layout and optical proximity correction effects to control the trench etching process on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kyoung, Sinsu; Jung, Eun-Sik; Sung, Man Young

    2017-07-01

    Although trench gate and super-junction technology have micro-trench problems when applied to the SiC process due to the material characteristics. In this paper, area effects are analyzed from the test element group with various patterns and optical proximity correction (OPC) methods are proposed and analyzed to reduce micro-trenches in the SiC trench etching process. First, the loading effects were analyzed from pattern samples with various trench widths (Wt). From experiments, the area must limited under a proper size for a uniform etching profile and reduced micro-trenches because a wider area accelerates the etch rate. Second, the area effects were more severely unbalanced at corner patterns because the corner pattern necessarily has an in-corner and out-corner that have different etching areas to each other. We can balance areas using OPC patterns to overcome this. Experiments with OPC represented improved micro-trench profile from when comparing differences of trench depth (Δdt) at out corner and in corner. As a result, the area effects can be used to improve the trench profile with optimized etching process conditions. Therefore, the trench gate and super-junction pillar of the SiC power MOSFET can have an improved uniform profile without micro-trenches using proper design and OPC.[Figure not available: see fulltext.

  18. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  19. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    NASA Astrophysics Data System (ADS)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  20. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  1. Six-month evaluation of adhesives interface created by a hydrophobic adhesive to acid-etched ethanol-wet bonded dentine with simplified dehydration protocols.

    PubMed

    Sadek, Fernanda T; Mazzoni, Annalisa; Breschi, Lorenzo; Tay, Franklin R; Braga, Roberto R

    2010-04-01

    To evaluate the efficacy of simplified dehydration protocols, in the absence of tubular occlusion, on bond strength and interfacial nanoleakage of a hydrophobic experimental adhesive blend to acid-etched, ethanol-dehydrated dentine immediately and after 6 months. Molars were randomly assigned to 6 treatment groups (n=5). Under pulpal pressure simulation, dentine crowns were acid-etched with 35% H(3)PO(4) and rinsed with water. Adper Scotchbond Multi-Purpose was used for the control group. The remaining groups had their dentine surface dehydrated with ethanol solutions: group 1=50%, 70%, 80%, 95% and 3x100%, 30s for each application; group 2 the same ethanol sequence with 15s for each solution; groups 3, 4 and 5 used 100% ethanol only, applied in seven, three or one 30s step, respectively. After dehydration, a primer (50% BisGMA+TEGDMA, 50% ethanol) was used, followed by the neat comonomer adhesive application. Resin composite build-ups were then prepared using an incremental technique. Specimens were stored for 24h, sectioned into beams and stressed to failure after 24h or after 6 months of artificial ageing. Interfacial silver leakage evaluation was performed for both storage periods (n=5 per subgroup). Group 1 showed higher bond strengths at 24h or after 6 months of ageing (45.6+/-5.9(a)/43.1+/-3.2(a)MPa) and lower silver impregnation. Bond strength results were statistically similar to control group (41.2+/-3.3(ab)/38.3+/-4.0(ab)MPa), group 2 (40.0+/-3.1(ab)/38.6+/-3.2(ab)MPa), and group 3 at 24h (35.5+/-4.3(ab)MPa). Groups 4 (34.6+/-5.7(bc)/25.9+/-4.1(c)MPa) and 5 (24.7+/-4.9(c)/18.2+/-4.2(c)MPa) resulted in lower bond strengths, extensive interfacial nanoleakage and more prominent reductions (up to 25%) in bond strengths after 6 months of ageing. Simplified dehydration protocols using one or three 100% ethanol applications should be avoided for the ethanol-wet bonding technique in the absence of tubular occlusion, as they showed decreased bond strength, more

  2. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  3. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  4. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  5. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    NASA Astrophysics Data System (ADS)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  6. In situ chemical functionalization of gallium nitride with phosphonic acid derivatives during etching.

    PubMed

    Wilkins, Stewart J; Greenough, Michelle; Arellano, Consuelo; Paskova, Tania; Ivanisevic, Albena

    2014-03-04

    In situ functionalization of polar (c plane) and nonpolar (a plane) gallium nitride (GaN) was performed by adding (3-bromopropyl) phosphonic acid or propyl phosphonic acid to a phosphoric acid etch. The target was to modulate the emission properties and oxide formation of GaN, which was explored through surface characterization with atomic force microscopy, X-ray photoelectron spectroscopy, photoluminescence (PL), inductively coupled plasma-mass spectrometry, and water contact angle. The use of (3-bromopropyl) phosphonic acid and propyl phosphonic acid in phosphoric acid demonstrated lower amounts of gallium oxide formation and greater hydrophobicity for both sample sets, while also improving PL emission of polar GaN samples. In addition to crystal orientation, growth-related factors such as defect density in bulk GaN versus thin GaN films residing on sapphire substrates were investigated as well as their responses to in situ functionalization. Thin nonpolar GaN layers were the most sensitive to etching treatments due in part to higher defect densities (stacking faults and threading dislocations), which accounts for large surface depressions. High-quality GaN (both free-standing bulk polar and bulk nonpolar) demonstrated increased sensitivity to oxide formation. Room-temperature PL stands out as an excellent technique to identify nonradiative recombination as observed in the spectra of heteroepitaxially grown GaN samples. The chemical methods applied to tune optical and physical properties of GaN provide a quantitative framework for future novel chemical and biochemical sensor development.

  7. Ethanol wet-bonding technique sensitivity assessed by AFM.

    PubMed

    Osorio, E; Toledano, M; Aguilera, F S; Tay, F R; Osorio, R

    2010-11-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed. Tested protocols were: (1) water-rinse (control); (2) 100% ethanol-rinse (1-min); (3) 100% ethanol-rinse (5-min); and (4) progressive ethanol replacement (50-100%). Surface roughness, fibril diameter, and interfibrillar spaces were determined with atomic force microscopy and analyzed by one-way analysis of variance and the Student-Newman-Keuls test (α = 0.05). Dentin roughness and fibril diameter significantly decreased when 100% ethanol (1-5 min) was used for rinsing (p < 0.001). Absolute ethanol produced collapse and shrinkage of collagen fibrils. Ascending ethanol concentrations did not collapse the matrix and shrank the fibrils less than absolute ethanol-rinses.

  8. Macroscale Transformation Optics Enabled by Photoelectrochemical Etching.

    PubMed

    Barth, David S; Gladden, Christopher; Salandrino, Alessandro; O'Brien, Kevin; Ye, Ziliang; Mrejen, Michael; Wang, Yuan; Zhang, Xiang

    2015-10-28

    Photoelectrochemical etching of silicon can be used to form lateral refractive index gradients for transformation optical devices. This technique allows the fabrication of macroscale devices with large refractive index gradients. Patterned porous layers can also be lifted from the substrate and transferred to other materials, creating more possibilities for novel devices. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  10. The fabrication and hydrophobic property of micro-nano patterned surface on magnesium alloy using combined sparking sculpture and etching route

    NASA Astrophysics Data System (ADS)

    Wu, Yunfeng; Wang, Yaming; Liu, Hao; Liu, Yan; Guo, Lixin; Jia, Dechang; Ouyang, Jiahu; Zhou, Yu

    2016-12-01

    Magnesium alloy with micro-nano structure roughness surface, can serve as the loading reservoirs of medicine capsule and industrial lubricating oil, or mimic 'lotus leaf' hydrophobic surface, having the potential applications in medical implants, automobile, aerospace and electronic products, etc. Herein, we propose a novel strategy to design a micro-nano structure roughness surface on magnesium alloy using combined microarc sparking sculpture and etching in CrO3 aqueous solution. A hydrophobic surface (as an applied example) was further fabricated by chemical decorating on the obtained patterned magnesium alloy surface to enhance the corrosion resistance. The results show that the combined micro-nano structure of 7-9 μm diameter big pores insetting with nano-scale fine pores was duplicated after etched the sparking sculptured 'over growth' oxide regions towards the magnesium substrate. The micro-nano structure surface was chemically decorated using AgNO3 and stearic acid, which enables the contact angle increased from 60° to 146.8°. The increasing contact angle is mainly attributed to the micro-nano structure and the chemical composition. The hydrophobic surface of magnesium alloy improved the corrosion potential from -1.521 V of the bare magnesium to -1.274 V. Generally, the sparking sculpture and then etching route demonstrates a low-cost, high-efficacy method to fabricate a micro-nano structure hydrophobic surface on magnesium alloy. Furthermore, our research on the creating of micro-nano structure roughness surface and the hydrophobic treatment can be easily extended to the other metal materials.

  11. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    NASA Astrophysics Data System (ADS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-04-01

    Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co3O4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co3O4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  12. Sapphire Viewports for a Venus Probe

    NASA Technical Reports Server (NTRS)

    Bates, Stephen

    2012-01-01

    A document discusses the creation of a viewport suitable for use on the surface of Venus. These viewports are rated for 500 C and 100 atm pressure with appropriate safety factors and reliability required for incorporation into a Venus Lander. Sapphire windows should easily withstand the chemical, pressure, and temperatures of the Venus surface. Novel fixture designs and seals appropriate to the environment are incorporated, as are materials compatible with exploration vessels. A test cell was fabricated, tested, and leak rate measured. The window features polish specification of the sides and corners, soft metal padding of the sapphire, and a metal C-ring seal. The system safety factor is greater than 2, and standard mechanical design theory was used to size the window, flange, and attachment bolts using available material property data. Maintenance involves simple cleaning of the window aperture surfaces. The only weakness of the system is its moderate rather than low leak rate for vacuum applications.

  13. Adaptive wettability-enhanced surfaces ordered on molded etched substrates using shrink film

    NASA Astrophysics Data System (ADS)

    Jayadev, Shreshta; Pegan, Jonathan; Dyer, David; McLane, Jolie; Lim, Jessica; Khine, Michelle

    2013-01-01

    Superhydrophobic surfaces in nature exhibit desirable properties including self-cleaning, bacterial resistance, and flight efficiency. However, creating such intricate multi-scale features with conventional fabrication approaches is difficult, expensive, and not scalable. By patterning photoresist on pre-stressed shrink-wrap film, which contracts by 95% in surface area when heated, such features over large areas can be obtained easily. Photoresist serves as a dry etch mask to create complex and high-aspect ratio microstructures in the film. Using a double-shrink process, we introduce adaptive wettability-enhanced surfaces ordered on molded etched (AWESOME) substrates. We first create a mask out of the children’s toy ‘Shrinky-Dinks’ by printing dots using a laserjet printer. Heating this thermoplastic sheet causes the printed dots to shrink to a fraction of their original size. We then lithographically transfer the inverse pattern onto photoresist-coated shrink-wrap polyolefin film. The film is then plasma etched. After shrinking, the film serves as a high-aspect ratio mold for polydimethylsiloxane, creating a superhydrophobic surface with water contact angles >150° and sliding angles <10°. We pattern a microarray of ‘sticky’ spots with a dramatically different sliding angle compared to that of the superhydrophobic region, enabling microtiter-plate type assays without the need for a well plate.

  14. A high-performance nanoporous Si/Al2O3 foam lithium-ion battery anode fabricated by selective chemical etching of the Al-Si alloy and subsequent thermal oxidation.

    PubMed

    Hwang, Gaeun; Park, Hyungmin; Bok, Taesoo; Choi, Sinho; Lee, Sungjun; Hwang, Inchan; Choi, Nam-Soon; Seo, Kwanyong; Park, Soojin

    2015-03-14

    Nanostructured micrometer-sized Al-Si particles are synthesized via a facile selective etching process of Al-Si alloy powder. Subsequent thin Al2O3 layers are introduced on the Si foam surface via a selective thermal wet oxidation process of etched Al-Si particles. The resulting Si/Al2O3 foam anodes exhibit outstanding cycling stability (a capacity retention of 78% after 300 cycles at the C/5 rate) and excellent rate capability.

  15. [Evaluation of the Abbott Cell-Dyn Sapphire hematology analyzer].

    PubMed

    Park, Younhee; Song, Jaewoo; Song, Sungwook; Song, Kyung Soon; Ahn, Mee Suk; Yang, Mi-Sook; Kim, Il; Choi, Jong Rak

    2007-06-01

    The performance of Cell-Dyn Sapphire (Abbott Diagnostic, USA) was compared to the Bayer Advia 2120 (Bayer Diagnostics, USA), Sysmex XE-2100 (Sysmex Corporation, Japan), and reference microscopy. Three hundred samples for routine CBC and WBC differentials were randomly chosen for a comparison analysis. The Cell-Dyn Sapphire system was evaluated according to the linearity, imprecision, inter-instrument correlations, and white blood cell differential. The CBC parameters (WBC, RBC, hemoglobin and platelet) showed a significant linearity with correlation coefficients greater than 0.99 (P<0.0001). Coefficients of variation (CV) for within-run and differential count of WBC were less than 5% except for Total CV for monocytes, eosinophils, and basophils and within-run CV for low valued eosinophils. The correlation coefficients with manual count were lower in monocytes, eosinophils, and basophils than in neutrophils and lymphocytes. The correlation with other hematology anlayzers was significant exclusive of basophils. These results demonstrate that the Cell-Dyn Sapphire has a good linearity, an acceptable reproducibility, a minimal carryover, and a comparable performance with the sysmex XE-2100 and Advia 2120.

  16. Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching

    NASA Astrophysics Data System (ADS)

    Ichikawa, Takashi; Yagisawa, Takashi; Furukawa, Shinichi; Taguchi, Takafumi; Nojima, Shigeki; Murakami, Sadatoshi; Tamaoki, Naoki

    2018-06-01

    A topography simulation of high-aspect-ratio etching considering transports of ions and neutrals is performed, and the mechanism of reactive ion etching (RIE) residues in three-dimensional corner patterns is revealed. Limited ion flux and CF2 diffusion from the wide space of the corner is found to have an effect on the RIE residues. Cooperative simulation of lithography and topography is used to solve the RIE residue problem.

  17. Efficient continuous-wave and passively Q-switched pulse laser operations in a diffusion-bonded sapphire/Er:Yb:YAl3(BO3)4/sapphire composite crystal around 1.55 μm.

    PubMed

    Chen, Yujin; Lin, Yanfu; Huang, Jianhua; Gong, Xinghong; Luo, Zundu; Huang, Yidong

    2018-01-08

    A composite crystal consisting of a 1.5-mm-thick Er:Yb:YAl 3 (BO 3 ) 4 crystal between two 1.2-mm-thick sapphire crystals was fabricated by the thermal diffusion bonding technique. Compared with a lone Er:Yb:YAl 3 (BO 3 ) 4 crystal measured under the identical experimental conditions, higher laser performances were demonstrated in the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal due to the reduction of the thermal effects. End-pumped by a 976 nm laser diode in a hemispherical cavity, a 1.55 μm continuous-wave laser with a maximum output power of 1.75 W and a slope efficiency of 36% was obtained in the composite crystal when the incident pump power was 6.54 W. Passively Q-switched by a Co 2+ :MgAl 2 O 4 crystal, a 1.52 μm pulse laser with energy of 10 μJ and repetition frequency of 105 kHz was also realized in the composite crystal. Pulse width was 315 ns. The results show that the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal is an excellent active element for 1.55 μm laser.

  18. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  19. Progress Report for a New Cryogenic Sapphire Oscillator

    NASA Technical Reports Server (NTRS)

    Wang, Rabi T.; Dick, G. J.; Tjoelker, R. L.

    2006-01-01

    We present design progress and subsystem test results for a new short-term frequency standard, the Voltage Controlled Sapphire Oscillator (VCSO). Included are sapphire resonator and coupling design, cryocooler environmental sensitivity tests, Q measurement results, and turnover temperature results. A previous report presented history of the design related to resonator frequency and frequency compensation [1]. Performance goals are a frequency stability of 1x10(exp -14) (1 second less than or equal to (tau) less than or equal to 100 seconds) and two years or more continuous operation. Long-term operation and small size are facilitated by use of a small Stirling cryo-cooler (160W wall power) with an expected 5 year life.

  20. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  1. Method for providing an arbitrary three-dimensional microstructure in silicon using an anisotropic deep etch

    DOEpatents

    Morales, Alfredo M.; Gonzales, Marcela

    2004-06-15

    The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.

  2. Single crystal growth of submillimeter diameter sapphire tube by the micro-pulling down method

    NASA Astrophysics Data System (ADS)

    Kamada, Kei; Murakami, Rikito; Kochurikhin, Vladimir V.; Luidmila, Gushchina; Jin Kim, Kyoung; Shoji, Yasuhiro; Yamaji, Akihiro; Kurosawa, Shunsuke; Ohashi, Yuji; Yokota, Yuui; Yoshikawa, Akira

    2018-06-01

    This paper addresses several aspects of the μ-PD growth technology as applied to submillimeter diameter sapphire tubes for UFD application. The μ-PD method has been successfully adapted for single crystal sapphire tube growth. A compound crucible made possible the growth of single crystal sapphire tube as small as around 0.70-0.72 mm in outer diameter and 0.28-0.29 in inner diameter over 160 mm in length at growth rate of 2-4 mm/min along 〈0 0 1〉 direction. An Ir crucible with a die composed of an equivalent hole and Ir wire was heated by RF coil in N2 atmosphere. The μ-PD method has been successfully adapted for single crystal sapphire tube growth. Grown crystal tube showed good XRC value of 30.2 arcsec.

  3. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  4. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  5. Sequential infiltration synthesis for enhancing multiple-patterning lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.

  6. 3D-fabrication of tunable and high-density arrays of crystalline silicon nanostructures

    NASA Astrophysics Data System (ADS)

    Wilbers, J. G. E.; Berenschot, J. W.; Tiggelaar, R. M.; Dogan, T.; Sugimura, K.; van der Wiel, W. G.; Gardeniers, J. G. E.; Tas, N. R.

    2018-04-01

    In this report, a procedure for the 3D-nanofabrication of ordered, high-density arrays of crystalline silicon nanostructures is described. Two nanolithography methods were utilized for the fabrication of the nanostructure array, viz. displacement Talbot lithography (DTL) and edge lithography (EL). DTL is employed to perform two (orthogonal) resist-patterning steps to pattern a thin Si3N4 layer. The resulting patterned double layer serves as an etch mask for all further etching steps for the fabrication of ordered arrays of silicon nanostructures. The arrays are made by means of anisotropic wet etching of silicon in combination with an isotropic retraction etch step of the etch mask, i.e. EL. The procedure enables fabrication of nanostructures with dimensions below 15 nm and a potential density of 1010 crystals cm-2.

  7. Unbiased roughness measurements: the key to better etch performance

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  8. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin; Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holesmore » resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.« less

  9. Simulation of Soil Wetting Patterns in Drip and Subsurface Irrigation. Effects in Design and Irrigation Management Variables.

    NASA Astrophysics Data System (ADS)

    Rodríguez-Sinobas, L.; Gil-Rodríguez, M.; Sánchez, R.; Losada, A.; Castañón, G.; Juana, L.; Laguna, F. V.; Benítez, J.

    2010-05-01

    Conventional drip irrigation is considered one of the most efficient irrigation systems. Alternatively to traditional surface drip irrigation systems (DI), laterals are deployed underneath the soil surface, as in subsurface drip irrigation (SDI), leading to a higher potential efficiency, which is of especial interest in places where water is a limited source. The design and management of DI and SDI systems involve selection of an appropriate combination of emitter discharge rate and spacing between emitters and the inlet pressure and irrigation time for any given set of soil, crop, and climatic conditions, as well as understanding the wetted zone pattern around the emitter. Likewise, water distribution is affected by soil hydraulic properties, initial water content, emitter discharge, irrigation frequency, evapotranspiration and root characteristics. However, complexity arousing of soil water properties and soil profile characteristics means that these are often not properly considered in the design and management of those systems. A better understanding of the infiltration process around the discharge point source should contribute to increase water use efficiency and thus to reduce the risk of environmental impact of irrigation. In this regard, numerical models have been proved to be a powerful tool to analyze the evolution of the wetting pattern during the distribution and redistribution processes, in order to explore irrigation management strategies, to set up the duration of irrigation, and finally to optimize water use efficiency. Also, irrigation design variables such as emitter spacing and discharge could also be assessed. In this study the suitability of the HYDRUS-2D to simulate infiltration process around an emitter during irrigation of a loamy soil with drip and SDI laterals has been addressed. The model was then applied in order to evaluate the main dimensions of the wetted soil volume surrounding the emitter during irrigation. Irrigation uniformity

  10. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  11. Quasi ?non-destructive? laser ablation-inductively coupled plasma-mass spectrometry fingerprinting of sapphires

    NASA Astrophysics Data System (ADS)

    Guillong, M.; Günther, D.

    2001-07-01

    A homogenized 193 nm excimer laser with a flat-top beam profile was used to study the capabilities of LA-ICP-MS for 'quasi' non-destructive fingerprinting and sourcing of sapphires from different locations. Sapphires contain 97-99% of Al 2O 3 (corundum), with the remainder composed of several trace elements, which can be used to distinguish the origin of these gemstones. The ablation behavior of sapphires, as well as the minimum quantity of sample removal that is required to determine these trace elements, was investigated. The optimum ablation conditions were a fluency of 6 J cm -2, a crater diameter of 120 μm, and a laser repetition rate of 10 Hz. The optimum time for the ablation was determined to be 2 s, equivalent to 20 laser pulses. The mean sample removal was 60 nm per pulse (approx. 3 ng per pulse). This allowed satisfactory trace element determination, and was found to cause the minimum amount of damage, while allowing for the fingerprinting of sapphires. More than 40 isotopes were measured using different spatial resolutions (20-120 μm) and eight elements were reproducibly detected in 25 sapphire samples from five different locations. The reproducibility of the trace element distribution is limited by the heterogeneity of the sample. The mean of five or more replicate analyses per sample was used. Calibration was carried out using NIST 612 glass reference material as external standard. The linear dynamic range of the ICP-MS (nine orders of magnitude) allowed the use of Al, the major element in sapphire, as an internal standard. The limits of detection for most of the light elements were in the μg g -1 range and were better for heavier elements (mass >85), being in the 0.1 μg g -1 range. The accuracy of the determinations was demonstrated by comparison with XRF analyses of the same set of samples. Using the quantitative analyses obtained using LA-ICP-MS, natural sapphires from five different origins were statistically classified using ternary plots and

  12. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  13. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  14. An atomic carbon source for high temperature molecular beam epitaxy of graphene.

    PubMed

    Albar, J D; Summerfield, A; Cheng, T S; Davies, A; Smith, E F; Khlobystov, A N; Mellor, C J; Taniguchi, T; Watanabe, K; Foxon, C T; Eaves, L; Beton, P H; Novikov, S V

    2017-07-26

    We report the use of a novel atomic carbon source for the molecular beam epitaxy (MBE) of graphene layers on hBN flakes and on sapphire wafers at substrate growth temperatures of ~1400 °C. The source produces a flux of predominantly atomic carbon, which diffuses through the walls of a Joule-heated tantalum tube filled with graphite powder. We demonstrate deposition of carbon on sapphire with carbon deposition rates up to 12 nm/h. Atomic force microscopy measurements reveal the formation of hexagonal moiré patterns when graphene monolayers are grown on hBN flakes. The Raman spectra of the graphene layers grown on hBN and sapphire with the sublimation carbon source and the atomic carbon source are similar, whilst the nature of the carbon aggregates is different - graphitic with the sublimation carbon source and amorphous with the atomic carbon source. At MBE growth temperatures we observe etching of the sapphire wafer surface by the flux from the atomic carbon source, which we have not observed in the MBE growth of graphene with the sublimation carbon source.

  15. Growth and Crystal Orientation of ZnTe on m-Plane Sapphire with Nanofaceted Structure

    NASA Astrophysics Data System (ADS)

    Nakasu, Taizo; Sun, Wei-Che; Kobayashi, Masakazu; Asahi, Toshiaki

    2017-04-01

    ZnTe thin films on sapphire substrate with nanofaceted structure have been studied. The nanofaceted structure of the m-plane (10-10) sapphire was obtained by heating the substrate at above 1100°C in air, and the r-plane (10-12) and S-plane (1-101) were confirmed. ZnTe layers were prepared on the nanofaceted m-plane sapphire substrates by molecular beam epitaxy (MBE). The effect of the nanofaceted structure on the orientation of the thin films was examined based on x-ray diffraction (XRD) pole figures. Transmission electron microscopy (TEM) was also employed to characterize the interface structures. The ZnTe layer on the nanofaceted m-plane sapphire substrate exhibited (331)-plane orientation, compared with (211)-plane without the nanofaceted structure. After thermal treatment, the m-plane surface vanished and (211) layer could not be formed because of the lack of surface lattice matching. On the other hand, (331)-plane thin film was formed on the nanofaceted m-plane sapphire substrate, since the (111) ZnTe domains were oriented on the S-facet. The orientation of the ZnTe epilayer depended on the atomic ordering on the surface and the influence of the S-plane.

  16. Maskless and low-destructive nanofabrication on quartz by friction-induced selective etching

    PubMed Central

    2013-01-01

    A low-destructive friction-induced nanofabrication method is proposed to produce three-dimensional nanostructures on a quartz surface. Without any template, nanofabrication can be achieved by low-destructive scanning on a target area and post-etching in a KOH solution. Various nanostructures, such as slopes, hierarchical stages and chessboard-like patterns, can be fabricated on the quartz surface. Although the rise of etching temperature can improve fabrication efficiency, fabrication depth is dependent only upon contact pressure and scanning cycles. With the increase of contact pressure during scanning, selective etching thickness of the scanned area increases from 0 to 2.9 nm before the yield of the quartz surface and then tends to stabilise after the appearance of a wear. Refabrication on existing nanostructures can be realised to produce deeper structures on the quartz surface. Based on Arrhenius fitting of the etching rate and transmission electron microscopy characterization of the nanostructure, fabrication mechanism could be attributed to the selective etching of the friction-induced amorphous layer on the quartz surface. As a maskless and low-destructive technique, the proposed friction-induced method will open up new possibilities for further nanofabrication. PMID:23531381

  17. Method for etching thin films of niboium and niobium-containing compounds for preparing superconductive circuits

    DOEpatents

    Kampwirth, R.T.; Schuller, I.K.; Falco, C.M.

    1979-11-23

    An improved method of preparing thin film superconducting electrical circuits of niobium or niobium compounds is provided in which a thin film of the niobium or niobium compound is applied to a nonconductive substrate and covered with a layer of photosensitive material. The sensitive material is in turn covered with a circuit pattern exposed and developed to form a mask of the circuit in photoresistive material on the surface of the film. The unmasked excess niobium film is removed by contacting the substrate with an aqueous etching solution of nitric acid, sulfuric acid, and hydrogen fluoride, which will rapidly etch the niobium compound without undercutting the photoresist. A modification of the etching solution will permit thin films to be lifted from the substrate without further etching.

  18. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  19. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  20. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  1. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    NASA Astrophysics Data System (ADS)

    Roozeboom, F.; Kniknie, B.; Lankhorst, A. M.; Winands, G.; Knaapen, R.; Smets, M.; Poodt, P.; Dingemans, G.; Keuning, W.; Kessels, W. M. M.

    2012-12-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF6 to form gaseous SiFx etch products, and 2) passivation with C4F8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ~20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C4F8 passivation steps by ALD-based oxide (e.g. SiO2) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  2. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  3. Etching twin core fiber for the temperature-independent refractive index sensing

    NASA Astrophysics Data System (ADS)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  4. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  5. Low Temperature Rhombohedral Single Crystal SiGe Epitaxy on c-plane Sapphire

    NASA Technical Reports Server (NTRS)

    Duzik, Adam J.; Choi, Sang H.

    2016-01-01

    Current best practice in epitaxial growth of rhombohedral SiGe onto (0001) sapphire (Al2O3) substrate surfaces requires extreme conditions to grow a single crystal SiGe film. Previous models described the sapphire surface reconstruction as the overriding factor in rhombohedral epitaxy, requiring a high temperature Al-terminated surface for high quality films. Temperatures in the 850-1100 C range were thought to be necessary to get SiGe to form coherent atomic matching between the (111) SiGe plane and the (0001) sapphire surface. Such fabrication conditions are difficult and uneconomical, hindering widespread application. This work proposes an alternative model that considers the bulk sapphire structure and determines how the SiGe film nucleates and grows. Accounting for thermal expansion effects, calculations using this new model show that both pure Ge and SiGe can form single crystal films in the 450-550 C temperature range. Experimental results confirm these predictions, where x-ray diffraction and atomic force microscopy show the films fabricated at low temperature rival the high temperature films in crystallographic and surface quality. Finally, an explanation is provided for why films of comparable high quality can be produced in either temperature range.

  6. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  7. Compact fixed wavelength femtosecond oscillators as an add-on for tunable Ti:sapphire lasers extend the range of applications towards multimodal imaging and optogenetics

    NASA Astrophysics Data System (ADS)

    Hakulinen, T.; Klein, J.

    2016-03-01

    Two-photon (2P) microscopy based on tunable Ti:sapphire lasers has become a widespread tool for 3D imaging with sub-cellular resolution in living tissues. In recent years multi-photon microscopy with simpler fixed-wavelength femtosecond oscillators using Yb-doped tungstenates as gain material has raised increasing interest in life-sciences, because these lasers offer one order of magnitude more average power than Ti:sapphire lasers in the wavelength range around 1040 nm: Two-photon (2P) excitation of mainly red or yellow fluorescent dyes and proteins (e.g. YFP, mFruit series) simultaneously has been proven with a single IR laser wavelength. A new approach is to extend the usability of existing tunable Titanium sapphire lasers by adding a fixed IR wavelength with an Yb femtosecond oscillator. By that means a multitude of applications for multimodal imaging and optogenetics can be supported. Furthermore fs Yb-lasers are available with a repetition rate of typically 10 MHz and an average power of typically 5 W resulting in pulse energy of typically 500 nJ, which is comparably high for fs-oscillators. This makes them an ideal tool for two-photon spinning disk laser scanning microscopy and holographic patterning for simultaneous photoactivation of large cell populations. With this work we demonstrate that economical, small-footprint Yb fixed-wavelength lasers can present an interesting add-on to tunable lasers that are commonly used in multiphoton microscopy. The Yb fs-lasers hereby offer higher power for imaging of red fluorescent dyes and proteins, are ideally enhancing existing Ti:sapphire lasers with more power in the IR, and are supporting pulse energy and power hungry applications such as spinning disk microscopy and holographic patterning.

  8. Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming, and selective stripping

    NASA Astrophysics Data System (ADS)

    Mao, Ming; Lazzarino, Frederic; De Schepper, Peter; De Simone, Danilo; Piumi, Daniele; Luong, Vinh; Yamashita, Fumiko; Kocsis, Michael; Kumar, Kaushik

    2017-03-01

    Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist ( 12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria's metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.

  9. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  10. Evaluation of the Shear Bond Strength of Composite Resin to Wet and Dry Enamel Using Dentin Bonding Agents Containing Various Solvents.

    PubMed

    Usha, Carounanidy; Ramarao, Sathyanarayanan; John, Bindu Meera; Rajesh, Praveen; Swatha, S

    2017-01-01

    Bonding of composite resin to dentin mandates a wet substrate whereas, enamel should be dry. This may not be easily achievable in intracoronal preparations where enamel and dentin are closely placed to each other. Therefore, Dentin Bonding Agents (DBA) are recommended for enamel and dentinal bonding, where enamel is also left moist. A research question was raised if the "enamel-only" preparations will also benefit from wet enamel bonding and contemporary DBA. The aim of this study was to compare the shear bond strengths of composite resin, bonded to dry and wet enamel using fifth generation DBA (etch and rinse system) containing various solvents such as ethanol/water, acetone and ethanol. The crowns of 120 maxillary premolars were split into buccal and lingual halves. They were randomly allocated into four groups of DBA: Group 1-water/ethanol based, Group 2-acetone based, Group 3-ethanol based, Group 4-universal bonding agent (control group). The buccal halves and lingual halves were bonded using the wet bonding and dry bonding technique respectively. After application of the DBAs and composite resin build up, shear bond strength testing was done. Group 1 (ethanol/water based ESPE 3M, Adper Single Bond) showed highest bond strength of (23.15 MPa) in dry enamel. Group 2 (acetone based Denstply, Prime and Bond NT, showed equal bond strength in wet and dry enamel condition (18.87 MPa and 18.02 MPa respectively). Dry enamel bonding and ethanol/water based etch and rinse DBA can be recommended for "enamel-only" tooth preparations.

  11. Development of the vertical Bridgman technique for 6-inch diameter c-axis sapphire growth supported by numerical simulation

    NASA Astrophysics Data System (ADS)

    Miyagawa, Chihiro; Kobayashi, Takumi; Taishi, Toshinori; Hoshikawa, Keigo

    2014-09-01

    Based on the growth of 3-inch diameter c-axis sapphire using the vertical Bridgman (VB) technique, numerical simulations were made and used to guide the growth of a 6-inch diameter sapphire. A 2D model of the VB hot-zone was constructed, the seeding interface shape of the 3-inch diameter sapphire as revealed by green laser scattering was estimated numerically, and the temperature distributions of two VB hot-zone models designed for 6-inch diameter sapphire growth were numerically simulated to achieve the optimal growth of large crystals. The hot-zone model with one heater was selected and prepared, and 6-inch diameter c-axis sapphire boules were actually grown, as predicted by the numerical results.

  12. Interference lithographically defined and catalytically etched, large-area silicon nanocones from nanowires.

    PubMed

    Dawood, M K; Liew, T H; Lianto, P; Hong, M H; Tripathy, S; Thong, J T L; Choi, W K

    2010-05-21

    We report a simple and cost effective method for the synthesis of large-area, precisely located silicon nanocones from nanowires. The nanowires were obtained from our interference lithography and catalytic etching (IL-CE) method. We found that porous silicon was formed near the Au catalyst during the fabrication of the nanowires. The porous silicon exhibited enhanced oxidation ability when exposed to atmospheric conditions or in wet oxidation ambient. Very well located nanocones with uniform sharpness resulted when these oxidized nanowires were etched in 10% HF. Nanocones of different heights were obtained by varying the doping concentration of the silicon wafers. We believe this is a novel method of producing large-area, low cost, well defined nanocones from nanowires both in terms of the control of location and shape of the nanocones. A wide range of potential applications of the nanocone array can be found as a master copy for nanoimprinted polymer substrates for possible biomedical research; as a candidate for making sharp probes for scanning probe nanolithography; or as a building block for field emitting tips or photodetectors in electronic/optoelectronic applications.

  13. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  14. Enamel and dentin bond strengths of a new self-etch adhesive system.

    PubMed

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  15. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  16. Reversible switching of wetting properties and erasable patterning of polymer surfaces using plasma oxidation and thermal treatment

    NASA Astrophysics Data System (ADS)

    Rashid, Zeeshan; Atay, Ipek; Soydan, Seren; Yagci, M. Baris; Jonáš, Alexandr; Yilgor, Emel; Kiraz, Alper; Yilgor, Iskender

    2018-05-01

    Polymer surfaces reversibly switchable from superhydrophobic to superhydrophilic by exposure to oxygen plasma and subsequent thermal treatment are demonstrated. Two inherently different polymers, hydrophobic segmented polydimethylsiloxane-urea copolymer (TPSC) and hydrophilic poly(methyl methacrylate) (PMMA) are modified with fumed silica nanoparticles to prepare superhydrophobic surfaces with roughness on nanometer to micrometer scale. Smooth TPSC and PMMA surfaces are also used as control samples. Regardless of their chemical structure and surface topography, all surfaces display completely reversible wetting behavior changing from hydrophobic to hydrophilic and back for many cycles upon plasma oxidation followed by thermal annealing. Influence of plasma power, plasma exposure time, annealing temperature and annealing time on the wetting behavior of polymeric surfaces are investigated. Surface compositions, textures and topographies are characterized by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and white light interferometry (WLI), before and after oxidation and thermal annealing. Wetting properties of the surfaces are determined by measuring their static, advancing and receding water contact angle. We conclude that the chemical structure and surface topography of the polymers play a relatively minor role in reversible wetting behavior, where the essential factors are surface oxidation and migration of polymer molecules to the surface upon thermal annealing. Reconfigurable water channels on polymer surfaces are produced by plasma treatment using a mask and thermal annealing cycles. Such patterned reconfigurable hydrophilic regions can find use in surface microfluidics and optofluidics applications.

  17. Active application of primer acid on acid-treated enamel: Influence on the bond effectiveness of self-etch adhesives systems.

    PubMed

    Araújo, Cíntia Tereza Pimenta; Prieto, Lúcia Trazzi; Costa, Daiane Cristianismo; Bosso, Mariana Avalone; Coppini, Erick Kamiya; Dias, Carlos Tadeu Santos; Paulillo, Luis Alexandre Maffei Sartini

    2017-08-01

    Evaluate the composite-to-enamel bond after passive or active application of self-etching primer systems on polished or pre-etched enamel with phosphoric acid. Two self-etch adhesives systems (SEAS) were used: Clearfil SE Bond and Easy Bond. Third human molars were divided into 8 groups (N = 10). The crown of each tooth was sectioned into halves and the mesial/distal surfaces were used. The adhesives were actively or passively applied on enamel with or without prior phosphoric-acid etching. Resin composite cylinders were built after adhesive application. After stored in relative humidity for 24 hr/37°C the specimens were subjected to microshear test in universal testing a machine at a crosshead speed of 0.5 mm/minute. The results were analyzed with three-way ANOVA and the Tukey test. The enamel-etching pattern was evaluated under SEM. The 2-step SEAS system presented significantly higher adhesive bond strength means (47.37 MPa) than the 1-step (36.87 MPa). A poor enamel- etching pattern was observed in active mode showing irregular and short resin tags, however there was not compromised the bond strength. Active or passive application produced similar values of bond strength to enamel regardless of enamel pretreatment and type of SEAS. © 2017 Wiley Periodicals, Inc.

  18. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  19. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    NASA Astrophysics Data System (ADS)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  20. New 3D structuring process for non-integrated circuit related technologies (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Nouri, Lamia; Possémé, Nicolas; Landis, Stéfan; Milesi, Frédéric; Gaillard, Frédéric-Xavier

    2017-04-01

    Fabrication processes that microelectronic developed for Integrated circuit (IC) technologies for decades, do not meet the new emerging structuration's requirements, in particular non-IC related technologies one, such as MEMS/NEMS, Micro-Fluidics, photovoltaics, lenses. Actually complex 3D structuration requires complex lithography patterning approaches such as gray-scale electron beam lithography, laser ablation, focused ion beam lithography, two photon polymerization. It is now challenging to find cheaper and easiest technique to achieve 3D structures. In this work, we propose a straightforward process to realize 3D structuration, intended for silicon based materials (Si, SiN, SiOCH). This structuration technique is based on nano-imprint lithography (NIL), ion implantation and selective wet etching. In a first step a pattern is performed by lithography on a substrate, then ion implantation is realized through a resist mask in order to create localized modifications in the material, thus the pattern is transferred into the subjacent layer. Finally, after the resist stripping, a selective wet etching is carried out to remove selectively the modified material regarding the non-modified one. In this paper, we will first present results achieved with simple 2D line array pattern processed either on Silicon or SiOCH samples. This step have been carried out to demonstrate the feasibility of this new structuration process. SEM pictures reveals that "infinite" selectivity between the implanted areas versus the non-implanted one could be achieved. We will show that a key combination between the type of implanted ion species and wet etching chemistries is required to obtain such results. The mechanisms understanding involved during both implantation and wet etching processes will also be presented through fine characterizations with Photoluminescence, Raman and Secondary Ion Mass Spectrometry (SIMS) for silicon samples, and ellipso-porosimetry and Fourier Transform Infra

  1. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  2. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  3. Sapphire Whispering Gallery Thermometer

    NASA Astrophysics Data System (ADS)

    Strouse, G. F.

    2007-12-01

    An innovative sapphire whispering gallery thermometer (SWGT) is being explored at the National Institute of Standards and Technology (NIST) as a potential replacement for a standard platinum resistance thermometer (SPRT) for industrial applications that require measurement uncertainties of ≤ 10 mK. The NIST SWGT uses a synthetic sapphire monocrystalline disk configured as a uniaxial, dielectric resonator with whispering gallery modes between 14 GHz and 20 GHz and with Q-factors as large as 90,000. The prototype SWGT stability at the ice melting point (0°C) is ≤ 1 mK with a frequency resolution equivalent to 0.05 mK. The prototype SWGT measurement uncertainty ( k= 1) is 10 mK from 0°C to 100°C for all five resonance modes studied. These results for the SWGT approach the capabilities of industrial resistance thermometers. The SWGT promises greatly increased resistance to mechanical shock relative to SPRTs, over the range from -196°C to 500°C while retaining the low uncertainties needed by secondary calibration laboratories. The temperature sensitivity of the SWGT depends upon a well-defined property (the refractive index at microwave frequencies) and the thermal expansion of a pure material. Therefore, it is expected that SWGTs can be calibrated over a wide temperature range using a reference function, along with deviations measured at a few fixed points. This article reports the prototype SWGT stability, resolution, repeatability, and the temperature dependence of five whispering gallery resonance frequencies in the range from 0°C to 100°C.

  4. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  5. Development of TiO2 containing hardmasks through PEALD deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hao; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-03-01

    With the increasing prevalence of complex device integration schemes, tri layer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination, and are limited in their ability to scale down thickness without comprising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of PEALD deposited TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a tri layer scheme patterned with PEALD based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited vs a spin-on metal hardmask.

  6. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  7. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  8. Strong geometrical effects in submillimeter selective area growth and light extraction of GaN light emitting diodes on sapphire

    DOE PAGES

    Tanaka, Atsunori; Chen, Renjie; Jungjohann, Katherine L.; ...

    2015-11-27

    Advanced semiconductor devices often utilize structural and geometrical effects to tailor their characteristics and improve their performance. Our detailed understanding of such geometrical effects in the epitaxial selective area growth of GaN on sapphire substrates is reported here, and we utilize them to enhance light extraction from GaN light emitting diodes. Systematic size and spacing effects were performed side-by-side on a single 2” sapphire substrate to minimize experimental sampling errors for a set of 144 pattern arrays with circular mask opening windows in SiO 2. We show that the mask opening diameter leads to as much as 4 times increasemore » in the thickness of the grown layers for 20 μm spacings and that spacing effects can lead to as much as 3 times increase in thickness for a 350 μm dot diameter. We also observed that the facet evolution in comparison with extracted Ga adatom diffusion lengths directly influences the vertical and lateral overgrowth rates and can be controlled with pattern geometry. Lastly, such control over the facet development led to 2.5 times stronger electroluminescence characteristics from well-faceted GaN/InGaN multiple quantum well LEDs compared to non-faceted structures.« less

  9. Properties Data for Adhesion and Surface Chemistry of Aluminum: Sapphire-Aluminum, Single-Crystal Couple

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pohlchuck, Bobby; Whitle, Neville C.; Hector, Louis G., Jr.; Adams, Jim

    1998-01-01

    An investigation was conducted to examine the adhesion and surface chemistry of single-crystal aluminum in contact with single-crystal sapphire (alumina). Pull-off force (adhesion) measurements were conducted under loads of 0. I to I mN in a vacuum of 10(exp -1) to 10(exp -9) Pa (approx. 10(exp -10) to 10(exp -11) torr) at room temperature. An Auger electron spectroscopy analyzer incorporated directly into an adhesion-measuring vacuum system was primarily used to define the chemical nature of the surfaces before and after adhesion measurements. The surfaces were cleaned by argon ion sputtering. With a clean aluminum-clean -sapphire couple the mean value and standard deviation of pull-off forces required to separate the surfaces were 3015 and 298 micro-N, respectively. With a contaminated aluminum-clean sapphire couple these values were 231 and 241 micro-N. The presence of a contaminant film on the aluminum surface reduced adhesion by a factor of 13. Therefore, surfaces cleanliness, particularly aluminum cleanliness, played an important role in the adhesion of the aluminum-sapphire couples. Pressures on the order of 10(exp -8) to 10(exp -9) Pa (approx. 10(exp -10) to 10(exp -11) torr) maintained a clean aluminum surface for only a short time (less then 1 hr) but maintained a clean sapphire surface, once it was achieved, for a much longer time.

  10. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  11. Characterization of the Performance of Sapphire Optical Fiber in Intense Radiation Fields, when Subjected to Very High Temperatures

    NASA Astrophysics Data System (ADS)

    Petrie, Christian M.

    The U.S. Department of Energy is interested in extending optically-based instrumentation from non-extreme environments to extremely high temperature radiation environments for the purposes of developing in-pile instrumentation. The development of in-pile instrumentation would help support the ultimate goal of understanding the behavior and predicting the performance of nuclear fuel systems at a microstructural level. Single crystal sapphire optical fibers are a promising candidate for in-pile instrumentation due to the high melting temperature and radiation hardness of sapphire. In order to extend sapphire fiber-based optical instrumentation to high temperature radiation environments, the ability of sapphire fibers to adequately transmit light in such an environment must first be demonstrated. Broadband optical transmission measurements of sapphire optical fibers were made in-situ as the sapphire fibers were heated and/or irradiated. The damage processes in sapphire fibers were also modeled from the primary knock-on event from energetic neutrons to the resulting damage cascade in order to predict the formation of stable defects that ultimately determine the resulting change in optical properties. Sapphire optical fibers were shown to withstand temperatures as high as 1300 °C with minimal increases in optical attenuation. A broad absorption band was observed to grow over time without reaching a dynamic equilibrium when the sapphire fiber was heated at temperatures of 1400 °C and above. The growth of this absorption band limits the use of sapphire optical fibers, at least in air, to temperatures of 1300 °C and below. Irradiation of sapphire fibers with gamma rays caused saturation of a defect center located below 500 nm, and extending as far as ~1000 nm, with little effect on the transmission at 1300 and 1550 nm. Increasing temperature during gamma irradiation generally reduced the added attenuation. Reactor irradiation of sapphire fibers caused an initial rapid

  12. Comparative study of GaN-based ultraviolet LEDs grown on different-sized patterned sapphire substrates with sputtered AlN nucleation layer

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay

    2017-11-01

    GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.

  13. Electron Beam "Writes" Silicon On Sapphire

    NASA Technical Reports Server (NTRS)

    Heinemann, Klaus

    1988-01-01

    Method of growing silicon on sapphire substrate uses beam of electrons to aid growth of semiconductor material. Silicon forms as epitaxial film in precisely localized areas in micron-wide lines. Promising fabrication method for fast, densely-packed integrated circuits. Silicon deposited preferentially in contaminated substrate zones and in clean zone irradiated by electron beam. Electron beam, like surface contamination, appears to stimulate decomposition of silane atmosphere.

  14. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  15. Theoretical studies on lattice-oriented growth of single-walled carbon nanotubes on sapphire

    NASA Astrophysics Data System (ADS)

    Li, Zhengwei; Meng, Xianhong; Xiao, Jianliang

    2017-09-01

    Due to their excellent mechanical and electrical properties, single-walled carbon nanotubes (SWNTs) can find broad applications in many areas, such as field-effect transistors, logic circuits, sensors and flexible electronics. High-density, horizontally aligned arrays of SWNTs are essential for high performance electronics. Many experimental studies have demonstrated that chemical vapor deposition growth of nanotubes on crystalline substrates such as sapphire offers a promising route to achieve such dense, perfectly aligned arrays. In this work, a theoretical study is performed to quantitatively understand the van der Waals interactions between SWNTs and sapphire substrates. The energetically preferred alignment directions of SWNTs on A-, R- and M-planes and the random alignment on the C-plane predicted by this study are all in good agreement with experiments. It is also shown that smaller SWNTs have better alignment than larger SWNTs due to their stronger interaction with sapphire substrate. The strong vdW interactions along preferred alignment directions can be intuitively explained by the nanoscale ‘grooves’ formed by atomic lattice structures on the surface of sapphire. This study provides important insights to the controlled growth of nanotubes and potentially other nanomaterials.

  16. Laser processing of sapphire with picosecond and sub-picosecond pulses

    NASA Astrophysics Data System (ADS)

    Ashkenasi, D.; Rosenfeld, A.; Varel, H.; Wähmer, M.; Campbell, E. E. B.

    1997-11-01

    Laser processing of sapphire using a Ti:sapphire laser at 790 and 395 nm and pulse widths varying between 0.2 and 5 ps is reported. A clear improvement in quality is demonstrated for multi-shot processing with sub-ps laser pulses. For fluences between 3 and 12 J/cm 2 two ablation phases were observed, in agreement with previous work from Tam et al. using 30 ps, 266 nm laser pulses [A.C. Tam, J.L. Brand, D.C. Cheng, W. Zapka, Appl. Phys. Lett. 55 (20) (1994) 2045]. During the `gentle ablation' phase periodic wavelike structures, i.e. ripples, were observed on the Al 2O 3 surface, perpendicular to the laser polarisation and with a spacing almost equalling the laser wavelength, indicating metallic-like behaviour. The ripple modulation depth was in the order of a few tens of nm. For fluences between 1 and 2.5 J/cm 2, below the single-shot surface damage threshold and at a pulse width above 200 fs, microstructures could be produced at the rear side of a 1 mm thick sapphire substrate without affecting the front surface.

  17. Pulsed Laser-Assisted Focused Electron-Beam-Induced Etching of Titanium with XeF 2 : Enhanced Reaction Rate and Precursor Transport

    DOE PAGES

    Noh, J. H.; Fowlkes, J. D.; Timilsina, R.; ...

    2015-01-28

    We introduce a laser-assisted focused electron-beam-induced etching (LA-FEBIE) process which is a versatile, direct write nanofabrication method that allows nanoscale patterning and editing; we do this in order to enhance the etch rate of electron-beam-induced etching. The results demonstrate that the titanium electron stimulated etch rate via the XeF2 precursor can be enhanced up to a factor of 6 times with an intermittent pulsed laser assist. Moreover, the evolution of the etching process is correlated to in situ stage current measurements and scanning electron micrographs as a function of time. Finally, the increased etch rate is attributed to photothermally enhancedmore » Ti–F reaction and TiF4 desorption and in some regimes enhanced XeF2 surface diffusion to the reaction zone.« less

  18. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  19. Developing quartz wafer mold manufacturing process for patterned media

    NASA Astrophysics Data System (ADS)

    Chiba, Tsuyoshi; Fukuda, Masaharu; Ishikawa, Mikio; Itoh, Kimio; Kurihara, Masaaki; Hoga, Morihisa

    2009-04-01

    Recently, patterned media have gained attention as a possible candidate for use in the next generation of hard disk drives (HDD). Feature sizes on media are predicted to be 20-25 nm half pitch (hp) for discrete-track media in 2010. One method of fabricating such a fine pattern is by using a nanoimprint. The imprint mold for the patterned media is created from a 150-millimeter, rounded, quartz wafer. The purpose of the process introduced here was to construct a quartz wafer mold and to fabricate line and space (LS) patterns at 24 nmhp for DTM. Additionally, we attempted to achieve a dense hole (HOLE) pattern at 12.5 nmhp for BPM for use in 2012. The manufacturing process of molds for patterned media is almost the same as that for semiconductors, with the exception of the dry-etching process. A 150-millimeter quartz wafer was etched on a special tray made from carving a 6025 substrate, by using the photo-mask tool. We also optimized the quartz etching conditions. As a result, 24 nmhp LS and HOLE patterns were manufactured on the quartz wafer. In conclusion, the quartz wafer mold manufacturing process was established. It is suggested that the etching condition should be further optimized to achieve a higher resolution of HOLE patterns.

  20. Evaluation of the Shear Bond Strength of Composite Resin to Wet and Dry Enamel Using Dentin Bonding Agents Containing Various Solvents

    PubMed Central

    Ramarao, Sathyanarayanan; John, Bindu Meera; Rajesh, Praveen; Swatha, S

    2017-01-01

    Introduction Bonding of composite resin to dentin mandates a wet substrate whereas, enamel should be dry. This may not be easily achievable in intracoronal preparations where enamel and dentin are closely placed to each other. Therefore, Dentin Bonding Agents (DBA) are recommended for enamel and dentinal bonding, where enamel is also left moist. A research question was raised if the “enamel-only” preparations will also benefit from wet enamel bonding and contemporary DBA. Aim The aim of this study was to compare the shear bond strengths of composite resin, bonded to dry and wet enamel using fifth generation DBA (etch and rinse system) containing various solvents such as ethanol/water, acetone and ethanol. Materials and Methods The crowns of 120 maxillary premolars were split into buccal and lingual halves. They were randomly allocated into four groups of DBA: Group 1-water/ethanol based, Group 2-acetone based, Group 3-ethanol based, Group 4-universal bonding agent (control group). The buccal halves and lingual halves were bonded using the wet bonding and dry bonding technique respectively. After application of the DBAs and composite resin build up, shear bond strength testing was done. Results Group 1 (ethanol/water based ESPE 3M, Adper Single Bond) showed highest bond strength of (23.15 MPa) in dry enamel. Group 2 (acetone based Denstply, Prime and Bond NT, showed equal bond strength in wet and dry enamel condition (18.87 MPa and 18.02 MPa respectively). Conclusion Dry enamel bonding and ethanol/water based etch and rinse DBA can be recommended for “enamel-only” tooth preparations. PMID:28274042