Sample records for x-ray lithography masks

  1. Cost-effective masks for deep x-ray lithography

    NASA Astrophysics Data System (ADS)

    Scheunemann, Heinz-Ulrich; Loechel, Bernd; Jian, Linke; Schondelmaier, Daniel; Desta, Yohannes M.; Goettert, Jost

    2003-04-01

    The production of X-ray masks is one of the key techniques for X-ray lithography and the LIGA process. Different ways for the fabrication of X-ray masks has been established. Very sophisticated, difficult and expensive procedures are required to produce high precision and high quality X-ray masks. In order to minimize the cost of an X-ray mask, the mask blank must be inexpensive and readily available. The steps involved in the fabrication process must also be minimal. In the past, thin membranes made of titanium, silicon carbide, silicon nitride (2-5μm) or thick beryllium substrates (500μm) have been used as mask blanks. Thin titanium and silicon compounds have very high transparency for X-rays; therefore, these materials are predestined for use as mask membrane material. However, the handling and fabrication of thin membranes is very difficult, thus expensive. Beryllium is highly transparent to X-rays, but the processing and use of beryllium is risky due to potential toxicity. During the past few years graphite based X-ray masks have been in use at various research centers, but the sidewall quality of the generated resist patterns is in the range of 200-300 nm Ra. We used polished graphite to improve the sidewall roughness, but polished graphite causes other problems in the fabrication of X-ray masks. This paper describes the advantages associated with the use of polished graphite as mask blank as well as the fabrication process for this low cost X-ray mask. Alternative membrane materials will also be discussed.

  2. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  3. Vitreous carbon mask substrate for X-ray lithography

    DOEpatents

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  4. X-ray lithography masking

    NASA Technical Reports Server (NTRS)

    Smith, Henry I. (Inventor); Lim, Michael (Inventor); Carter, James (Inventor); Schattenburg, Mark (Inventor)

    1998-01-01

    X-ray masking apparatus includes a frame having a supporting rim surrounding an x-ray transparent region, a thin membrane of hard inorganic x-ray transparent material attached at its periphery to the supporting rim covering the x-ray transparent region and a layer of x-ray opaque material on the thin membrane inside the x-ray transparent region arranged in a pattern to selectively transmit x-ray energy entering the x-ray transparent region through the membrane to a predetermined image plane separated from the layer by the thin membrane. A method of making the masking apparatus includes depositing back and front layers of hard inorganic x-ray transparent material on front and back surfaces of a substrate, depositing back and front layers of reinforcing material on the back and front layers, respectively, of the hard inorganic x-ray transparent material, removing the material including at least a portion of the substrate and the back layers of an inside region adjacent to the front layer of hard inorganic x-ray transparent material, removing a portion of the front layer of reinforcing material opposite the inside region to expose the surface of the front layer of hard inorganic x-ray transparent material separated from the inside region by the latter front layer, and depositing a layer of x-ray opaque material on the surface of the latter front layer adjacent to the inside region.

  5. Parametric studies and characterization measurements of x-ray lithography mask membranes

    NASA Astrophysics Data System (ADS)

    Wells, Gregory M.; Chen, Hector T. H.; Engelstad, Roxann L.; Palmer, Shane R.

    1991-08-01

    The techniques used in the experimental characterization of thin membranes are considered for their potential use as mask blanks for x-ray lithography. Among the parameters of interest for this evaluation are the film's stress, fracture strength, uniformity of thickness, absorption in the x-ray and visible spectral regions and the modulus and grain structure of the material. The experimental techniques used for measuring these properties are described. The accuracy and applicability of the assumptions used to derive the formulas that relate the experimental measurements to the parameters of interest are considered. Experimental results for silicon carbide and diamond films are provided. Another characteristic needed for an x-ray mask carrier is radiation stability. The number of x-ray exposures expected to be performed in the lifetime of an x-ray mask on a production line is on the order of 107. The dimensional stability requirements placed on the membranes during this period are discussed. Interferometric techniques that provide sufficient sensitivity for these stability measurements are described. A comparison is made between the different techniques that have been developed in term of the information that each technique provides, the accuracy of the various techniques, and the implementation issues that are involved with each technique.

  6. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  7. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  8. X ray reflection masks: Manufacturing, characterization and first tests

    NASA Astrophysics Data System (ADS)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  9. Soft x-ray reduction camera for submicron lithography

    DOEpatents

    Hawryluk, Andrew M.; Seppala, Lynn G.

    1991-01-01

    Soft x-ray projection lithography can be performed using x-ray optical components and spherical imaging lenses (mirrors), which form an x-ray reduction camera. The x-ray reduction is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer using 4.5 nm radiation. The diffraction limited resolution of this design is about 135 nm with a depth of field of about 2.8 microns and a field of view of 0.2 cm.sup.2. X-ray reflecting masks (patterned x-ray multilayer mirrors) which are fabricated on thick substrates and can be made relatively distortion free are used, with a laser produced plasma for the source. Higher resolution and/or larger areas are possible by varying the optic figures of the components and source characteristics.

  10. Soft x-ray reduction camera for submicron lithography

    DOEpatents

    Hawryluk, A.M.; Seppala, L.G.

    1991-03-26

    Soft x-ray projection lithography can be performed using x-ray optical components and spherical imaging lenses (mirrors), which form an x-ray reduction camera. The x-ray reduction is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer using 4.5 nm radiation. The diffraction limited resolution of this design is about 135 nm with a depth of field of about 2.8 microns and a field of view of 0.2 cm[sup 2]. X-ray reflecting masks (patterned x-ray multilayer mirrors) which are fabricated on thick substrates and can be made relatively distortion free are used, with a laser produced plasma for the source. Higher resolution and/or larger areas are possible by varying the optic figures of the components and source characteristics. 9 figures.

  11. A large format membrane-based x-ray mask for microfluidic chip fabrication

    NASA Astrophysics Data System (ADS)

    Wang, Lin; Zhang, Min; Desta, Yohannes; Melzak, J.; Wu, C. H.; Peng, Zhengchun

    2006-02-01

    X-ray lithography is a very good option for the fabrication of micro-devices especially when high aspect ratio patterns are required. Membrane-based x-ray masks are commonly used for high-resolution x-ray lithography. A thin layer of silicon nitride (Si3N4) or silicon carbide (SiC) film (1-2 µm) is normally used as the membrane material for x-ray mask fabrication (Wells G M, Reilly M, Nachman R, Cerrina F, El-Khakani M A and Chaker M 1993 Mater. Res. Soc. Conf. Proc. 306 81-9 Shoki T, Nagasawa H, Kosuga H, Yamaguchi Y, Annaka N, Amemiya I and Nagarekawa O 1993 SPIE Proc. 1924 450-6). The freestanding membrane window of an x-ray mask, which defines the exposing area of the x-ray mask, can be obtained by etching a pre-defined area on a silicon wafer from the backside (Wang L, Desta Y, Fettig R K, Goettert J, Hein H, Jakobs P and Chulz J 2004 J. Micromech. Microeng. 14 722-6). Usually, the window size of an x-ray mask is around 20 × 20 mm because of the low tensile stress of the membrane (10-100 MPa), and the larger window dimension of an x-ray mask may cause the deformation of membranes and lower the mask quality. However, x-ray masks with larger windows are preferred for micro-device fabrication in order to increase the productivity. We analyzed the factors which influence the flatness of large format x-ray masks and fabricated x-ray masks with a window size of 55 × 55 mm and 46 × 65 mm on 1 µm thick membranes by increasing the tensile stress of the membranes (>300 MPa) and optimizing the stress of the absorber layer. The large format x-ray mask was successfully applied for the fabrication of microfluidic chips.

  12. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  13. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  14. Sub-Optical Lithography With Nanometer Definition Masks

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T.; Malek, Chantal Khan; Neogi, Jayant

    2000-01-01

    Nanometer feature size lithography represents a major paradigm shift for the electronics and micro-electro-mechanical industries. In this paper, we discuss the capacity of dynamic focused reactive ion beam (FIB) etching systems to undertake direct and highly anisotropic erosion of thick evaporated gold coatings on boron-doped silicon X-ray mask membranes. FIB offers a new level of flexibility in micro fabrication, allowing for fast fabrication of X-ray masks, where pattern definition and surface alteration are combined in the same step which eliminates the whole lithographic process, in particular resist, resist development, electro-deposition and resist removal. Focused ion beam diameters as small as 7 nm can be obtained enabling fabrication well into the sub-20 nm regime. In preliminary demonstrations of this X-ray mask fabrication technique 22 nm width lines were milled directly through 0.9 microns of gold and a miniature mass spectrometer pattern was milled through over 0.5 microns of gold. Also presented are the results of the shadow printing, using the large depth of field of synchrotron high energy parallel X-ray beam, of these and other sub-optical defined patterns in photoresist conformally coated over surfaces of extreme topographical variation. Assuming that electronic circuits and/or micro devices scale proportionally, the surface area of devices processed with X-ray lithography and 20 nm critical dimension X-ray masks would be 0.5% that of contemporary devices (350 nm CD). The 20 CD mask fabrication represents an initial effort - a further factor of three reduction is anticipated which represents a further order-of-magnitude reduction in die area.

  15. Method for the fabrication of three-dimensional microstructures by deep X-ray lithography

    DOEpatents

    Sweatt, William C.; Christenson, Todd R.

    2005-04-05

    A method for the fabrication of three-dimensional microstructures by deep X-ray lithography (DXRL) comprises a masking process that uses a patterned mask with inclined mask holes and off-normal exposures with a DXRL beam aligned with the inclined mask holes. Microstructural features that are oriented in different directions can be obtained by using multiple off-normal exposures through additional mask holes having different orientations. Various methods can be used to block the non-aligned mask holes from the beam when using multiple exposures. A method for fabricating a precision 3D X-ray mask comprises forming an intermediate mask and a master mask on a common support membrane.

  16. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  17. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  18. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    NASA Astrophysics Data System (ADS)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  19. Fabrication of 0.25-um electrode width SAW filters using x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Li, Yunlei; Fedosejevs, Robert; Broughton, James N.

    1996-05-01

    A process for the fabrication of surface acoustic wave (SAW) devices with line widths of 250 nm and less, based on x-ray lithography using a laser-plasma source has been developed. The x-ray lithography process is based on keV x-ray emission from Cu plasma produced by 15 Hz, 50 ps, 248 nm KrF excimer laser pulses. The full structure of a 2 GHz surface acoustic wave filter with interdigital transducers in a split-electrode geometry has been manufactured. The devices require patterning a 150 nm thick aluminum layer on a LiNbO3 substrate with electrodes 250 nm wide. The manufacturing process has two main steps: x-ray mask fabrication employing e-beam lithography and x-ray lithography to obtain the final device. The x-ray masks are fabricated on 1 micrometers thick membranes of Si2N4. The line patterns on the masks are written into PMMA resist using a scanning electron microscope which has been interfaced to a personal computer equipped to control the x and y scan voltages. The opaque regions of the x-ray mask are then formed by electroplating fine grain gold into the open spaces in the etched PMMA. The mask and sample are mounted in an exposure cassette with a fixed spacer of 10 micrometers separating them. The sample consists of a LiNbO3 substrate coated with Shipley XP90104C x-ray resist which has been previously characterized. The x-ray patterning is carried out in an exposure chamber with flowing helium background gas in order to minimize debris deposition on the filters. After etching the x-ray resist, the final patterns are produced using metallization and a standard lift-off technique. The SAW filters are then bonded and packaged onto impedance matching striplines. The resultant devices are tested using Scalar Network Analyzers. The final devices produced had a center frequency of 1.93 GHz with a bandwidth of 98 MHz, close to the expected performance of our simple design.

  20. High-sensitivity x-ray mask damage studies employing holographic gratings and phase-shifting interferometry

    NASA Astrophysics Data System (ADS)

    Hansen, Matthew E.; Cerrina, Franco

    1994-05-01

    A high-sensitivity holographic and interferometric metrology developed at the Center for X- ray Lithography (CXrL) has been employed to investigate in-plane distortions (IPD) produced in x-ray mask materials. This metrology has been applied to characterize damage to x-ray mask materials exposed to synchrotron radiation. X-ray mask damage and accelerated mask damage studies on silicon nitride and silicon carbide were conducted on the Aladdin ES-1 and ES-2 beamline exposure stations, respectively. Accumulated in-plane distortions due to x-ray irradiation were extracted from the incremental interferometric phase maps to yield IPD vs. dose curves for silicon nitride mask blanks. Silicon carbide mask blanks were subjected to accelerated mask damage in the high flux 2 mm X 2 mm beam of the ES-2 exposure station. An accelerated damage study of silicon carbide has shown no in-plane distortion for an accumulated dose of 800 kJ/cm2 with a measurement sensitivity of less than 5 nm.

  1. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  2. Fast prototyping of high-aspect ratio, high-resolution x-ray masks by gas-assisted focused ion beam

    NASA Technical Reports Server (NTRS)

    Hartley, F.; Malek, C.; Neogi, J.

    2001-01-01

    The capacity of chemically-assisted focused ion beam (fib) etching systems to undertake direct and highly anisotropic erosion of thin and thick gold (or other high atomic number [Z])coatings on x-ray mask membranes/substrates provides new levels of precision, flexibility, simplification and rapidity in the manufacture of mask absorber patterns, allowing the fast prototyping of high aspect ratio, high-resolution masks for deep x-ray lithography.

  3. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  4. Development of a low-cost x-ray mask for high-aspect-ratio MEM smart structures

    NASA Astrophysics Data System (ADS)

    Ajmera, Pratul K.; Stadler, Stefan; Abdollahi, Neda

    1998-07-01

    A cost-effective process with short fabrication time for making x-ray masks for research and development purposes is described here for fabricating high-aspect ratio microelectromechanical structures using synchrotron based x- ray lithography. Microscope cover glass slides as membrane material is described. Slides with an initial thickness of 175 micrometers are etched to a thickness in the range of 10 - 25 micrometers using a diluted HF and buffered hydrofluoric acid solutions. The thinned slides are glued on supportive mask frames and sputtered with a chromium/silver sandwich layer which acts as a plating base layer for the deposition of the gold absorber. The judicial choice of glue and mask frame material are significant parameters in a successful fabrication process. Gold absorber structures are electroplated on the membrane. Calculations are done for contrast and dose ratio obtained in the photoresist after synchrotron radiation as a function of the mask design parameters. Exposure experiments are performed to prove the applicability of the fabricated x-ray mask.

  5. Active membrane masks for improved overlay performance in proximity lithography

    NASA Astrophysics Data System (ADS)

    Huston, Dryver R.; Plumpton, James; Esser, Brian; Sullivan, Gerald A.

    2004-07-01

    Membrane masks are thin (2 micron x 35 mm x 35 mm) structures that carry the master exposure patterns in proximity (X-ray) lithography. With the continuous drive to the printing of ever-finer features in microelectronics, the reduction of mask-wafer overlay positioning errors by passive rigid body positioning and passive stress control in the mask becomes impractical due to nano and sub-micron scale elastic deformations in the membrane mask. This paper describes the design, mechanics and performance of a system for actively stretching a membrane mask in-plane to control overlay distortion. The method uses thermoelectric heating/cooling elements placed on the mask perimeter. The thermoelectric elements cause controlled thermoelastic deformations in the supporting wafer, which in turn corrects distortions in the membrane mask. Silicon carbide masks are the focus of this study, but the method is believed to be applicable to other mask materials, such as diamond. Experimental and numerical results will be presented, as well as a discussion of the design issues and related design decisions.

  6. Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-07-01

    The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.

  7. X-ray lithography using holographic images

    DOEpatents

    Howells, Malcolm R.; Jacobsen, Chris

    1995-01-01

    A non-contact X-ray projection lithography method for producing a desired X-ray image on a selected surface of an X-ray-sensitive material, such as photoresist material on a wafer, the desired X-ray image having image minimum linewidths as small as 0.063 .mu.m, or even smaller. A hologram and its position are determined that will produce the desired image on the selected surface when the hologram is irradiated with X-rays from a suitably monochromatic X-ray source of a selected wavelength .lambda.. On-axis X-ray transmission through, or off-axis X-ray reflection from, a hologram may be used here, with very different requirements for monochromaticity, flux and brightness of the X-ray source. For reasonable penetration of photoresist materials by X-rays produced by the X-ray source, the wavelength X, is preferably chosen to be no more than 13.5 nm in one embodiment and more preferably is chosen in the range 1-5 nm in the other embodiment. A lower limit on linewidth is set by the linewidth of available microstructure writing devices, such as an electron beam.

  8. Development of a 0.1 μm linewidth fabrication process for x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Fedosejevs, Robert; Broughton, James N.

    1999-06-01

    A process has been developed for the purpose of fabricating 0.1 micron linewidth interdigital electrode patterns based on proximity x-ray lithography using a laser-plasma source. Such patterns are required in the manufacture of surface acoustic wave devices. The x-ray lithography was carried out using emission form a Cu plasma produced by a 15Hz, 248nm KrF excimer laser. A temporally multiplexed 50ps duration seed pulse was used to extract the KrF laser energy producing a train of several 50ps pulses spaced approximately 2ns apart within each output pulse. Each short pulse within the train gave the high focal spot intensity required to achieve high efficiency emission of keV x-rays. The first stage of the overall process involves the fabrication of x-ray mask patterns on 1 micron thick Si3N4 membranes using 3-beam lithography followed by gold electroplating. The second stage involves x-ray exposure of a chemically amplified resist through the mask patterns to produce interdigital electrode patterns with 0.1 micron linewidth. Helium background gas and thin polycarbonate/aluminum filters are employed to prevent debris particles from the laser-plasma source form reaching the exposed sample. A computer control system fires the laser and monitors the x-ray flux from the laser-plasma source to insure the desired x-ray exposure is achieved at the resist. In order to reduce diffusion effects in the chemically amplified resist during the post exposure bake the temperature had to be reduced from that normally used. Good reproduction of 0.1 micron linewidth patterns into the x-ray resist was obtained once the exposure parameters and post exposure bake were optimized. A compact exposure station using flowing helium at atmospheric pressure has also been developed for the process, alleviating the need for a vacuum chamber. The details of the overall process and the compact exposure station will be presented.

  9. Development of x-ray mask in Taiwan

    NASA Astrophysics Data System (ADS)

    Sheu, Jeng Tzong; Su, Shyang

    1996-05-01

    This paper presents results of using silicon carbide (SiC) and silicon-rich silicon nitride (SiNx) as membrane for X-ray masks in technology of X-ray lithography. Microcrystalline silicon carbide film was deposited on silicon substrate by electron synchrotron resonance plasma-enhanced chemical vapor deposition at 300 degrees C utilizing a SiH4/CH4/H2/Ar gas mixture. Low tensile stress film which is suitable as X-ray membrane can be achieved by annealing after silicon carbide film deposition. The microwave power over 800 watts and the gas ratio (Methane:Silane) larger than 1.5 are needed for the stoichiometry of SiC film. On the other hand, we deposited silicon-rich silicon nitride film on silicon substrate by low pressure chemical vapor deposition at 850 degrees C to 900 degrees C. In order to get low tensile stress film, different gas flow ratios (Dichlorosilane:Ammonia) were tested. The increased gas flow ratio (Dichlorosilane:Ammonia) and the increased deposition temperature are related to the decrease of tensile stress of film. Roughness, uniformity, optical transmittance and soft X-ray transmission of both films are reported. The absorption bands of both films were measured by FTIR spectroscopy. The surface morphology was monitored by AFM. The photon transmission of both films was measured in the range of 400 to 800 nm for visible light and 800 to 1600 ev photon energy for SR soft X-ray transmission was conducted at the Synchrotron Radiation Research Center, Hsinchu, Taiwan. The deposition rate of both films are 13 nm/min and 40 nm/min for silicon nitride and silicon carbide, respectively.

  10. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  11. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  12. X-ray/VUV transmission gratings for astrophysical and laboratory applications

    NASA Technical Reports Server (NTRS)

    Schattenburg, M. L.; Anderson, E. H.; Smith, Henry I.

    1990-01-01

    This paper describes the techniques used to fabricate deep-submicron-period transmission gratings for astrophysical and laboratory applications, with special attention given to the major steps involved in the transmission grating fabrication. These include the holographic lithography procedure used to pattern the master transmission grating, the fabrication of X-ray mask, the X-ray lithography step used to transfer the X-ray mask pattern into a substrate, and the electroplating of the substrate to form the final grating pattern. The various ways in which transmission gratings can be used in X-ray and VUV spectroscopy are discussed together with some examples of experiments reported in the literature.

  13. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    PubMed

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  14. X-ray mask fabrication advancements at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-05-01

    The Microlithographic Mask Development Center (MMD) was established as the X-ray mask manufacturing facility at the IBM Microelectronics Division semiconductor fabricator in Essex Junction, Vermont. This center, in operation for over two years, produces high yielding, defect-free X-ray masks for competitive logic and memory products at 250nm groundrules and below. The MMD is a complete mask facility that manufactures silicon membrane mask blanks in the NIST format and finished masks with electroplated gold X-ray absorber. Mask patterning, with dimensions as small as 180 nm, is accomplished using IBM-built variable shaped spot e-beam systems. Masks are routinely inspected and repaired using state-of-the-art equipment: two KLA SEM Specs for defect inspection, a Leica LMS 2000 for image placement characterization, an Amray 2040c for image dimension characterization and a Micrion 8000 XMR for defect repair. This facility maintains a baseline mask process with daily production of 250nm, 32Mb SRAM line monitor masks for the continuous improvement of mask quality and processes. Development masks are produced for several semiconductor manufacturers including IBM, Motorola, Loral, and Sanders. Masks for 64Mb and 256Mb DRAM (IBM) and advanced logic/SRAM (IBM and Motorola) designs have also been delivered. This paper describes the MMD facility and its technical capabilities. Key manufacturing metrics such as mask turnaround time, parametric yield learning and defect reduction activities are highlighted. The challenges associated with improved mask quality, sub-180nm mask fabrication, and the transition to refractory metal absorber are discussed.

  15. Development of broadband X-ray interference lithography large area exposure system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xue, Chaofan; Wu, Yanqing, E-mail: wuyanqing@sinap.ac.cn, E-mail: zhaojun@sinap.ac.cn, E-mail: tairenzhong@sinap.ac.cn; Zhu, Fangyuan

    2016-04-15

    The single-exposure patterned area is about several 10{sup 2} × 10{sup 2} μm{sup 2} which is mainly decided by the mask area in multi-beam X-ray interference lithography (XIL). The exposure area is difficult to stitch to a larger one because the patterned area is surrounded by 0th diffraction exposure areas. To block the 0th diffraction beams precisely and effectively, a new large area exposure technology is developed in the Shanghai Synchrotron Radiation Facility by applying an order-sorting aperture with a new in situ monitoring scheme in the XIL system. The patterned area could be stitched readily up to several squaremore » centimeters and even bigger by this technology.« less

  16. X-ray mask and method for making

    DOEpatents

    Morales, Alfredo M.

    2004-10-26

    The present invention describes a method for fabricating an x-ray mask tool which is a contact lithographic mask which can provide an x-ray exposure dose which is adjustable from point-to-point. The tool is useful in the preparation of LIGA plating molds made from PMMA, or similar materials. In particular the tool is useful for providing an ability to apply a graded, or "stepped" x-ray exposure dose across a photosensitive substrate. By controlling the x-ray radiation dose from point-to-point, it is possible to control the development process for removing exposed portions of the substrate; adjusting it such that each of these portions develops at a more or less uniformly rate regardless of feature size or feature density distribution.

  17. Fabrication of absorption gratings with X-ray lithography for X-ray phase contrast imaging

    NASA Astrophysics Data System (ADS)

    Wang, Bo; Wang, Yu-Ting; Yi, Fu-Ting; Zhang, Tian-Chong; Liu, Jing; Zhou, Yue

    2018-05-01

    Grating-based X-ray phase contrast imaging is promising especially in the medical area. Two or three gratings are involved in grating-based X-ray phase contrast imaging in which the absorption grating of high-aspect-ratio is the most important device and the fabrication process is a great challenge. The material with large atomic number Z is used to fabricate the absorption grating for excellent absorption of X-ray, and Au is usually used. The fabrication process, which involves X-ray lithography, development and gold electroplating, is described in this paper. The absorption gratings with 4 μm period and about 100 μm height are fabricated and the high-aspect-ratio is 50.

  18. Coded mask telescopes for X-ray astronomy

    NASA Astrophysics Data System (ADS)

    Skinner, G. K.; Ponman, T. J.

    1987-04-01

    The principle of the coded mask techniques are discussed together with the methods of image reconstruction. The coded mask telescopes built at the University of Birmingham, including the SL 1501 coded mask X-ray telescope flown on the Skylark rocket and the Coded Mask Imaging Spectrometer (COMIS) projected for the Soviet space station Mir, are described. A diagram of a coded mask telescope and some designs for coded masks are included.

  19. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  20. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  1. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  2. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  3. X-ray mask and method for providing same

    DOEpatents

    Morales, Alfredo M [Pleasanton, CA; Skala, Dawn M [Fremont, CA

    2004-09-28

    The present invention describes a method for fabricating an x-ray mask tool which can achieve pattern features having lateral dimension of less than 1 micron. The process uses a thin photoresist and a standard lithographic mask to transfer an trace image pattern in the surface of a silicon wafer by exposing and developing the resist. The exposed portion of the silicon substrate is then anisotropically etched to provide an etched image of the trace image pattern consisting of a series of channels in the silicon having a high depth-to-width aspect ratio. These channels are then filled by depositing a metal such as gold to provide an inverse image of the trace image and thereby providing a robust x-ray mask tool.

  4. X-ray mask and method for providing same

    DOEpatents

    Morales, Alfredo M.; Skala, Dawn M.

    2002-01-01

    The present invention describes a method for fabricating an x-ray mask tool which can achieve pattern features having lateral dimension of less than 1 micron. The process uses a thin photoresist and a standard lithographic mask to transfer an trace image pattern in the surface of a silicon wafer by exposing and developing the resist. The exposed portion of the silicon substrate is then anisotropically etched to provide an etched image of the trace image pattern consisting of a series of channels in the silicon having a high depth-to-width aspect ratio. These channels are then filled by depositing a metal such as gold to provide an inverse image of the trace image and thereby providing a robust x-ray mask tool.

  5. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  6. The magic of 4X mask reduction

    NASA Astrophysics Data System (ADS)

    Lercel, Michael

    2006-06-01

    Although changing the mask reduction factor from 4X to a larger value offers several technical advantages, previous attempts to enact this change have not identified enough clear technical advantages to overcome the impact to productivity. Improvements in mask manufacturing, mask polarization effects, and optics cost have not been thought to be sufficient reason to accept a reduced throughput and field size. This paper summarizes the latest workshop and discussion revisiting the mask reduction factor for 32nm half-pitch lithography with hyper-numerical aperture (NA) optical or extreme ultraviolet lithography (EUVL). The workshop consensus was strongly in favor of maintaining the current magnification ratio and field size as long as mask costs can be contained.

  7. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  8. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  9. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  10. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  11. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  12. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  13. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  14. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  15. Advanced refractory-metal and process technology for the fabrication of x-ray masks

    NASA Astrophysics Data System (ADS)

    Brooks, Cameron J.; Racette, Kenneth C.; Lercel, Michael J.; Powers, Lynn A.; Benoit, Douglas E.

    1999-06-01

    This paper provides an in-depth report of the advanced materials and process technology being developed for x-ray mask manufacturing at IBM. Masks using diamond membranes as replacement for silicon carbide are currently being fabricated. Alternate tantalum-based absorbers, such as tantalum boron, which offer improved etch resolution and critical dimension control, as well as higher x-ray absorption, are also being investigated. In addition to the absorber studies, the development of conductive chromium- based hard-mask films to replace the current silicon oxynitride layer is being explored. The progress of this advanced-materials work, which includes significant enhancements to x-ray mask image-placement performance, will be outlined.

  16. Edge-illumination x-ray phase contrast imaging with Pt-based metallic glass masks

    NASA Astrophysics Data System (ADS)

    Saghamanesh, Somayeh; Aghamiri, Seyed Mahmoud-Reza; Olivo, Alessandro; Sadeghilarijani, Maryam; Kato, Hidemi; Kamali-Asl, Alireza; Yashiro, Wataru

    2017-06-01

    Edge-illumination x-ray phase contrast imaging (EI XPCI) is a non-interferometric phase-sensitive method where two absorption masks are employed. These masks are fabricated through a photolithography process followed by electroplating which is challenging in terms of yield as well as time- and cost-effectiveness. We report on the first implementation of EI XPCI with Pt-based metallic glass masks fabricated by an imprinting method. The new tested alloy exhibits good characteristics including high workability beside high x-ray attenuation. The fabrication process is easy and cheap, and can produce large-size masks for high x-ray energies within minutes. Imaging experiments show a good quality phase image, which confirms the potential of these masks to make the EI XPCI technique widely available and affordable.

  17. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  18. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  19. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  20. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  1. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  2. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  3. Vacuum system for room temperature X-ray lithography source (XLS)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schuchman, J.C.

    1988-09-30

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  4. Vacuum system for room temperature X-ray lithography source (XLS)

    NASA Astrophysics Data System (ADS)

    Schuchman, J. C.

    1988-09-01

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  5. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  6. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  7. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  8. Report of the workshop on transferring X-ray Lithography Synchrotron (XLS) technology to industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marcuse, W.

    1987-01-01

    This paper reports on plans to develop an x-ray synchrotron for use in lithography. The primary concern of the present paper is technology transfer from national laboratories to private industry. (JDH)

  9. High throughput fabrication of large-area plasmonic color filters by soft-X-ray interference lithography.

    PubMed

    Sun, Libin; Hu, Xiaolin; Wu, Qingjun; Wang, Liansheng; Zhao, Jun; Yang, Shumin; Tai, Renzhong; Fecht, Hans-Jorg; Zhang, Dong-Xian; Wang, Li-Qiang; Jiang, Jian-Zhong

    2016-08-22

    Plasmonic color filters in mass production have been restricted from current fabrication technology, which impede their applications. Soft-X-ray interference lithography (XIL) has recently generated considerable interest as a newly developed technique for the production of periodic nano-structures with resolution theoretically below 4 nm. Here we ameliorate XIL by adding an order sorting aperture and designing the light path properly to achieve perfect-stitching nano-patterns and fast fabrication of large-area color filters. The fill factor of nanostructures prepared on ultrathin Ag films can largely affect the transmission minimum of plasmonic color filters. By changing the fill factor, the color can be controlled flexibly, improving the utilization efficiency of the mask in XIL simultaneously. The calculated data agree well with the experimental results. Finally, an underlying mechanism has been uncovered after systematically analyzing the localized surface plasmon polaritons (LSPPs) coupling in electric field distribution.

  10. Condenser optics, partial coherence, and imaging for soft-x-ray projection lithography.

    PubMed

    Sommargren, G E; Seppala, L G

    1993-12-01

    A condenser system couples the radiation source to an imaging system, controlling the uniformity and partial coherence at the object, which ultimately affects the characteristics of the aerial image. A soft-x-ray projection lithography system based on a ring-field imaging system and a laser-produced plasma x-ray source places considerable constraints on the design of a condenser system. Two designs are proposed, critical illumination and Köhler illumination, each of which requires three mirrors and scanning for covering the entire ring field with the required uniformity and partial coherence. Images based on Hopkins' formulation of partially coherent imaging are simulated.

  11. Performance of the ALTA 3500 scanned-laser mask lithography system

    NASA Astrophysics Data System (ADS)

    Buck, Peter D.; Buxbaum, Alex H.; Coleman, Thomas P.; Tran, Long

    1998-09-01

    The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.

  12. Rapid prototyping of Fresnel zone plates via direct Ga(+) ion beam lithography for high-resolution X-ray imaging.

    PubMed

    Keskinbora, Kahraman; Grévent, Corinne; Eigenthaler, Ulrike; Weigand, Markus; Schütz, Gisela

    2013-11-26

    A significant challenge to the wide utilization of X-ray microscopy lies in the difficulty in fabricating adequate high-resolution optics. To date, electron beam lithography has been the dominant technique for the fabrication of diffractive focusing optics called Fresnel zone plates (FZP), even though this preparation method is usually very complicated and is composed of many fabrication steps. In this work, we demonstrate an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga(+) beam lithography practically, in a single step. This method enabled us to prepare a high-resolution FZP in less than 13 min. The performance of the FZP was evaluated in a scanning transmission soft X-ray microscope where nanostructures as small as sub-29 nm in width were clearly resolved, with an ultimate cutoff resolution of 24.25 nm, demonstrating the highest first-order resolution for any FZP fabricated by the ion beam lithography technique. This rapid and simple fabrication scheme illustrates the capabilities and the potential of direct ion beam lithography (IBL) and is expected to increase the accessibility of high-resolution optics to a wider community of researchers working on soft X-ray and extreme ultraviolet microscopy using synchrotron radiation and advanced laboratory sources.

  13. Mask manufacturing of advanced technology designs using multi-beam lithography (Part 1)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-10-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking Sub-Resolution Assist Features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, we study one such process, characterizing mask manufacturing capability of 10nm and below structures with particular focus on minimum resolution and pattern fidelity.

  14. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  15. Gray scale x-ray mask

    DOEpatents

    Morales, Alfredo M [Livermore, CA; Gonzales, Marcela [Seattle, WA

    2006-03-07

    The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.

  16. Mask manufacturing of advanced technology designs using multi-beam lithography (part 2)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-09-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced optical proximity correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking sub-resolution assist features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, Part 2 of our study, we further characterize an MBMW process for 10nm and below logic node mask manufacturing including advanced pattern analysis and write time demonstration.

  17. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    NASA Astrophysics Data System (ADS)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  18. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    PubMed

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  19. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  20. Accelerated radiation damage testing of x-ray mask membrane materials

    NASA Astrophysics Data System (ADS)

    Seese, Philip A.; Cummings, Kevin D.; Resnick, Douglas J.; Yanof, Arnold W.; Johnson, William A.; Wells, Gregory M.; Wallace, John P.

    1993-06-01

    An accelerated test method and resulting metrology data are presented to show the effects of x- ray radiation on various x-ray mask membrane materials. A focused x-ray beam effectively reduces the radiation time to 1/5 of that required by normal exposure beam flux. Absolute image displacement results determined by this method indicate imperceptible movement for boron-doped silicon and silicon carbide membranes at a total incident dose of 500 KJ/cm2, while image displacement for diamond is 50 nm at 150 KJ/cm2 and silicon nitride is 70 nm at 36 KJ/cm2. Studies of temperature rise during the radiation test and effects of the high flux radiation, i.e., reciprocity tests, demonstrate the validity of this test method.

  1. Two-dimensional ultrahigh-density X-ray optical memory.

    PubMed

    Bezirganyan, Hakob P; Bezirganyan, Siranush E; Bezirganyan, Hayk H; Bezirganyan, Petros H

    2007-01-01

    Most important aspect of nanotechnology applications in the information ultrahigh storage is the miniaturization of data carrier elements of the storage media with emphasis on the long-term stability. Proposed two-dimensional ultrahigh-density X-ray optical memory, named X-ROM, with long-term stability is an information carrier basically destined for digital data archiving. X-ROM is a semiconductor wafer, in which the high-reflectivity nanosized X-ray mirrors are embedded. Data are encoded due to certain positions of the mirrors. Ultrahigh-density data recording procedure can e.g., be performed via mask-less zone-plate-array lithography (ZPAL), spatial-phase-locked electron-beam lithography (SPLEBL), or focused ion-beam lithography (FIB). X-ROM manufactured by nanolithography technique is a write-once memory useful for terabit-scale memory applications, if the surface area of the smallest recording pits is less than 100 nm2. In this case the X-ROM surface-storage capacity of a square centimetre becomes by two orders of magnitude higher than the volumetric data density really achieved for three-dimensional optical data storage medium. Digital data read-out procedure from proposed X-ROM can e.g., be performed via glancing-angle incident X-ray micro beam (GIX) using the well-developed X-ray reflectometry technique. In presented theoretical paper the crystal-analyser operating like an image magnifier is added to the set-up of X-ROM data handling system for the purpose analogous to case of application the higher numerical aperture objective in optical data read-out system. We also propose the set-up of the X-ROM readout system based on more the one incident X-ray micro beam. Presented scheme of two-beam data handling system, which operates on two mutually perpendicular well-collimated monochromatic incident X-ray micro beams, essentially increases the reliability of the digital information read-out procedure. According the graphs of characteristic functions presented in

  2. Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings

    NASA Astrophysics Data System (ADS)

    Palitzsch, Katrin; Kubis, Michael; Schroeder, Uwe P.; Schumacher, Karl; Frangen, Andreas

    2004-05-01

    CD control is crucial to maximize product yields on 300mm wafers. This is particularly true for DRAM frontend lithography layers, like gate level, and deep trench (capacitor) level. In the DRAM process, large areas of the chip are taken up by array structures, which are difficult to structure due to aggressive pitch requirements. Consequently, the lithography process is centered such that the array structures are printed on target. Optical proximity correction is applied to print gate level structures in the periphery circuitry on target. Only slight differences of the different Zernike terms can cause rather large variations of the proximity curves, resulting in a difference of isolated and semi-isolated lines printed on different tools. If the deviations are too large, tool specific OPC is needed. The same is true for deep trench level, where the length to width ratio of elongated contact-like structures is an important parameter to adjust the electrical properties of the chip. Again, masks with specific biases for tools with different Zernikes are needed to optimize product yield. Additionally, mask making contributes to the CD variation of the process. Theoretically, the CD deviation caused by an off-centered mask process can easily eat up the majority of the CD budget of a lithography process. In practice, masks are very often distributed intelligently among production tools, such that lens and mask effects cancel each other. However, only dose adjusting and mask allocation may still result in a high CD variation with large systematical contributions. By adjusting the illumination settings, we have successfully implemented a method to reduce CD variation on our advanced processes. Especially inner and outer sigma for annular illumination, and the numerical aperture, can be optimized to match mask and stepper properties. This process will be shown to overcome slight lens and mask differences effectively. The effects on lithography process windows have to be

  3. Joint optimization of source, mask, and pupil in optical lithography

    NASA Astrophysics Data System (ADS)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  4. Use of simulation to optimize the pinhole diameter and mask thickness for an x-ray backscatter imaging system

    NASA Astrophysics Data System (ADS)

    Vella, A.; Munoz, Andre; Healy, Matthew J. F.; Lane, David; Lockley, D.

    2017-08-01

    The PENELOPE Monte Carlo simulation code was used to determine the optimum thickness and aperture diameter of a pinhole mask for X-ray backscatter imaging in a security application. The mask material needs to be thick enough to absorb most X-rays, and the pinhole must be wide enough for sufficient field of view whilst narrow enough for sufficient image spatial resolution. The model consisted of a fixed geometry test object, various masks with and without pinholes, and a 1040 x 1340 pixels' area detector inside a lead lined camera housing. The photon energy distribution incident upon masks was flat up to selected energy limits. This artificial source was used to avoid the optimisation being specific to any particular X-ray source technology. The pixelated detector was modelled by digitising the surface area represented by the PENELOPE phase space file and integrating the energies of the photons impacting within each pixel; a MATLAB code was written for this. The image contrast, signal to background ratio, spatial resolution, and collimation effect were calculated at the simulated detector as a function of pinhole diameter and various thicknesses of mask made of tungsten, tungsten/epoxy composite or bismuth alloy. A process of elimination was applied to identify suitable masks for a viable X-ray backscattering security application.

  5. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  6. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  7. MTO-like reference mask modeling for advanced inverse lithography technology patterns

    NASA Astrophysics Data System (ADS)

    Park, Jongju; Moon, Jongin; Son, Suein; Chung, Donghoon; Kim, Byung-Gook; Jeon, Chan-Uk; LoPresti, Patrick; Xue, Shan; Wang, Sonny; Broadbent, Bill; Kim, Soonho; Hur, Jiuk; Choo, Min

    2017-07-01

    Advanced Inverse Lithography Technology (ILT) can result in mask post-OPC databases with very small address units, all-angle figures, and very high vertex counts. This creates mask inspection issues for existing mask inspection database rendering. These issues include: large data volumes, low transfer rate, long data preparation times, slow inspection throughput, and marginal rendering accuracy leading to high false detections. This paper demonstrates the application of a new rendering method including a new OASIS-like mask inspection format, new high-speed rendering algorithms, and related hardware to meet the inspection challenges posed by Advanced ILT masks.

  8. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, S.J.; Seppala, L.G.

    1998-04-07

    A critical illumination condenser system is disclosed, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 {micro}m source and requires a magnification of 26. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth. 6 figs.

  9. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, Simon J.; Seppala, Lynn G.

    1998-01-01

    A critical illumination condenser system, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 .mu.m source and requires a magnification of 26.times.. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth.

  10. Chromaticity calculations and code comparisons for x-ray lithography source XLS and SXLS rings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parsa, Z.

    1988-06-16

    This note presents the chromaticity calculations and code comparison results for the (x-ray lithography source) XLS (Chasman Green, XUV Cosy lattice) and (2 magnet 4T) SXLS lattices, with the standard beam optic codes, including programs SYNCH88.5, MAD6, PATRICIA88.4, PATPET88.2, DIMAD, BETA, and MARYLIE. This analysis is a part of our ongoing accelerator physics code studies. 4 figs., 10 tabs.

  11. Improved mask-based CD uniformity for gridded-design-rule lithography

    NASA Astrophysics Data System (ADS)

    Faivishevsky, Lev; Khristo, Sergey; Sagiv, Amir; Mangan, Shmoolik

    2009-03-01

    The difficulties encountered during lithography of state-of-the-art 2D patterns are formidable, and originate from the fact that deep sub-wavelength features are being printed. This results in a practical limit of k1 >=0.4 as well as a multitude of complex restrictive design rules, in order to mitigate or minimize lithographic hot spots. An alternative approach, that is gradually attracting the lithographic community's attention, restricts the design of critical layers to straight, dense lines (a 1D grid), that can be relatively easily printed using current lithographic technology. This is then followed by subsequent, less critical trimming stages to obtain circuit functionality. Thus, the 1D gridded approach allows hotspot-free, proximity-effect free lithography of ultra low- k1 features. These advantages must be supported by a stable CD control mechanism. One of the overriding parameters impacting CDU performance is photo mask quality. Previous publications have demonstrated that IntenCDTM - a novel, mask-based CDU mapping technology running on Applied Materials' Aera2TM aerial imaging mask inspection tool - is ideally fit for detecting mask-based CDU issues in 1D (L&S) patterned masks for memory production. Owing to the aerial nature of image formation, IntenCD directly probes the CD as it is printed on the wafer. In this paper we suggest that IntenCD is naturally fit for detecting mask-based CDU issues in 1D GDR masks. We then study a novel method of recovering and quantifying the physical source of printed CDU, using a novel implementation of the IntenCD technology. We demonstrate that additional, simple measurements, which can be readily performed on board the Aera2TM platform with minimal throughput penalty, may complement IntenCD and allow a robust estimation of the specific nature and strength of mask error source, such as pattern width variation or phase variation, which leads to CDU issues on the printed wafer. We finally discuss the roles played by

  12. Supercritical CO2 drying of poly(methyl methacrylate) photoresist for deep x-ray lithography: a brief note

    NASA Astrophysics Data System (ADS)

    Shukla, Rahul; Abhinandan, Lala; Sharma, Shivdutt

    2017-07-01

    Poly(methyl methacrylate) (PMMA) is an extensively used positive photoresist for deep x-ray lithography. The post-development release of the microstructures of PMMA becomes very critical for high aspect ratio fragile and freestanding microstructures. Release of high aspect ratio comb-drive microstructure of PMMA made by one-step x-ray lithography (OXL) is studied. The effect of low-surface tension Isopropyl alcohol (IPA) over water is investigated for release of the high aspect ratio microstructures using conventional and supercritical (SC) CO2 drying. The results of conventional drying are also compared for the samples released or dried in both in-house developed and commercial SC CO2 dryer. It is found that in all cases the microstructures of PMMA are permanently deformed and damaged while using SC CO2 for drying. For free-standing high aspect ratio microstructures of PMMA made by OXL, it is advised to use low-surface tension IPA over DI water. However, this brings a limitation on the design of the microstructure.

  13. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  14. Accuracy and performance of 3D mask models in optical projection lithography

    NASA Astrophysics Data System (ADS)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  15. High energy X-ray phase and dark-field imaging using a random absorption mask.

    PubMed

    Wang, Hongchang; Kashyap, Yogesh; Cai, Biao; Sawhney, Kawal

    2016-07-28

    High energy X-ray imaging has unique advantage over conventional X-ray imaging, since it enables higher penetration into materials with significantly reduced radiation damage. However, the absorption contrast in high energy region is considerably low due to the reduced X-ray absorption cross section for most materials. Even though the X-ray phase and dark-field imaging techniques can provide substantially increased contrast and complementary information, fabricating dedicated optics for high energies still remain a challenge. To address this issue, we present an alternative X-ray imaging approach to produce transmission, phase and scattering signals at high X-ray energies by using a random absorption mask. Importantly, in addition to the synchrotron radiation source, this approach has been demonstrated for practical imaging application with a laboratory-based microfocus X-ray source. This new imaging method could be potentially useful for studying thick samples or heavy materials for advanced research in materials science.

  16. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  17. Proximity Effect Correction by Pattern Modified Stencil Mask in Large-Field Projection Electron-Beam Lithography

    NASA Astrophysics Data System (ADS)

    Kobinata, Hideo; Yamashita, Hiroshi; Nomura, Eiichi; Nakajima, Ken; Kuroki, Yukinori

    1998-12-01

    A new method for proximity effect correction, suitable for large-field electron-beam (EB) projection lithography with high accelerating voltage, such as SCALPEL and PREVAIL in the case where a stencil mask is used, is discussed. In this lithography, a large-field is exposed by the same dose, and thus, the dose modification method, which is used in the variable-shaped beam and the cell projection methods, cannot be used in this case. In this study, we report on development of a new proximity effect correction method which uses a pattern modified stencil mask suitable for high accelerating voltage and large-field EB projection lithography. In order to obtain the mask bias value, we have investigated linewidth reduction, due to the proximity effect, in the peripheral memory cell area, and found that it could be expressed by a simple function and all the correction parameters were easily determined from only the mask pattern data. The proximity effect for the peripheral array pattern could also be corrected by considering the pattern density. Calculated linewidth deviation was 3% or less for a 0.07-µm-L/S memory cell pattern and 5% or less for a 0.14-µm-line and 0.42-µm-space peripheral array pattern, simultaneously.

  18. Synchrotron Radiation Damage Mechanism of X-Ray Mask Membranes Irradiated in Helium Environment

    NASA Astrophysics Data System (ADS)

    Arakawa, Tomiyuki; Okuyama, Hiroshi; Okada, Koichi; Nagasawa, Hiroyuki; Syoki, Tsutomu; Yamaguchi, Yoh-ichi

    1992-12-01

    The mechanism of X-ray mask membrane displacement induced by synchrotron radiation (SR) has been discussed. Silicon nitride (SiN) and silicon carbide (SiC) membranes were irradiated by SR in a 1 atm helium ambient. SR-induced displacement for both membranes was 25-97 nm (σ). Oxygen concentration in both SiN and SiC was below 0.01 in O/Si atomic ratio. Although an increase in dangling bond density of SiN was observed, no remarkable increase in spin density was detected in SiC. Moreover, the most important finding was that thin oxides were grown on the membrane surface after SR irradiation. From these results, it is considered that the oxide growth on SiC membrane surfaces, and both the oxide growth and the increase of dangling bond density in SiN play an important role in the SR-induced displacement for the X-ray mask membranes.

  19. Method for characterizing mask defects using image reconstruction from X-ray diffraction patterns

    DOEpatents

    Hau-Riege, Stefan Peter [Fremont, CA

    2007-05-01

    The invention applies techniques for image reconstruction from X-ray diffraction patterns on the three-dimensional imaging of defects in EUVL multilayer films. The reconstructed image gives information about the out-of-plane position and the diffraction strength of the defect. The positional information can be used to select the correct defect repair technique. This invention enables the fabrication of defect-free (since repaired) X-ray Mo--Si multilayer mirrors. Repairing Mo--Si multilayer-film defects on mask blanks is a key for the commercial success of EUVL. It is known that particles are added to the Mo--Si multilayer film during the fabrication process. There is a large effort to reduce this contamination, but results are not sufficient, and defects continue to be a major mask yield limiter. All suggested repair strategies need to know the out-of-plane position of the defects in the multilayer.

  20. X-ray lithography using holographic images

    DOEpatents

    Howells, M.S.; Jacobsen, C.

    1997-03-18

    Methods for forming X-ray images having 0.25 {micro}m minimum line widths on X-ray sensitive material are presented. A holographic image of a desired circuit pattern is projected onto a wafer or other image-receiving substrate to allow recording of the desired image in photoresist material. In one embodiment, the method uses on-axis transmission and provides a high flux X-ray source having modest monochromaticity and coherence requirements. A layer of light-sensitive photoresist material on a wafer with a selected surface is provided to receive the image(s). The hologram has variable optical thickness and variable associated optical phase angle and amplitude attenuation for transmission of the X-rays. A second embodiment uses off-axis holography. The wafer receives the holographic image by grazing incidence reflection from a hologram printed on a flat metal or other highly reflecting surface or substrate. In this second embodiment, an X-ray beam with a high degree of monochromaticity and spatial coherence is required. 15 figs.

  1. X-ray lithography using holographic images

    DOEpatents

    Howells, Malcolm S.; Jacobsen, Chris

    1997-01-01

    Methods for forming X-ray images having 0.25 .mu.m minimum line widths on X-ray sensitive material are presented. A holgraphic image of a desired circuit pattern is projected onto a wafer or other image-receiving substrate to allow recording of the desired image in photoresist material. In one embodiment, the method uses on-axis transmission and provides a high flux X-ray source having modest monochromaticity and coherence requirements. A layer of light-sensitive photoresist material on a wafer with a selected surface is provided to receive the image(s). The hologram has variable optical thickness and variable associated optical phase angle and amplitude attenuation for transmission of the X-rays. A second embodiment uses off-axis holography. The wafer receives the holographic image by grazing incidence reflection from a hologram printed on a flat metal or other highly reflecting surface or substrate. In this second embodiment, an X-ray beam with a high degree of monochromaticity and spatial coherence is required.

  2. X-ray/EUV optics for astronomy, microscopy, polarimetry, and projection lithography; Proceedings of the Meeting, San Diego, CA, July 9-13, 1990

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B. (Editor); Walker, Arthur B. C., Jr. (Editor)

    1991-01-01

    Topics discussed in this issue include the fabrication of multilayer X-ray/EUV coatings; the design, characterization, and test of multilayer X-ray/EUV coatings; multilayer X-ray/EUV monochromators and imaging microscopes; X-ray/EUV telescopes; the test and calibration performance of X-ray/EUV instruments; XUV/soft X-ray projection lithography; X-ray/EUV space observatories and missions; X-ray/EUV telescopes for solar research; X-ray/EUV polarimetry; X-ray/EUV spectrographs; and X-ray/EUV filters and gratings. Papers are presented on the deposition-controlled uniformity of multilayer mirrors, interfaces in Mo/Si multilayers, the design and analysis of an aspherical multilayer imaging X-ray microscope, recent developments in the production of thin X-ray reflecting foils, and the ultraprecise scanning technology. Consideration is also given to an active sun telescope array, the fabrication and performance at 1.33 nm of a 0.24-micron-period multilayer grating, a cylindrical proportional counter for X-ray polarimetry, and the design and analysis of the reflection grating arrays for the X-Ray Multi-Mirror Mission.

  3. Mask characterization for CDU budget breakdown in advanced EUV lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  4. Asymmetric masks for laboratory-based X-ray phase-contrast imaging with edge illumination.

    PubMed

    Endrizzi, Marco; Astolfo, Alberto; Vittoria, Fabio A; Millard, Thomas P; Olivo, Alessandro

    2016-05-05

    We report on an asymmetric mask concept that enables X-ray phase-contrast imaging without requiring any movement in the system during data acquisition. The method is compatible with laboratory equipment, namely a commercial detector and a rotating anode tube. The only motion required is that of the object under investigation which is scanned through the imaging system. Two proof-of-principle optical elements were designed, fabricated and experimentally tested. Quantitative measurements on samples of known shape and composition were compared to theory with good agreement. The method is capable of measuring the attenuation, refraction and (ultra-small-angle) X-ray scattering, does not have coherence requirements and naturally adapts to all those situations in which the X-ray image is obtained by scanning a sample through the imaging system.

  5. High-power modular LED-based illumination systems for mask-aligner lithography.

    PubMed

    Bernasconi, Johana; Scharf, Toralf; Vogler, Uwe; Herzig, Hans Peter

    2018-04-30

    Mask-aligner lithography is traditionally performed using mercury arc lamps with wavelengths ranging from 250 nm to 600 nm with intensity peaks at the i, g and h lines. Since mercury arc lamps present several disadvantages, it is of interest to replace them with high power light emitting diodes (LEDs), which recently appeared on the market at those wavelengths. In this contribution, we present a prototype of an LED-based mask-aligner illumination. An optical characterization is made and the prototype is tested in a mask-aligner. Very good performances are demonstrated. The measured uniformity in the mask plane is 2.59 ± 0.24 % which is within the uniformity of the standard lamp. Print tests show resolution of 1 micron in contact printing and of 3 microns in proximity printing with a proximity gap of 30 microns.

  6. Compton backscattered collimated x-ray source

    DOEpatents

    Ruth, R.D.; Huang, Z.

    1998-10-20

    A high-intensity, inexpensive and collimated x-ray source is disclosed for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications. 4 figs.

  7. Compton backscattered collimated x-ray source

    DOEpatents

    Ruth, Ronald D.; Huang, Zhirong

    1998-01-01

    A high-intensity, inexpensive and collimated x-ray source for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications.

  8. Compton backscattered collmated X-ray source

    DOEpatents

    Ruth, Ronald D.; Huang, Zhirong

    2000-01-01

    A high-intensity, inexpensive and collimated x-ray source for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications.

  9. Advances in maskless and mask-based optical lithography on plastic flexible substrates

    NASA Astrophysics Data System (ADS)

    Barbu, Ionut; Ivan, Marius G.; Giesen, Peter; Van de Moosdijk, Michel; Meinders, Erwin R.

    2009-12-01

    Organic flexible electronics is an emerging technology with huge potential growth in the future which is likely to open up a complete new series of potential applications such as flexible OLED-based displays, urban commercial signage, and flexible electronic paper. The transistor is the fundamental building block of all these applications. A key challenge in patterning transistors on flexible plastic substrates stems from the in-plane nonlinear deformations as a consequence of foil expansion/shrinkage, moisture uptake, baking etc. during various processing steps. Optical maskless lithography is one of the potential candidates for compensating for these foil distortions by in-situ adjustment prior to exposure of the new layer image with respect to the already patterned layers. Maskless lithography also brings the added value of reducing the cost-of-ownership related to traditional mask-based tools by eliminating the need for expensive masks. For the purpose of this paper, single-layer maskless exposures at 355 nm were performed on gold-coated poly(ethylenenaphthalate) (PEN) flexible substrates temporarily attached to rigid carriers to ensure dimensional stability during processing. Two positive photoresists were employed for this study and the results on plastic foils were benchmarked against maskless as well as mask-based (ASML PAS 5500/100D stepper) exposures on silicon wafers.

  10. Design criteria for small coded aperture masks in gamma-ray astronomy

    NASA Technical Reports Server (NTRS)

    Sembay, S.; Gehrels, Neil

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy gamma-ray astronomy has concentrated on masks with large numbers of elements. For gamma-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyze by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. A particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns is developed. The results of such a computer analysis for masks up to dimension 5 x 5 unit cell are presented and it is concluded that there is a great deal of flexibility in the choice of mask pattern for each dimension.

  11. OSA Proceedings of the Topical Meeting on Soft-X-Ray Projection Lithography Held in Monterey, California on 10-12 April 1991. Volume 12

    DTIC Science & Technology

    1992-05-22

    Carbide because of its high thermal the mirror on its backside or edge. Shott Zerodur conductivity. Edge cooling causes a larger exceeded the limit by about...Characterization Angstrom-level noncontact profiling of mirrors for soft x-ray lithography............ 134 Paul Glenn Nonspecular Scattering from X-Ray...structed by patterning a Mo/Si Tropel Division of GCA Corporation. multilayer coated silicon wafer. The mirrors were coated at AT&T Bell The multilayer

  12. X-ray transmissive debris shield

    DOEpatents

    Spielman, R.B.

    1996-05-21

    An X-ray debris shield for use in X-ray lithography that is comprised of an X-ray window having a layer of low density foam exhibits increased longevity without a substantial increase in exposure time. The low density foam layer serves to absorb the debris emitted from the X-ray source and attenuate the shock to the window so as to reduce the chance of breakage. Because the foam is low density, the X-rays are hardly attenuated by the foam and thus the exposure time is not substantially increased.

  13. X-ray transmissive debris shield

    DOEpatents

    Spielman, Rick B.

    1996-01-01

    An X-ray debris shield for use in X-ray lithography that is comprised of an X-ray window having a layer of low density foam exhibits increased longevity without a substantial increase in exposure time. The low density foam layer serves to absorb the debris emitted from the X-ray source and attenuate the shock to the window so as to reduce the chance of breakage. Because the foam is low density, the X-rays are hardly attenuated by the foam and thus the exposure time is not substantially increased.

  14. Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyoung Ryu, Yu; Garcia, Ricardo, E-mail: r.garcia@csic.es; Aitor Postigo, Pablo

    2014-06-02

    Silicon nanowires are key elements to fabricate very sensitive mechanical and electronic devices. We provide a method to fabricate sub-12 nm silicon nanowires in thickness by combining oxidation scanning probe lithography and anisotropic dry etching. Extremely thin oxide masks (0.3–1.1 nm) are transferred into nanowires of 2–12 nm in thickness. The width ratio between the mask and the silicon nanowire is close to one which implies that the nanowire width is controlled by the feature size of the nanolithography. This method enables the fabrication of very small single silicon nanowires with cross-sections below 100 nm{sup 2}. Those values are the smallest obtained withmore » a top-down lithography method.« less

  15. Fabrication process for a gradient index x-ray lens

    DOEpatents

    Bionta, R.M.; Makowiecki, D.M.; Skulina, K.M.

    1995-01-17

    A process is disclosed for fabricating high efficiency x-ray lenses that operate in the 0.5-4.0 keV region suitable for use in biological imaging, surface science, and x-ray lithography of integrated circuits. The gradient index x-ray optics fabrication process broadly involves co-sputtering multi-layers of film on a wire, followed by slicing and mounting on block, and then ion beam thinning to a thickness determined by periodic testing for efficiency. The process enables the fabrication of transmissive gradient index x-ray optics for the 0.5-4.0 keV energy range. This process allows the fabrication of optical elements for the next generation of imaging and x-ray lithography instruments in the soft x-ray region. 13 figures.

  16. Fabrication process for a gradient index x-ray lens

    DOEpatents

    Bionta, Richard M.; Makowiecki, Daniel M.; Skulina, Kenneth M.

    1995-01-01

    A process for fabricating high efficiency x-ray lenses that operate in the 0.5-4.0 keV region suitable for use in biological imaging, surface science, and x-ray lithography of integrated circuits. The gradient index x-ray optics fabrication process broadly involves co-sputtering multi-layers of film on a wire, followed by slicing and mounting on block, and then ion beam thinning to a thickness determined by periodic testing for efficiency. The process enables the fabrication of transmissive gradient index x-ray optics for the 0.5-4.0 keV energy range. This process allows the fabrication of optical elements for the next generation of imaging and x-ray lithography instruments m the soft x-ray region.

  17. Ultra-short wavelength x-ray system

    DOEpatents

    Umstadter, Donald [Ann Arbor, MI; He, Fei [Ann Arbor, MI; Lau, Yue-Ying [Potomac, MD

    2008-01-22

    A method and apparatus to generate a beam of coherent light including x-rays or XUV by colliding a high-intensity laser pulse with an electron beam that is accelerated by a synchronized laser pulse. Applications include x-ray and EUV lithography, protein structural analysis, plasma diagnostics, x-ray diffraction, crack analysis, non-destructive testing, surface science and ultrafast science.

  18. Optical performances of the FM JEM-X masks

    NASA Astrophysics Data System (ADS)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  19. ALF: a facility for x-ray lithography II--a progress report

    NASA Astrophysics Data System (ADS)

    Lesoine, L. G.; Kukkonen, Kenneth W.; Leavey, Jeffrey A.

    1992-07-01

    In our previous paper which we presented here two years ago, we described the ALF (Advanced Lithography Facility), IBM's new facility for X-ray lithography which was built as an addition to the Advanced Semiconductor Technology Center at IBM's semiconductor plant in Hopewell Jct., NY. At that time, we described the structure, its utilities, facilities and special features such as the radiation shielding, control room, clean room and vibration resistant design. The building has been completed and occupied. By the time this paper is presented the storage ring will be commissioned, the clean room occupied, and two beamlines with one stepper operational. In this paper we will review the successful completion of the facility with its associated hardware. The installation of the synchrotron will be described elsewhere. We will also discuss the first measurements of vibration, clean room cleanliness and the effectiveness of the radiation shielding. The ALF was completed on schedule and cost objectives were met. This is attributed to careful planning, close cooperation among all the parties involved from the technical team in IBM Research, the system vendor (Oxford Instruments of Oxford England) to the many contractors and subcontractors and to strong support from IBM senior management. All the planned building specifications were met and the facility has come on-line with a minimum of problems. Most important, the initial measurements show that the radiation shielding plan is sound and that with a few modifications the dose limit of 10% of background will be met. Any concerns about an electron accelerator and synchrotron in an industrial setting have been eliminated.

  20. X-ray backscatter radiography with lower open fraction coded masks

    NASA Astrophysics Data System (ADS)

    Muñoz, André A. M.; Vella, Anna; Healy, Matthew J. F.; Lane, David W.; Jupp, Ian; Lockley, David

    2017-09-01

    Single sided radiographic imaging would find great utility for medical, aerospace and security applications. While coded apertures can be used to form such an image from backscattered X-rays they suffer from near field limitations that introduce noise. Several theoretical studies have indicated that for an extended source the images signal to noise ratio may be optimised by using a low open fraction (<0.5) mask. However, few experimental results have been published for such low open fraction patterns and details of their formulation are often unavailable or are ambiguous. In this paper we address this process for two types of low open fraction mask, the dilute URA and the Singer set array. For the dilute URA the procedure for producing multiple 2D array patterns from given 1D binary sequences (Barker codes) is explained. Their point spread functions are calculated and their imaging properties are critically reviewed. These results are then compared to those from the Singer set and experimental exposures are presented for both type of pattern; their prospects for near field imaging are discussed.

  1. Lithography-based automation in the design of program defect masks

    NASA Astrophysics Data System (ADS)

    Vakanas, George P.; Munir, Saghir; Tejnil, Edita; Bald, Daniel J.; Nagpal, Rajesh

    2004-05-01

    In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM"s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF"s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM"s) in integrated lithographically-based layout methodologies and automation specific to PDM"s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.

  2. CXRO - Mi-Young Im, Staff Scientist

    Science.gov Websites

    X-Ray Database Zone Plate Education Nanomagnetism X-Ray Microscopy LDJIM EUV Lithography EUV Mask Publications Contact The Center for X-Ray Optics is a multi-disciplined research group within Lawrence Berkeley -Ray Optics X-Ray Database Nanomagnetism X-Ray Microscopy EUV Lithography EUV Mask Imaging

  3. Actinic imaging and evaluation of phase structures on EUV lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mochi, Iacopo; Goldberg, Kenneth; Huh, Sungmin

    2010-09-28

    The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of themore » object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.« less

  4. Method for measuring the focal spot size of an x-ray tube using a coded aperture mask and a digital detector.

    PubMed

    Russo, Paolo; Mettivier, Giovanni

    2011-04-01

    The goal of this study is to evaluate a new method based on a coded aperture mask combined with a digital x-ray imaging detector for measurements of the focal spot sizes of diagnostic x-ray tubes. Common techniques for focal spot size measurements employ a pinhole camera, a slit camera, or a star resolution pattern. The coded aperture mask is a radiation collimator consisting of a large number of apertures disposed on a predetermined grid in an array, through which the radiation source is imaged onto a digital x-ray detector. The method of the coded mask camera allows one to obtain a one-shot accurate and direct measurement of the two dimensions of the focal spot (like that for a pinhole camera) but at a low tube loading (like that for a slit camera). A large number of small apertures in the coded mask operate as a "multipinhole" with greater efficiency than a single pinhole, but keeping the resolution of a single pinhole. X-ray images result from the multiplexed output on the detector image plane of such a multiple aperture array, and the image of the source is digitally reconstructed with a deconvolution algorithm. Images of the focal spot of a laboratory x-ray tube (W anode: 35-80 kVp; focal spot size of 0.04 mm) were acquired at different geometrical magnifications with two different types of digital detector (a photon counting hybrid silicon pixel detector with 0.055 mm pitch and a flat panel CMOS digital detector with 0.05 mm pitch) using a high resolution coded mask (type no-two-holes-touching modified uniformly redundant array) with 480 0.07 mm apertures, designed for imaging at energies below 35 keV. Measurements with a slit camera were performed for comparison. A test with a pinhole camera and with the coded mask on a computed radiography mammography unit with 0.3 mm focal spot was also carried out. The full width at half maximum focal spot sizes were obtained from the line profiles of the decoded images, showing a focal spot of 0.120 mm x 0.105 mm at 35

  5. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  6. Radiopaque Resists for Two-Photon Lithography To Enable Submicron 3D Imaging of Polymer Parts via X-ray Computed Tomography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, Sourabh K.; Oakdale, James S.; Cuadra, Jefferson A.

    Two-photon lithography (TPL) is a high-resolution additive manufacturing (AM) technique capable of producing arbitrarily complex three-dimensional (3D) microstructures with features 2–3 orders of magnitude finer than human hair. This process finds numerous applications as a direct route toward the fabrication of novel optical and mechanical metamaterials, miniaturized optics, microfluidics, biological scaffolds, and various other intricate 3D parts. As TPL matures, metrology and inspection become a crucial step in the manufacturing process to ensure that the geometric form of the end product meets design specifications. X-ray-based computed tomography (CT) is a nondestructive technique that can provide this inspection capability for themore » evaluation of complex internal 3D structure. However, polymeric photoresists commonly used for TPL, as well as other forms of stereolithography, poorly attenuate X-rays due to the low atomic number (Z) of their constituent elements and therefore appear relatively transparent during imaging. We present the development of optically clear yet radiopaque photoresists for enhanced contrast under X-ray CT. We have synthesized iodinated acrylate monomers to formulate high-Z photoresist materials that are capable of forming 3D microstructures with sub-150 nm features. In addition, we have developed a formulation protocol to match the refractive index of the photoresists to the immersion medium of the objective lens so as to enable dip-in laser lithography, a direct laser writing technique for producing millimeter-tall structures. Our radiopaque photopolymer then resists increase X-ray attenuation by a factor of more than 10 times without sacrificing the sub-150 nm feature resolution or the millimeter-scale part height. Thus, our resists can successfully replace existing photopolymers to generate AM parts that are suitable for inspection via X-ray CT. By providing the “feedstock” for radiopaque AM parts, our resist formulation

  7. Radiopaque Resists for Two-Photon Lithography To Enable Submicron 3D Imaging of Polymer Parts via X-ray Computed Tomography

    DOE PAGES

    Saha, Sourabh K.; Oakdale, James S.; Cuadra, Jefferson A.; ...

    2017-11-24

    Two-photon lithography (TPL) is a high-resolution additive manufacturing (AM) technique capable of producing arbitrarily complex three-dimensional (3D) microstructures with features 2–3 orders of magnitude finer than human hair. This process finds numerous applications as a direct route toward the fabrication of novel optical and mechanical metamaterials, miniaturized optics, microfluidics, biological scaffolds, and various other intricate 3D parts. As TPL matures, metrology and inspection become a crucial step in the manufacturing process to ensure that the geometric form of the end product meets design specifications. X-ray-based computed tomography (CT) is a nondestructive technique that can provide this inspection capability for themore » evaluation of complex internal 3D structure. However, polymeric photoresists commonly used for TPL, as well as other forms of stereolithography, poorly attenuate X-rays due to the low atomic number (Z) of their constituent elements and therefore appear relatively transparent during imaging. We present the development of optically clear yet radiopaque photoresists for enhanced contrast under X-ray CT. We have synthesized iodinated acrylate monomers to formulate high-Z photoresist materials that are capable of forming 3D microstructures with sub-150 nm features. In addition, we have developed a formulation protocol to match the refractive index of the photoresists to the immersion medium of the objective lens so as to enable dip-in laser lithography, a direct laser writing technique for producing millimeter-tall structures. Our radiopaque photopolymer then resists increase X-ray attenuation by a factor of more than 10 times without sacrificing the sub-150 nm feature resolution or the millimeter-scale part height. Thus, our resists can successfully replace existing photopolymers to generate AM parts that are suitable for inspection via X-ray CT. By providing the “feedstock” for radiopaque AM parts, our resist formulation

  8. Study of a chemically amplified resist for X-ray lithography by Fourier transform infrared spectroscopy.

    PubMed

    Tan, T L; Wong, D; Lee, P; Rawat, R S; Patran, A

    2004-11-01

    Future applications of microelectromechanical systems (MEMS) require lithographic performance of very high aspect ratio. Chemically amplified resists (CARs) such as the negative tone commercial SU-8 provide critical advantages in sensitivity, resolution, and process efficiency in deep ultraviolet, electron-beam, and X-ray lithographies (XRLs), which result in a very high aspect ratio. In this investigation, an SU-8 resist was characterized and optimized for X-ray lithographic applications by studying the cross-linking process of the resist under different conditions of resist thickness and X-ray exposure dose. The exposure dose of soft X-ray (SXR) irradiation at the average weighted wavelength of 1.20 nm from a plasma focus device ranges from 100 to 1600 mJ/cm(2) on the resist surface. Resist thickness varies from 3.5 to 15 mum. The cross-linking process of the resist during post-exposure bake (PEB) was accurately monitored using Fourier transform infrared (FT-IR) spectroscopy. The infrared absorption peaks at 862, 914, 972, and 1128 cm(-1) in the spectrum of the SU-8 resist were found to be useful indicators for the completion of cross-linking in the resist. Results of the experiments showed that the cross-linking of SU-8 was optimized at the exposure dose of 800 mJ/cm(2) for resist thicknesses of 3.5, 9.5, and 15 microm. PEB temperature was set at 95 degrees C and time at 3 min. The resist thickness was measured using interference patterns in the FT-IR spectra of the resist. Test structures with an aspect ratio 3:1 on 10 microm thick SU-8 resist film were obtained using scanning electron microscopy (SEM).

  9. The DARPA compact superconducting x-ray lithography source features. [Defense Advanced Research Projects Agency (DARPA)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heese, R.; Kalsi, S.; Leung, E.

    1991-01-01

    Under DARPA sponsorship, a compact Superconducting X-ray Lithography Source (SXLS) is being designed and built by the Brookhaven National Laboratory (BNL) with industry participation from Grumman Corporation and General Dynamics. This source is optimized for lithography work for sub-micron high density computer chips, and is about the size of a billiard table (1.5 m {times} 4.0 m). The machine has a racetrack configuration with two 180{degree} bending magnets being designed and built by General Dynamics under a subcontract with Grumman Corporation. The machine will have 18 photon ports which would deliver light peaked at a wave length of 10 Angstroms.more » Grumman is commercializing the SXLS device and plans to book orders for delivery of industrialized SXLS (ISXLS) versions in 1995. This paper will describe the major features of this device. The commercial machine will be equipped with a fully automated user-friendly control systems, major features of which are already working on a compact warm dipole ring at BNL. This ring has normal dipole magnets with dimensions identical to the SXLS device, and has been successfully commissioned. 4 figs., 1 tab.« less

  10. Focusing properties of x-ray polymer refractive lenses from SU-8 resist layer

    NASA Astrophysics Data System (ADS)

    Snigirev, Anatoly A.; Snigireva, Irina; Drakopoulos, Michael; Nazmov, Vladimir; Reznikova, Elena; Kuznetsov, Sergey; Grigoriev, Maxim; Mohr, Jurgen; Saile, Volker

    2003-12-01

    Compound refractive lenses printed in Al and Be are becoming the key X-ray focusing and imaging components of beamline optical layouts at the 3rd generation synchrotron radiation sources. Recently proposed planar optical elements based on Si, diamond etc. may substantially broaden the spectrum of the refractive optics applicability. Planar optics has focal distances ranging from millimeters to tens of meters offering nano- and micro-focusing lenses, as well as beam condensers and collimators. Here we promote deep X-ray lithography and LIGA-type techniques to create high aspect-ratio lens structures for different optical geometries. Planar X-ray refractive lenses were manufactured in 1 mm thick SU-8 negative resist layer by means of deep synchrotron radiation lithography. The focusing properties of lenses were studied at ID18F and BM5 beamlines at the ESRF using monochromatic radiation in the energy range of 10 - 25 keV. By optimizing lens layout, mask making and resist processing, lenses of good quality were fabricated. The resolution of about 270 nm (FWHM) with gain in the order of 300 was measured at 14 keV. In-line holography of B-fiber was realized in imaging and projection mode with a magnification of 3 and 20, respectively. Submicron features of the fiber were clearly resolved. A radiation stability test proved that the fabricated lenses don't change focusing characteristics after dose of absorbed X-ray radiation of about 2 MJ/cm3. The unique radiation stability along with the high effficiency of SU8 lenses opens wide range of their synchrotron radiation applications such as microfocusing elements, condensers and collimators.

  11. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  12. Planar techniques for fabricating X-ray diffraction gratings and zone plates

    NASA Technical Reports Server (NTRS)

    Smith, H. I.; Anderson, E. H.; Hawryluk, A. M.; Schattenburg, M. L.

    1984-01-01

    The state of current planar techniques in the fabrication of Fresnel zone plates and diffraction gratings is reviewed. Among the fabrication techniques described are multilayer resist techniques; scanning electron beam lithography; and holographic lithography. Consideration is also given to: X-ray lithography; ion beam lithography; and electroplating. SEM photographs of the undercut profiles obtained in a type AZ 135OB photoresistor by holographic lithography are provided.

  13. Expanding the printable design space for lithography processes utilizing a cut mask

    NASA Astrophysics Data System (ADS)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  14. X-ray photon correlation spectroscopy using a fast pixel array detector with a grid mask resolution enhancer.

    PubMed

    Hoshino, Taiki; Kikuchi, Moriya; Murakami, Daiki; Harada, Yoshiko; Mitamura, Koji; Ito, Kiminori; Tanaka, Yoshihito; Sasaki, Sono; Takata, Masaki; Jinnai, Hiroshi; Takahara, Atsushi

    2012-11-01

    The performance of a fast pixel array detector with a grid mask resolution enhancer has been demonstrated for X-ray photon correlation spectroscopy (XPCS) measurements to investigate fast dynamics on a microscopic scale. A detecting system, in which each pixel of a single-photon-counting pixel array detector, PILATUS, is covered by grid mask apertures, was constructed for XPCS measurements of silica nanoparticles in polymer melts. The experimental results are confirmed to be consistent by comparison with other independent experiments. By applying this method, XPCS measurements can be carried out by customizing the hole size of the grid mask to suit the experimental conditions, such as beam size, detector size and sample-to-detector distance.

  15. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  16. Radiation hardness of molybdenum silicon multilayers designed for use in a soft-x-ray projection lithography system.

    PubMed

    Gaines, D P; Spitzer, R C; Ceglio, N M; Krumrey, M; Ulm, G

    1993-12-01

    A molybdenum silicon multilayer is irradiated with 13.4-nm radiation to investigate changes in multilayer performance under simulated soft-x-ray projection lithography (SXPL) conditions. The wiggler-undulator at the Berlin electron storage ring BESSY is used as a quasi-monochromatic source of calculable spectral radiant intensity and is configured to simulate an incident SXPL x-ray spectrum. The test multilayer receives a radiant exposure of 240 J/mm(2) in an exposure lasting 8.9 h. The corresponding average incident power density is 7.5 mW/mm(2). The absorbed dose of 7.8 × 10(10) J/kg (7.8 × 10(12) rad) is equivalent to 1.2 times the dose that would be absorbed by a multilayer coating on the first imaging optic in a hypothetical SXPL system during 1 year of operation. Surface temperature increases do not exceed 2 °C during the exposure. Normal-incidence reflectance measurements at λ(0) = 13.4 nm performed before radiation exposure are in agreement with measurements performed after the exposure, indicating that no sign icant damage had occurred.

  17. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  18. X Ray Mask Of Gold-Carbon Mixture Absorber On BCN Compound Substrate Fabricated By Plasma Processes

    NASA Astrophysics Data System (ADS)

    Aiyer, Chandrasekhar R.; Itoh, Satoshi; Yamada, Hitomi; Morita, Shinzo; Hattori, Shuzo

    1988-06-01

    X-ray mask fabrication based on BCN compound membrane and gold containing polymeric carbon ( Au-C ) absorber by totally dry processes is proposed. The Au-C films were depo-sited by plasma polymerization of propylene or styrene monomers and co-evaporation of gold. These films have 2 to 5 times higher etching rate than that of pure gold for 09 RIE, depending on the Au content. The stress in the films could be reduced to 1.9 E 7 N/m2 by annealing. The BCN films were deposited on silicon wafers by rf (13.56 MHz) plasma CVD with diborane, methane and nitrogen as source gases at typical deposition rate of 30 nm/min. The optical (633nm) and X ray (Pd L~) transparencies were nearly 80% for film thickness of 6 um. Patterning of Au-C was achieved by using tungsten as intermediate layer and PMMA electron beam resist. CF4 RIE was used to etch the tungsten layer which in turn acted as mask for the gold carbide 02 RIE. The process parameters and the characteristics of the Au-C and BCN films are presented.

  19. VETA-I x ray test analysis

    NASA Technical Reports Server (NTRS)

    Brissenden, R. J. V.; Chartas, G.; Freeman, M. D.; Hughes, J. P.; Kellogg, E. M.; Podgorski, W. A.; Schwartz, D. A.; Zhao, P.

    1992-01-01

    This interim report presents some definitive results from our analysis of the VETA-I x-ray testing data. It also provides a description of the hardware and software used in the conduct of the VETA-I x-ray test program performed at the MSFC x-ray Calibration Facility (XRCF). These test results also serve to supply data and information to include in the TRW final report required by DPD 692, DR XC04. To provide an authoritative compendium of results, we have taken nine papers as published in the SPIE Symposium, 'Grazing Incidence X-ray/EUV Optics for Astronomy and Projection Lithography' and have reproduced them as the content of this report.

  20. Analytical treatment of the deformation behavior of extreme-ultraviolet-lithography masks during electrostatic chucking

    NASA Astrophysics Data System (ADS)

    Brandstetter, Gerd; Govindjee, Sanjay

    2012-10-01

    A new analytical approach is presented to predict mask deformation during electrostatic chucking in next-generation extreme-ultraviolet-lithography. Given an arbitrary profile measurement of the mask and chuck nonflatness, this method has been developed as an alternative to time-consuming finite element simulations for overlay error correction algorithms. We consider the feature transfer of each harmonic component in the profile shapes via linear elasticity theory and demonstrate analytically how high spatial frequencies are filtered. The method is compared to presumably more accurate finite element simulations and has been tested successfully in an overlay error compensation experiment, where the residual error y-component could be reduced by a factor of 2. As a side outcome, the formulation provides a tool to estimate the critical pin-size and -pitch such that the distortion on the mask front-side remains within given tolerances. We find for a numerical example that pin-pitches of less than 5 mm will result in a mask pattern distortion of less than 1 nm if the chucking pressure is below 30 kPa.

  1. Stencil Nano Lithography Based on a Nanoscale Polymer Shadow Mask: Towards Organic Nanoelectronics

    PubMed Central

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E. B.; Hyoung Lee, Wi; Wook Lee, Sang

    2015-01-01

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices. PMID:25959389

  2. Stencil nano lithography based on a nanoscale polymer shadow mask: towards organic nanoelectronics.

    PubMed

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E B; Hyoung Lee, Wi; Wook Lee, Sang

    2015-05-11

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices.

  3. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  4. Mask strategy at International SEMATECH

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  5. Observation and theory of X-ray mirages

    PubMed Central

    Magnitskiy, Sergey; Nagorskiy, Nikolay; Faenov, Anatoly; Pikuz, Tatiana; Tanaka, Mamoko; Ishino, Masahiko; Nishikino, Masaharu; Fukuda, Yuji; Kando, Masaki; Kawachi, Tetsuya; Kato, Yoshiaki

    2013-01-01

    The advent of X-ray lasers allowed the realization of compact coherent soft X-ray sources, thus opening the way to a wide range of applications. Here we report the observation of unexpected concentric rings in the far-field beam profile at the output of a two-stage plasma-based X-ray laser, which can be considered as the first manifestation of a mirage phenomenon in X-rays. We have developed a method of solving the Maxwell–Bloch equations for this problem, and find that the experimentally observed phenomenon is due to the emergence of X-ray mirages in the plasma amplifier, appearing as phase-matched coherent virtual point sources. The obtained results bring a new insight into the physical nature of amplification of X-ray radiation in laser-induced plasma amplifiers and open additional opportunities for X-ray plasma diagnostics and extreme ultraviolet lithography. PMID:23733009

  6. Observation and theory of X-ray mirages.

    PubMed

    Magnitskiy, Sergey; Nagorskiy, Nikolay; Faenov, Anatoly; Pikuz, Tatiana; Tanaka, Mamoko; Ishino, Masahiko; Nishikino, Masaharu; Fukuda, Yuji; Kando, Masaki; Kawachi, Tetsuya; Kato, Yoshiaki

    2013-01-01

    The advent of X-ray lasers allowed the realization of compact coherent soft X-ray sources, thus opening the way to a wide range of applications. Here we report the observation of unexpected concentric rings in the far-field beam profile at the output of a two-stage plasma-based X-ray laser, which can be considered as the first manifestation of a mirage phenomenon in X-rays. We have developed a method of solving the Maxwell-Bloch equations for this problem, and find that the experimentally observed phenomenon is due to the emergence of X-ray mirages in the plasma amplifier, appearing as phase-matched coherent virtual point sources. The obtained results bring a new insight into the physical nature of amplification of X-ray radiation in laser-induced plasma amplifiers and open additional opportunities for X-ray plasma diagnostics and extreme ultraviolet lithography.

  7. EUVL masks: paving the path for commercialization

    NASA Astrophysics Data System (ADS)

    Mangat, Pawitter J. S.; Hector, Scott D.

    2001-09-01

    Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No

  8. Demonstration of Laser Plasma X-Ray Source with X-Ray Collimator Final Report CRADA No. TC-1564-99

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lane, S. M.; Forber, R. A.

    2017-09-28

    This collaborative effort between the University of California, Lawrence Livermore National Laboratory (LLNL) and JMAR Research, Inc. (JRI), was to demonstrate that LLNL x-ray collimators can effectively increase the wafer throughput of JRI's laser based x-ray lithography systems. The technical objectives were expected to be achieved by completion of the following tasks, which are separated into two task lists by funding source. The organization (LLNL or JMAR) having primary responsibility is given parenthetically for each task.

  9. Future reticle demand and next-generation lithography technologies

    NASA Astrophysics Data System (ADS)

    Behringer, Uwe F. W.; Ehrlich, Christian; Fortange, Olaf

    1999-04-01

    Mask technology has often been considered an enabling for semiconductor fabrication. But today photomasks have evolved to a bottle neck in the every increasing integration process of semiconductor circuits. Regarding to the 1997 SIA roadmap there are very stringent requirements for mask making. Even with the momentary weak Asian market the worldwide demand for reticles will continue to grow. The anticipation of larger reticles has been discussed over years. What ever the reason for the need of larger reticles, the move to the 230 mm X 230 mm reticle size will provide size will provide unique challenges to both the mask equipment manufacturers and mask fabricator. Next Generation Lithography together with their mask techniques are in development and try to come into the market.

  10. Evaluating practical vs. theoretical inspection system capability with a new programmed defect test mask designed for 3X and 4X technology nodes

    NASA Astrophysics Data System (ADS)

    Glasser, Joshua; Pratt, Tim

    2008-10-01

    Programmed defect test masks serve the useful purpose of evaluating inspection system sensitivity and capability. It is widely recognized that when evaluating inspection system capability, it is important to understand the actual sensitivity of the inspection system in production; yet unfortunately we have observed that many test masks are a more accurate judge of theoretical sensitivity rather than real-world usable capability. Use of ineffective test masks leave the purchaser of inspection equipment open to the risks of over-estimating the capability of their inspection solution and overspecifying defect sensitivity to their customers. This can result in catastrophic yield loss for device makers. In this paper we examine some of the lithography-related technology advances which place an increasing burden on mask inspection complexity, such as MEEF, defect printability estimation, aggressive OPC, double patterning, and OPC jogs. We evaluate the key inspection system component contributors to successful mask inspection, including what can "go wrong" with these components. We designed and fabricated a test mask which both (a) more faithfully represents actual production use cases; and (b) stresses the key components of the inspection system. This mask's patterns represent 32nm, 36nm, and 45nm logic and memory technology including metal and poly like background patterns with programmed defects. This test mask takes into consideration requirements of advanced lithography, such as MEEF, defect printability, assist features, nearly-repetitive patterns, and data preparation. This mask uses patterns representative of 32nm, 36nm, and 45nm logic, flash, and DRAM technology. It is specifically designed to have metal and poly like background patterns with programmed defects. The mask is complex tritone and was designed for annular immersion lithography.

  11. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  12. Grayscale lithography-automated mask generation for complex three-dimensional topography

    NASA Astrophysics Data System (ADS)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  13. Design of a normal incidence multilayer imaging X-ray microscope

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Gabardi, David R.; Hoover, Richard B.; Walker, Arthur B. C., Jr.; Lindblom, Joakim F.

    Normal incidence multilayer Cassegrain X-ray telescopes were flown on the Stanford/MSFC Rocket X-ray Spectroheliograph. These instruments produced high spatial resolution images of the sun and conclusively demonstrated that doubly reflecting multilayer X-ray optical systems are feasible. The images indicated that aplanatic imaging soft X-ray/EUV microscopes should be achievable using multilayer optics technology. A doubly reflecting normal incidence multilayer imaging X-ray microscope based on the Schwarzschild configuration has been designed. The design of the microscope and the results of the optical system ray trace analysis are discussed. High resolution aplanatic imaging X-ray microscopes using normal incidence multilayer X-ray mirrors should have many important applications in advanced X-ray astronomical instrumentation, X-ray lithography, biological, biomedical, metallurgical, and laser fusion research.

  14. Microstructural investigation using synchrotron radiation X-ray microtomography reveals taste-masking mechanism of acetaminophen microspheres.

    PubMed

    Guo, Zhen; Yin, Xianzhen; Liu, Congbiao; Wu, Li; Zhu, Weifeng; Shao, Qun; York, Peter; Patterson, Laurence; Zhang, Jiwen

    2016-02-29

    The structure of solid drug delivery systems has considerable influence on drug release behaviors from particles and granules and also impacts other properties relevant to release characteristics such as taste. In this study, lipid-based microspheres of acetaminophen were prepared to mask the undesirable taste of drug and therefore to identify the optimal formulation for drug release. Synchrotron radiation X-ray computed microtomography (SR-μCT) was used to investigate the fine structural architectures of microspheres non-destructively at different sampling times during drug release test, which were simultaneously determined to quantitatively correlate the structural data with drug release behaviors. The results demonstrated that the polymeric formulation component, namely, cationic polymethacrylate (Eudragit E100), was the key factor to mask the bitter taste of acetaminophen by inhibiting immediate drug release thereby reducing the interaction intensity of the bitter material with the oral cavity taste buds. The structure and morphology of the microspheres were found to be influenced by the shape and particle size of the drug, which was also an important factor for taste-masking performance. The quantitative analysis generated detailed structural information which was correlated well with drug release behaviors. Thus, SR-μCT has been proved as a powerful tool to investigate the fine microstructure of particles and provides a new approach in the design of particles for taste masking. Copyright © 2015 Elsevier B.V. All rights reserved.

  15. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  16. Nanomesh of Cu fabricated by combining nanosphere lithography and high power pulsed magnetron sputtering and a preliminary study about its function

    NASA Astrophysics Data System (ADS)

    Xie, Wanchuan; Chen, Jiang; Jiang, Lang; Yang, Ping; Sun, Hong; Huang, Nan

    2013-10-01

    The Cu nanomesh was obtained by a combination of nanosphere lithography (NSL) and high power pulsed magnetron sputtering (HiPPMS). A deposition mask was formed on TiO2 substrates by the self-assembly of polystyrene latex spheres with a diameter of 1 μm, then Cu nanomesh structure was produced on the substrate using sputtering. The structures were investigated by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). The results show the increase of temperature of the polystyrene mask caused by the thermal radiation from the target and the bombardment of sputtering particles would affect the quality of the final nanopattern. The tests of photocatalytic degradation, platelet adhesion and human umbilical artery smooth muscle cells (HUASMCs) culture show Cu deposition could promote the photocatalytic efficiency of TiO2, affect platelet adhesion and inhibit smooth muscle cell adhesion and proliferation. It is highlighted that these findings may serve as a guide for the research of multifunctional surface structure.

  17. X-ray beam equalization for digital fluoroscopy

    NASA Astrophysics Data System (ADS)

    Molloi, Sabee Y.; Tang, Jerry; Marcin, Martin R.; Zhou, Yifang; Anvar, Behzad

    1996-04-01

    The concept of radiographic equalization has previously been investigated. However, a suitable technique for digital fluoroscopic applications has not been developed. The previously reported scanning equalization techniques cannot be applied to fluoroscopic applications due to their exposure time limitations. On the other hand, area beam equalization techniques are more suited for digital fluoroscopic applications. The purpose of this study is to develop an x- ray beam equalization technique for digital fluoroscopic applications that will produce an equalized radiograph with minimal image artifacts and tube loading. Preliminary unequalized images of a humanoid chest phantom were acquired using a digital fluoroscopic system. Using this preliminary image as a guide, an 8 by 8 array of square pistons were used to generate masks in a mold with CeO2. The CeO2 attenuator thicknesses were calculated using the gray level information from the unequalized image. The generated mask was positioned close to the focal spot (magnification of 8.0) in order to minimize edge artifacts from the mask. The masks were generated manually in order to investigate the piston and matrix size requirements. The development of an automated version of mask generation and positioning is in progress. The results of manual mask generation and positioning show that it is possible to generate equalized radiographs with minimal perceptible artifacts. The equalization of x-ray transmission across the field exiting from the object significantly improved the image quality by preserving local contrast throughout the image. Furthermore, the reduction in dynamic range significantly reduced the effect of x-ray scatter and veiling glare from high transmission to low transmission areas. Also, the x-ray tube loading due to the mask assembly itself was negligible. In conclusion it is possible to produce area beam compensation that will be compatible with digital fluoroscopy with minimal compensation artifacts

  18. Enhanced light extraction of scintillator using large-area photonic crystal structures fabricated by soft-X-ray interference lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Zhichao; Wu, Shuang; Liu, Bo, E-mail: lbo@tongji.edu.cn

    2015-06-15

    Soft-X-ray interference lithography is utilized in combination with atomic layer deposition to prepare photonic crystal structures on the surface of Bi{sub 4}Ge{sub 3}O{sub 12} (BGO) scintillator in order to extract the light otherwise trapped in the internal of scintillator due to total internal reflection. An enhancement with wavelength- and emergence angle-integration by 95.1% has been achieved. This method is advantageous to fabricate photonic crystal structures with large-area and high-index-contrast which enable a high-efficient coupling of evanescent field and the photonic crystal structures. Generally, the method demonstrated in this work is also suitable for many other light emitting devices where amore » large-area is required in the practical applications.« less

  19. Large Coded Aperture Mask for Spaceflight Hard X-ray Images

    NASA Technical Reports Server (NTRS)

    Vigneau, Danielle N.; Robinson, David W.

    2002-01-01

    The 2.6 square meter coded aperture mask is a vital part of the Burst Alert Telescope on the Swift mission. A random, but known pattern of more than 50,000 lead tiles, each 5 mm square, was bonded to a large honeycomb panel which projects a shadow on the detector array during a gamma ray burst. A two-year development process was necessary to explore ideas, apply techniques, and finalize procedures to meet the strict requirements for the coded aperture mask. Challenges included finding a honeycomb substrate with minimal gamma ray attenuation, selecting an adhesive with adequate bond strength to hold the tiles in place but soft enough to allow the tiles to expand and contract without distorting the panel under large temperature gradients, and eliminating excess adhesive from all untiled areas. The largest challenge was to find an efficient way to bond the > 50,000 lead tiles to the panel with positional tolerances measured in microns. In order to generate the desired bondline, adhesive was applied and allowed to cure to each tile. The pre-cured tiles were located in a tool to maintain positional accuracy, wet adhesive was applied to the panel, and it was lowered to the tile surface with synchronized actuators. Using this procedure, the entire tile pattern was transferred to the large honeycomb panel in a single bond. The pressure for the bond was achieved by enclosing the entire system in a vacuum bag. Thermal vacuum and acoustic tests validated this approach. This paper discusses the methods, materials, and techniques used to fabricate this very large and unique coded aperture mask for the Swift mission.

  20. Parabolic crossed planar polymeric x-ray lenses

    NASA Astrophysics Data System (ADS)

    Nazmov, V.; Reznikova, E.; Mohr, J.; Saile, V.; Vincze, L.; Vekemans, B.; Bohic, S.; Somogyi, A.

    2011-01-01

    The principles of design and manufacturing of the polymer planar x-ray lenses focusing in one and two directions, as well as the peculiarities of optical behaviors and the results of the lens test are reported in this paper. The methods of electron and deep x-ray lithography used in lens manufacturing allow the manufacture of ten or more x-ray lenses on one substrate; the lenses show focal lengths down to several centimeters for photon energies between 5 and 40 keV. The measured focus size was 105 nm for a linear lens with an intensity gain of about 407, and 300 × 770 nm for a crossed lens with an intensity gain of 6470.

  1. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  2. Multilayer on-chip stacked Fresnel zone plates: Hard x-ray fabrication and soft x-ray simulations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Kenan; Wojcik, Michael J.; Ocola, Leonidas E.

    2015-11-01

    Fresnel zone plates are widely used as x-ray nanofocusing optics. To achieve high spatial resolution combined with good focusing efficiency, high aspect ratio nanolithography is required, and one way to achieve that is through multiple e-beam lithography writing steps to achieve on-chip stacking. A two-step writing process producing 50 nm finest zone width at a zone thickness of 1.14 µm for possible hard x-ray applications is shown here. The authors also consider in simulations the case of soft x-ray focusing where the zone thickness might exceed the depth of focus. In this case, the authors compare on-chip stacking with, andmore » without, adjustment of zone positions and show that the offset zones lead to improved focusing efficiency. The simulations were carried out using a multislice propagation method employing Hankel transforms.« less

  3. Optical inspection of NGL masks

    NASA Astrophysics Data System (ADS)

    Pettibone, Donald W.; Stokowski, Stanley E.

    2004-12-01

    For the last five years KLA-Tencor and our joint venture partners have pursued a research program studying the ability of optical inspection tools to meet the inspection needs of possible NGL lithographies. The NGL technologies that we have studied include SCALPEL, PREVAIL, EUV lithography, and Step and Flash Imprint Lithography. We will discuss the sensitivity of the inspection tools and mask design factors that affect tool sensitivity. Most of the work has been directed towards EUV mask inspection and how to optimize the mask to facilitate inspection. Our partners have succeeded in making high contrast EUV masks ranging in contrast from 70% to 98%. Die to die and die to database inspection of EUV masks have been achieved with a sensitivity that is comparable to what can be achieved with conventional photomasks, approximately 80nm defect sensitivity. We have inspected SCALPEL masks successfully. We have found a limitation of optical inspection when applied to PREVAIL stencil masks. We have run inspections on SFIL masks in die to die, reflected light, in an effort to provide feedback to improve the masks. We have used a UV inspection system to inspect both unpatterned EUV substrates (no coatings) and blanks (with EUV multilayer coatings). These inspection results have proven useful in driving down the substrate and blank defect levels.

  4. Polymer X-ray refractive nano-lenses fabricated by additive technology.

    PubMed

    Petrov, A K; Bessonov, V O; Abrashitova, K A; Kokareva, N G; Safronov, K R; Barannikov, A A; Ershov, P A; Klimova, N B; Lyatun, I I; Yunkin, V A; Polikarpov, M; Snigireva, I; Fedyanin, A A; Snigirev, A

    2017-06-26

    The present work demonstrates the potential applicability of additive manufacturing to X-Ray refractive nano-lenses. A compound refractive lens with a radius of 5 µm was produced by the two-photon polymerization induced lithography. It was successfully tested at the X-ray microfocus laboratory source and a focal spot of 5 μm was measured. An amorphous nature of polymer material combined with the potential of additive technologies may result in a significantly enhanced focusing performance compared to the best examples of modern X-ray compound refractive lenses.

  5. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  6. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  7. Multiflash X ray with Image Detanglement for Single Image Isolation

    DTIC Science & Technology

    2017-08-31

    known and separated into individual images. A proof-of- principle study was performed using 4 X-ray flashes and copper masks with sub-millimeter holes...Popular Science article.2 For decades, that basic concept dominated the color television market . Those were the days when a large color television...proof-of- principle study was performed using 4 X-ray flashes and copper masks with sub-millimeter holes that allowed development of the required image

  8. Lattice properties of the Phase I BNL x-ray lithography source obtained from fits to magnetic measurement data

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blumberg, L.N.; Murphy, J.B.; Reusch, M.F.

    1991-01-01

    The orbit, tune, chromaticity and {beta} values for the Phase 1 XLS ring were computed by numerical integration of equations of motion using fields obtained from the coefficients of the 3-dimensional solution of Laplace's Equation evaluated by fits to magnetic measurements. The results are in good agreement with available data. The method has been extended to higher order fits of TOSCA generated fields in planes normal to the reference axis using the coil configuration proposed for the Superconducting X-Ray Lithography Source. Agreement with results from numerical integration through fields given directly by TOSCA is excellent. The formulation of the normalmore » multipole expansion presented by Brown and Servranckx has been extended to include skew multipole terms. The method appears appropriate for analysis of magnetic measurements of the SXLS. 8 refs. , 2 figs., 2 tabs.« less

  9. X-ray Full Field Microscopy at 30 keV

    NASA Astrophysics Data System (ADS)

    Marschall, F.; Last, A.; Simon, M.; Kluge, M.; Nazmov, V.; Vogt, H.; Ogurreck, M.; Greving, I.; Mohr, J.

    2014-04-01

    In our X-ray full field microscopy experiments, we demonstrated a resolution better than 260 nm over the entire field of view of 80 μm × 80 μm at 30 keV. Our experimental setup at PETRA III, P05, had a length of about 5 m consisting of an illumination optics, an imaging lens and a detector. For imaging, we used a compound refractive lens (CLR) consisting of mr-L negative photo resist, which was fabricated by deep X-ray lithography. As illumination optics, we choose a refractive rolled X-ray prism lens, which was adapted to the numerical aperture of the imaging lens.

  10. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  11. Bulk vertical micromachining of single-crystal sapphire using inductively coupled plasma etching for x-ray resonant cavities

    NASA Astrophysics Data System (ADS)

    Chen, P.-C.; Lin, P.-T.; Mikolas, D. G.; Tsai, Y.-W.; Wang, Y.-L.; Fu, C.-C.; Chang, S.-L.

    2015-01-01

    To provide coherent x-ray sources for probing the dynamic structures of solid or liquid biological substances on the picosecond timescale, a high-aspect-ratio x-ray resonator cavity etched from a single crystal substrate with a nearly vertical sidewall structure is required. Although high-aspect-ratio resonator cavities have been produced in silicon, they suffer from unwanted multiple beam effects. However, this problem can be avoided by using the reduced symmetry of single-crystal sapphire in which x-ray cavities may produce a highly monochromatic transmitted x-ray beam. In this study, we performed nominal 100 µm deep etching and vertical sidewall profiles in single crystal sapphire using inductively coupled plasma (ICP) etching. The large depth is required to intercept a useful fraction of a stopped-down x-ray beam, as well as for beam clearance. An electroplated Ni hard mask was patterned using KMPR 1050 photoresist and contact lithography. The quality and performance of the x-ray cavity depended upon the uniformity of the cavity gap and therefore verticality of the fabricated vertical sidewall. To our knowledge, this is the first report of such deep, vertical etching of single-crystal sapphire. A gas mixture of Cl2/BCl3/Ar was used to etch the sapphire with process variables including BCl3 flow ratio and bias power. By etching for 540 min under optimal conditions, we obtained an x-ray resonant cavity with a depth of 95 µm, width of ~30 µm, gap of ~115 µm and sidewall profile internal angle of 89.5°. The results show that the etching parameters affected the quality of the vertical sidewall, which is essential for good x-ray resonant cavities.

  12. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  13. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  14. Masked-backlighter technique used to simultaneously image x-ray absorption and x-ray emission from an inertial confinement fusion plasma.

    PubMed

    Marshall, F J; Radha, P B

    2014-11-01

    A method to simultaneously image both the absorption and the self-emission of an imploding inertial confinement fusion plasma has been demonstrated on the OMEGA Laser System. The technique involves the use of a high-Z backlighter, half of which is covered with a low-Z material, and a high-speed x-ray framing camera aligned to capture images backlit by this masked backlighter. Two strips of the four-strip framing camera record images backlit by the high-Z portion of the backlighter, while the other two strips record images aligned with the low-Z portion of the backlighter. The emission from the low-Z material is effectively eliminated by a high-Z filter positioned in front of the framing camera, limiting the detected backlighter emission to that of the principal emission line of the high-Z material. As a result, half of the images are of self-emission from the plasma and the other half are of self-emission plus the backlighter. The advantage of this technique is that the self-emission simultaneous with backlighter absorption is independently measured from a nearby direction. The absorption occurs only in the high-Z backlit frames and is either spatially separated from the emission or the self-emission is suppressed by filtering, or by using a backlighter much brighter than the self-emission, or by subtraction. The masked-backlighter technique has been used on the OMEGA Laser System to simultaneously measure the emission profiles and the absorption profiles of polar-driven implosions.

  15. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    NASA Astrophysics Data System (ADS)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  16. Secondary gamma-ray production in a coded aperture mask

    NASA Technical Reports Server (NTRS)

    Owens, A.; Frye, G. M., Jr.; Hall, C. J.; Jenkins, T. L.; Pendleton, G. N.; Carter, J. N.; Ramsden, D.; Agrinier, B.; Bonfand, E.; Gouiffes, C.

    1985-01-01

    The application of the coded aperture mask to high energy gamma-ray astronomy will provide the capability of locating a cosmic gamma-ray point source with a precision of a few arc-minutes above 20 MeV. Recent tests using a mask in conjunction with drift chamber detectors have shown that the expected point spread function is achieved over an acceptance cone of 25 deg. A telescope employing this technique differs from a conventional telescope only in that the presence of the mask modifies the radiation field in the vicinity of the detection plane. In addition to reducing the primary photon flux incident on the detector by absorption in the mask elements, the mask will also be a secondary radiator of gamma-rays. The various background components in a CAMTRAC (Coded Aperture Mask Track Chamber) telescope are considered. Monte-Carlo calculations are compared with recent measurements obtained using a prototype instrument in a tagged photon beam line.

  17. Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithography

    NASA Astrophysics Data System (ADS)

    Tirapu Azpiroz, Jaione; Burr, Geoffrey W.; Rosenbluth, Alan E.; Hibbs, Michael

    2008-03-01

    In the Hyper-NA immersion lithography regime, the electromagnetic response of the reticle is known to deviate in a complicated manner from the idealized Thin-Mask-like behavior. Already, this is driving certain RET choices, such as the use of polarized illumination and the customization of reticle film stacks. Unfortunately, full 3-D electromagnetic mask simulations are computationally intensive. And while OPC-compatible mask electromagnetic field (EMF) models can offer a reasonable tradeoff between speed and accuracy for full-chip OPC applications, full understanding of these complex physical effects demands higher accuracy. Our paper describes recent advances in leveraging High Performance Computing as a critical step towards lithographic modeling of the full manufacturing process. In this paper, highly accurate full 3-D electromagnetic simulation of very large mask layouts are conducted in parallel with reasonable turnaround time, using a Blue- Gene/L supercomputer and a Finite-Difference Time-Domain (FDTD) code developed internally within IBM. A 3-D simulation of a large 2-D layout spanning 5μm×5μm at the wafer plane (and thus (20μm×20μm×0.5μm at the mask) results in a simulation with roughly 12.5GB of memory (grid size of 10nm at the mask, single-precision computation, about 30 bytes/grid point). FDTD is flexible and easily parallelizable to enable full simulations of such large layout in approximately an hour using one BlueGene/L "midplane" containing 512 dual-processor nodes with 256MB of memory per processor. Our scaling studies on BlueGene/L demonstrate that simulations up to 100μm × 100μm at the mask can be computed in a few hours. Finally, we will show that the use of a subcell technique permits accurate simulation of features smaller than the grid discretization, thus improving on the tradeoff between computational complexity and simulation accuracy. We demonstrate the correlation of the real and quadrature components that comprise the

  18. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  19. Lithography-free glass surface modification by self-masking during dry etching

    NASA Astrophysics Data System (ADS)

    Hein, Eric; Fox, Dennis; Fouckhardt, Henning

    2011-01-01

    Glass surface morphologies with defined shapes and roughness are realized by a two-step lithography-free process: deposition of an ~10-nm-thin lithographically unstructured metallic layer onto the surface and reactive ion etching in an Ar/CF4 high-density plasma. Because of nucleation or coalescence, the metallic layer is laterally structured during its deposition. Its morphology exhibits islands with dimensions of several tens of nanometers. These metal spots cause a locally varying etch velocity of the glass substrate, which results in surface structuring. The glass surface gets increasingly rougher with further etching. The mechanism of self-masking results in the formation of surface structures with typical heights and lateral dimensions of several hundred nanometers. Several metals, such as Ag, Al, Au, Cu, In, and Ni, can be employed as the sacrificial layer in this technology. Choice of the process parameters allows for a multitude of different glass roughness morphologies with individual defined and dosed optical scattering.

  20. REgolith X-Ray Imaging Spectrometer (REXIS) Aboard NASA’s OSIRIS-REx Mission

    NASA Astrophysics Data System (ADS)

    Hong, JaeSub; Allen, Branden; Grindlay, Jonathan E.; Binzel, Richard P.; Masterson, Rebecca; Inamdar, Niraj K; Chodas, Mark; Smith, Matthew W; Bautz, Mark W.; Kissel, Steven E; Villasenor, Jesus Noel; Oprescu, Antonia

    2014-06-01

    The REgolith X-Ray Imaging Spectrometer (REXIS) is a student-led instrument being designed, built, and operated as a collaborative effort involving MIT and Harvard. It is a part of NASA's OSIRIS-REx mission, which is scheduled for launch in September of 2016 for a rendezvous with, and collection of a sample from the surface of the primitive carbonaceous chondrite-like asteroid 101955 Bennu in 2019. REXIS will determine spatial variations in elemental composition of Bennu's surface through solar-induced X-ray fluorescence. REXIS consists of four X-ray CCDs in the detector plane and an X-ray mask. It is the first coded-aperture X-ray telescope in a planetary mission, which combines the benefit of high X-ray throughput of wide-field collimation with imaging capability of a coded-mask, enabling detection of elemental surface distributions at approximately 50-200 m scales. We present an overview of the REXIS instrument and the expected performance.

  1. Ion projection lithography: November 2000 status and sub-70-nm prospects

    NASA Astrophysics Data System (ADS)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits <3nm even when using a numerical aperture as low as NAequals10-5. As part of the European MEDEA IPL project headed by Infineon Technologies wide field ion-optics have been designed by IMS- Vienna with predicted resolution of 50nm within a 12.5mm exposure field. The ion-optics part of the PDT tool (PDT-IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  2. Design of a normal incidence multilayer imaging x-ray microscope.

    PubMed

    Shealy, D L; Gabardi, D R; Hoover, R B; Walker, A B; Lindblom, J F; Barbee, T W

    1989-01-01

    Normal incidence multilayer Cassegrain x-ray telescopes were flown on the Stanford/MSFC Rocket X-Ray Spectroheliograph. These instruments produced high spatial resolution images of the Sun and conclusively demonstrated that doubly reflecting multilayer x-ray optical systems are feasible. The images indicated that aplanatic imaging soft x-ray /EUV microscopes should be achievable using multilayer optics technology. We have designed a doubly reflecting normal incidence multilayer imaging x-ray microscope based on the Schwarzschild configuration. The Schwarzschild microscope utilizes two spherical mirrors with concentric radii of curvature which are chosen such that the third-order spherical aberration and coma are minimized. We discuss the design of the microscope and the results of the optical system ray trace analysis which indicates that diffraction-limited performance with 600 Å spatial resolution should be obtainable over a 1 mm field of view at a wavelength of 100 Å. Fabrication of several imaging soft x-ray microscopes based upon these designs, for use in conjunction with x-ray telescopes and laser fusion research, is now in progress. High resolution aplanatic imaging x-ray microscopes using normal incidence multilayer x-ray mirrors should have many important applications in advanced x-ray astronomical instrumentation, x-ray lithography, biological, biomedical, metallurgical, and laser fusion research.

  3. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  4. Colloidal lithography nanostructured Pd/PdO x core-shell sensor for ppb level H2S detection.

    PubMed

    Benedict, Samatha; Lumdee, Chatdanai; Dmitriev, Alexandre; Anand, Srinivasan; Bhat, Navakanta

    2018-06-22

    In this work we report on plasma oxidation of palladium (Pd) to form reliable palladium/palladium oxide (Pd/PdO x ) core-shell sensor for ppb level H 2 S detection and its performance improvement through nanostructuring using hole-mask colloidal lithography (HCL). The plasma oxidation parameters and the sensor operating conditions are optimized to arrive at a sensor device with high sensitivity and repeatable response for H 2 S. The plasma oxidized palladium/palladium oxide sensor shows a response of 43.1% at 3 ppm H 2 S at the optimum operating temperature of 200 °C with response and recovery times of 24 s and 155 s, respectively. The limit of detection (LoD) of the plasma oxidised beam is 10 ppb. We further integrate HCL, a bottom-up and cost-effective process, to create nanodiscs of fixed diameter of 100 nm and varying heights (10, 15 and 20 nm) on 10 nm thin Pd beam which is subsequently plasma oxidized to improve the H 2 S sensing characteristics. The nanostructured Pd/PdO x sensor with nanodiscs of 100 nm diameter and 10 nm height shows an enhancement in sensing performance by 11.8% at same operating temperature and gas concentration. This nanostructured sensor also shows faster response and recovery times (15 s and 100 s, respectively) compared to the unstructured Pd/PdO x counterpart together with an experimental LoD of 10 ppb and the estimated limit going all the way down to 2 ppb. Material characterization of the fabricated Pd/PdO x sensors is done using UV-vis spectroscopy and x-ray photoemission spectroscopy.

  5. Colloidal lithography nanostructured Pd/PdO x core–shell sensor for ppb level H2S detection

    NASA Astrophysics Data System (ADS)

    Benedict, Samatha; Lumdee, Chatdanai; Dmitriev, Alexandre; Anand, Srinivasan; Bhat, Navakanta

    2018-06-01

    In this work we report on plasma oxidation of palladium (Pd) to form reliable palladium/palladium oxide (Pd/PdO x ) core–shell sensor for ppb level H2S detection and its performance improvement through nanostructuring using hole-mask colloidal lithography (HCL). The plasma oxidation parameters and the sensor operating conditions are optimized to arrive at a sensor device with high sensitivity and repeatable response for H2S. The plasma oxidized palladium/palladium oxide sensor shows a response of 43.1% at 3 ppm H2S at the optimum operating temperature of 200 °C with response and recovery times of 24 s and 155 s, respectively. The limit of detection (LoD) of the plasma oxidised beam is 10 ppb. We further integrate HCL, a bottom-up and cost-effective process, to create nanodiscs of fixed diameter of 100 nm and varying heights (10, 15 and 20 nm) on 10 nm thin Pd beam which is subsequently plasma oxidized to improve the H2S sensing characteristics. The nanostructured Pd/PdO x sensor with nanodiscs of 100 nm diameter and 10 nm height shows an enhancement in sensing performance by 11.8% at same operating temperature and gas concentration. This nanostructured sensor also shows faster response and recovery times (15 s and 100 s, respectively) compared to the unstructured Pd/PdO x counterpart together with an experimental LoD of 10 ppb and the estimated limit going all the way down to 2 ppb. Material characterization of the fabricated Pd/PdO x sensors is done using UV–vis spectroscopy and x-ray photoemission spectroscopy.

  6. Economic consequences of high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Hartley, John G.; Govindaraju, Lakshmi

    2005-11-01

    Many people in the semiconductor industry bemoan the high costs of masks and view mask cost as one of the significant barriers to bringing new chip designs to market. All that is needed is a viable maskless technology and the problem will go away. Numerous sites around the world are working on maskless lithography but inevitably, the question asked is "Wouldn't a one wafer per hour maskless tool make a really good mask writer?" Of course, the answer is yes, the hesitation you hear in the answer isn't based on technology concerns, it's financial. The industry needs maskless lithography because mask costs are too high. Mask costs are too high because mask pattern generators (PG's) are slow and expensive. If mask PG's become much faster, mask costs go down, the maskless market goes away and the PG supplier is faced with an even smaller tool demand from the mask shops. Technical success becomes financial suicide - or does it? In this paper we will present the results of a model that examines some of the consequences of introducing high throughput maskless pattern generation. Specific features in the model include tool throughput for masks and wafers, market segmentation by node for masks and wafers and mask cost as an entry barrier to new chip designs. How does the availability of low cost masks and maskless tools affect the industries tool makeup and what is the ultimate potential market for high throughput maskless pattern generators?

  7. X ray microscope assembly and alignment support and advanced x ray microscope design and analysis

    NASA Technical Reports Server (NTRS)

    Shealy, David L.

    1991-01-01

    Considerable efforts have been devoted recently to the design, analysis, fabrication, and testing of spherical Schwarzschild microscopes for soft x ray application in microscopy and projection lithography. The spherical Schwarzschild microscope consists of two concentric spherical mirrors configured such that the third order spherical aberration and coma are zero. Since multilayers are used on the mirror substrates for x ray applications, it is desirable to have only two reflecting surfaces in a microscope. In order to reduce microscope aberrations and increase the field of view, generalized mirror surface profiles have been considered in this investigation. Based on incoherent and sine wave modulation transfer function (MTF) calculations, the object plane resolution of a microscope has been analyzed as a function of the object height and numerical aperture (NA) of the primary for several spherical Schwarzschild, conic, and aspherical head reflecting two mirror microscope configurations.

  8. Debris-free soft x-ray source with gas-puff target

    NASA Astrophysics Data System (ADS)

    Ni, Qiliang; Chen, Bo; Gong, Yan; Cao, Jianlin; Lin, Jingquan; Lee, Hongyan

    2001-12-01

    We have been developing a debris-free laser plasma light source with a gas-puff target system whose nozzle is driven by a piezoelectric crystal membrane. The gas-puff target system can utilize gases such as CO2, O2 or some gas mixture according to different experiments. Therefore, in comparison with soft X-ray source using a metal target, after continuously several-hour laser interaction with gas from the gas-puff target system, no evidences show that the light source can produce debris. The debris-free soft X-ray source is prepared for soft X-ray projection lithography research at State Key Laboratory of Applied Optics. Strong emission from CO2, O2 and Kr plasma is observed.

  9. Antenna Solar Energy to Electricity Converter (ASETEC)

    DTIC Science & Technology

    1989-11-01

    radiation damage • x-ray masks: all aspects • synchrotron lithography • high brightness compact sources • x-ray lithography system considerations...IB.\\VAlmaden Research Center Cochairs: Daryl Ann Doane, DAD Technologies, Inc.; Elsa Reichmanis, AT&T Bell Laboratories This conferenc’.’ is a...Philips Research- Laboratories/Signetics Corporation DiaSY Nyyssonen, CD Metrology, Inc. Victor Pol, - AT&T Bell Laboratories Elsa Reichmanis

  10. Refractive Optics for Hard X-ray Transmission Microscopy

    NASA Astrophysics Data System (ADS)

    Simon, M.; Ahrens, G.; Last, A.; Mohr, J.; Nazmov, V.; Reznikova, E.; Voigt, A.

    2011-09-01

    For hard x-ray transmission microscopy at photon energies higher than 15 keV we design refractive condenser and imaging elements to be used with synchrotron light sources as well as with x-ray tube sources. The condenser lenses are optimized for low x-ray attenuation—resulting in apertures greater than 1 mm—and homogeneous intensity distribution on the detector plane, whereas the imaging enables high-resolution (<100 nm) full-field imaging. To obtain high image quality at reasonable exposure times, custom-tailored matched pairs of condenser and imaging lenses are being developed. The imaging lenses (compound refractive lenses, CRLs) are made of SU-8 negative resist by deep x-ray lithography. SU-8 shows high radiation stability. The fabrication technique enables high-quality lens structures regarding surface roughness and arrangement precision with arbitrary 2D geometry. To provide point foci, crossed pairs of lenses are used. Condenser lenses have been made utilizing deep x-ray lithographic patterning of thick SU-8 layers, too, whereas in this case, the aperture is limited due to process restrictions. Thus, in terms of large apertures, condenser lenses made of structured and rolled polyimide film are more attractive. Both condenser types, x-ray mosaic lenses and rolled x-ray prism lenses (RXPLs), are considered to be implemented into a microscope setup. The x-ray optical elements mentioned above are characterized with synchrotron radiation and x-ray laboratory sources, respectively.

  11. X-Ray Testing Constellation-X Optics at MSFC's 100-m Facility

    NASA Technical Reports Server (NTRS)

    O'Dell, Stephen; Baker, Markus; Content, David; Freeman, Mark; Glenn, Paul; Gubarev, Mikhail; Hair, Jason; Jones, William; Joy, Marshall

    2003-01-01

    features --- fixed aperture mask and movable sub-aperture mask --- to facilitate X-ray characterization of the optics. Although the OAPZ was designed to- have low sensitivity to temperature offsets and gradients, analyses showed the necessity of active temperature control for the X-ray performance testing. Thus, the Smithsonian Astrophysical Observatory (SAO) implemented a thermal control and monitoring system, designed to hold the OAP2 close to its assembly.

  12. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  13. SEMATECH EUVL mask program status

    NASA Astrophysics Data System (ADS)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  14. Photomask quality evaluation using lithography simulation and precision SEM image contour data

    NASA Astrophysics Data System (ADS)

    Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Hagiwara, Kazuyuki; Matsushita, Shohei; Hara, Daisuke; Adamov, Anthony

    2012-11-01

    To evaluate photomask quality, the current method uses spatial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to extract. To simulate the mask error-enhancement factor (MEEF) influence for aggressive OPC in 1Xnm node, wide FOV contour data and tone information are derived from high precision SEM images. For this purpose we have developed a new contour data extraction algorithm with sub-nanometer accuracy resulting in a wide Field of View (FOV) SEM image: (for example, more than 10um x 10um square). We evaluated MEEF influence of high-end photomask pattern using the wide FOV contour data of "E3630 MVM-SEMTM" and lithography simulator "TrueMaskTM DS" of D2S, Inc. As a result, we can detect the "invisible defect" as the MEEF influence using the wide FOV contour data and lithography simulator.

  15. Electron beam mask writer EBM-9500 for logic 7nm node generation

    NASA Astrophysics Data System (ADS)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  16. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  17. A broad band X-ray imaging spectrophotometer for astrophysical studies

    NASA Technical Reports Server (NTRS)

    Lum, Kenneth S. K.; Lee, Dong Hwan; Ku, William H.-M.

    1988-01-01

    A broadband X-ray imaging spectrophotometer (BBXRIS) has been built for astrophysical studies. The BBXRIS is based on a large-imaging gas scintillation proportional counter (LIGSPC), a combination of a gas scintillation proportional counter and a multiwire proportional counter, which achieves 8 percent (FWHM) energy resolution and 1.5-mm (FWHM) spatial resolution at 5.9 keV. The LIGSPC can be integrated with a grazing incidence mirror and a coded aperture mask to provide imaging over a broad range of X-ray energies. The results of tests involving the LIGSPC and a coded aperture mask are presented, and possible applications of the BBXRIS are discussed.

  18. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  19. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  20. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  1. The measurement capabilities of cross-sectional profile of Nanoimprint template pattern using small angle x-ray scattering

    NASA Astrophysics Data System (ADS)

    Yamanaka, Eiji; Taniguchi, Rikiya; Itoh, Masamitsu; Omote, Kazuhiko; Ito, Yoshiyasu; Ogata, Kiyoshi; Hayashi, Naoya

    2016-05-01

    Nanoimprint lithography (NIL) is one of the most potential candidates for the next generation lithography for semiconductor. It will achieve the lithography with high resolution and low cost. High resolution of NIL will be determined by a high definition template. Nanoimprint lithography will faithfully transfer the pattern of NIL template to the wafer. Cross-sectional profile of the template pattern will greatly affect the resist profile on the wafer. Therefore, the management of the cross-sectional profile is essential. Grazing incidence small angle x-ray scattering (GI-SAXS) technique has been proposed as one of the method for measuring cross-sectional profile of periodic nanostructure pattern. Incident x-rays are irradiated to the sample surface with very low glancing angle. It is close to the critical angle of the total reflection of the x-ray. The scattered x-rays from the surface structure are detected on a two-dimensional detector. The observed intensity is discrete in the horizontal (2θ) direction. It is due to the periodicity of the structure, and diffraction is observed only when the diffraction condition is satisfied. In the vertical (β) direction, the diffraction intensity pattern shows interference fringes reflected to height and shape of the structure. Features of the measurement using x-ray are that the optical constant for the materials are well known, and it is possible to calculate a specific diffraction intensity pattern based on a certain model of the cross-sectional profile. The surface structure is estimated by to collate the calculated diffraction intensity pattern that sequentially while changing the model parameters with the measured diffraction intensity pattern. Furthermore, GI-SAXS technique can be measured an object in a non-destructive. It suggests the potential to be an effective tool for product quality assurance. We have developed a cross-sectional profile measurement of quartz template pattern using GI-SAXS technique. In this

  2. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  3. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  4. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  5. Picosecond excimer laser-plasma x-ray source for microscopy, biochemistry, and lithography

    NASA Astrophysics Data System (ADS)

    Turcu, I. C. Edmond; Ross, Ian N.; Trenda, P.; Wharton, C. W.; Meldrum, R. A.; Daido, Hiroyuki; Schulz, M. S.; Fluck, P.; Michette, Alan G.; Juna, A. P.; Maldonado, Juan R.; Shields, Harry; Tallents, Gregory J.; Dwivedi, L.; Krishnan, J.; Stevens, D. L.; Jenner, T.; Batani, Dimitri; Goodson, H.

    1994-02-01

    At Rutherford Appleton Laboratory we developed a high repetition rate, picosecond, excimer laser system which generates a high temperature and density plasma source emitting approximately 200 mW (78 mW/sr) x ray average power at h(nu) approximately 1.2 KeV or 0.28 KeV < h(nu) < 0.53 KeV (the `water window'). At 3.37 nm wavelength the spectral brightness of the source is approximately 9 X 1011 photons/s/mm2/mrad2/0.1% bandwidth. The x-ray source serves a large user community for applications such as: scanning and holographic microscopy, the study of the biochemistry of DNA damage and repair, microlithography and spectroscopy.

  6. Progress on EUV mask fabrication for 32-nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Zhang, Guojing; Yan, Pei-Yang; Liang, Ted; Park, Seh-jin; Sanchez, Peter; Shu, Emily Y.; Ultanir, Erdem A.; Henrichs, Sven; Stivers, Alan; Vandentop, Gilroy; Lieberman, Barry; Qu, Ping

    2007-05-01

    Extreme ultraviolet lithography (EUVL) tool development achieved a big milestone last year as two full-field Alpha Demo Tools (ADT) were shipped to customers by ASML. In the future horizon, a full field "EUV1" exposure tool from Nikon will be available by the end of 20071 and the pre-production EUV exposure tools from ASML are targeted for 20092. It is essential that high quality EUVL masks can be made and delivered to the EUVL tool users to support the technology development. In the past year, we have demonstrated mask fabrication with low stress absorber deposition and good etch process control yielding a vertical etch profile and a mask CD control of 5.7 nm for 32 nm (1x) space and 7.4 nm for 32 nm (1x) lines. Mask pattern resolution of 15 nm (1x) dense lines was achieved. Full field reflective mask die-to-die inspection at a 125nm pixel size was demonstrated after low defect multilayer blanks became available. In this paper, we will present details of the Intel EUVL Mask Pilot Line progress in EUVL mask defect reduction, pattern CD performance, program defect mask design and inspection, in-house absorber film development and its performance, and EUVL metrology tool development. We will demonstrate an overall improvement in EUV mask manufacturing readiness due to our Pilot Line activities.

  7. Status of EUVL mask development in Europe (Invited Paper)

    NASA Astrophysics Data System (ADS)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  8. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  9. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  10. Mask pattern generator employing EPL technology

    NASA Astrophysics Data System (ADS)

    Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro

    2003-08-01

    Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.

  11. Mask fabrication and its applications to extreme ultra-violet diffractive optics

    NASA Astrophysics Data System (ADS)

    Cheng, Yang-Chun

    Short-wavelength radiation around 13nm of wavelength (Extreme Ultra-Violet, EUV) is being considered for patterning microcircuits, and other electronic chips with dimensions in the nanometer range. Interferometric Lithography (IL) uses two beams of radiation to form high-resolution interference fringes, as small as half the wavelength of the radiation used. As a preliminary step toward manufacturing technology, IL can be used to study the imaging properties of materials in a wide spectral range and at nanoscale dimensions. A simple implementation of IL uses two transmission diffraction gratings to form the interference pattern. More complex interference patterns can be created by using different types of transmission gratings. In this thesis, I describe the development of a EUV lithography system that uses diffractive optical elements (DOEs), from simple gratings to holographic structures. The exposure system is setup on a EUV undulator beamline at the Synchrotron Radiation Center, in the Center for NanoTechnology clean room. The setup of the EUV exposure system is relatively simple, while the design and fabrication of the DOE "mask" is complex, and relies on advanced nanofabrication techniques. The EUV interferometric lithography provides reliable EUV exposures of line/space patterns and is ideal for the development of EUV resist technology. In this thesis I explore the fabrication of these DOE for the EUV range, and discuss the processes I have developed for the fabrication of ultra-thin membranes. In addition, I discuss EUV holographic lithography and generalized Talbot imaging techniques to extend the capability of our EUV-IL system to pattern arbitrary shapes, using more coherent sources than the undulator. In a series of experiments, we have demonstrated the use of a soft X-ray (EUV) laser as effective source for EUV lithography. EUV-IL, as implemented at CNTech, is being used by several companies and research organizations to characterize photoresist

  12. X-Ray Diffraction Wafer Mapping Method for Rhombohedral Super-Hetero-Epitaxy

    NASA Technical Reports Server (NTRS)

    Park, Yoonjoon; Choi, Sang Hyouk; King, Glen C.; Elliott, James R.; Dimarcantonio, Albert L.

    2010-01-01

    A new X-ray diffraction (XRD) method is provided to acquire XY mapping of the distribution of single crystals, poly-crystals, and twin defects across an entire wafer of rhombohedral super-hetero-epitaxial semiconductor material. In one embodiment, the method is performed with a point or line X-ray source with an X-ray incidence angle approximating a normal angle close to 90 deg, and in which the beam mask is preferably replaced with a crossed slit. While the wafer moves in the X and Y direction, a narrowly defined X-ray source illuminates the sample and the diffracted X-ray beam is monitored by the detector at a predefined angle. Preferably, the untilted, asymmetric scans are of {440} peaks, for twin defect characterization.

  13. Characterizing polarized illumination in high numerical aperture optical lithography with phase shifting masks

    NASA Astrophysics Data System (ADS)

    McIntyre, Gregory Russell

    The primary objective of this dissertation is to develop the phase shifting mask (PSM) as a precision instrument to characterize effects in optical lithography related to the use of polarized partially coherent illumination. The intent is to provide an in-situ characterization technique to add to the lithographer's tool-kit to help enable the stable and repeatable mass production of integrated circuits with feature sizes approaching 1/6th the wavelength of light being used. A series of complex-valued mathematical functions have been derived from basic principles and recent advances in photomask fabrication technology have enabled their implementation with four-phase mask making. When located in the object plane of an imaging system, these test functions serve to engineer a wavefiront that interacts with one particular optical effect, creating a measurable signal in the image plane. In most cases, these test patterns leverage proximity effects to create a central image intensity and are theoretically the most sensitive to the desired effect. Five novel classes of test patterns have been developed for in-situ characterization. The first two classes, The Linear Phase Grating (LPG) and Linear Phase Ring (LPR), both serve to characterize illumination angular distribution and uniformity by creating signals dependent on illumination angular frequency. The third class consists of the Radial Phase Grating (RPG) and Proximity Effect Polarization Analyzers (PEPA), which each create a polarization-dependent signal by taking advantage of the image reversal of one polarization component at high numerical aperture (NA). PSM Polarimetry employs a series of these patterns to form a complete polarization characterization of any arbitrary illumination scheme. The fourth and fifth classes employ sub-resolution interferometric reference probes to coherently interact with proximity effect spillover from a surrounding pattern. They measure the effective phase and transmission of the

  14. Nanofabrication on unconventional substrates using transferred hard masks

    DOE PAGES

    Li, Luozhou; Bayn, Igal; Lu, Ming; ...

    2015-01-15

    Here, a major challenge in nanofabrication is to pattern unconventional substrates that cannot be processed for a variety of reasons, such as incompatibility with spin coating, electron beam lithography, optical lithography, or wet chemical steps. Here, we present a versatile nanofabrication method based on re-usable silicon membrane hard masks, patterned using standard lithography and mature silicon processing technology. These masks, transferred precisely onto targeted regions, can be in the millimetre scale. They allow for fabrication on a wide range of substrates, including rough, soft, and non-conductive materials, enabling feature linewidths down to 10 nm. Plasma etching, lift-off, and ion implantationmore » are realized without the need for scanning electron/ion beam processing, UV exposure, or wet etching on target substrates.« less

  15. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  16. Enhancing Tabletop X-Ray Phase Contrast Imaging with Nano-Fabrication

    PubMed Central

    Miao, Houxun; Gomella, Andrew A.; Harmon, Katherine J.; Bennett, Eric E.; Chedid, Nicholas; Znati, Sami; Panna, Alireza; Foster, Barbara A.; Bhandarkar, Priya; Wen, Han

    2015-01-01

    X-ray phase-contrast imaging is a promising approach for improving soft-tissue contrast and lowering radiation dose in biomedical applications. While current tabletop imaging systems adapt to common x-ray tubes and large-area detectors by employing absorptive elements such as absorption gratings or monolithic crystals to filter the beam, we developed nanometric phase gratings which enable tabletop x-ray far-field interferometry with only phase-shifting elements, leading to a substantial enhancement in the performance of phase contrast imaging. In a general sense the method transfers the demands on the spatial coherence of the x-ray source and the detector resolution to the feature size of x-ray phase masks. We demonstrate its capabilities in hard x-ray imaging experiments at a fraction of clinical dose levels and present comparisons with the existing Talbot-Lau interferometer and with conventional digital radiography. PMID:26315891

  17. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  18. Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application

    DOEpatents

    Barbee, Jr., Troy W.; Bajt, Sasa

    2002-01-01

    The reflectivity and thermal stability of Mo/Si (molybdenum/silicon) multilayer films, used in soft x-ray and extreme ultraviolet region, is enhanced by deposition of a thin layer of boron carbide (e.g., B.sub.4 C) between alternating layers of Mo and Si. The invention is useful for reflective coatings for soft X-ray and extreme ultraviolet optics, multilayer for masks, coatings for other wavelengths and multilayers for masks that are more thermally stable than pure Mo/Si multilayers

  19. Point Source X-Ray Lithography System for Sub-0.15 Micron Design Rules

    DTIC Science & Technology

    1998-05-22

    consist of a SAL developed stepper, an SRL developed Dense Plasma Focus , (DPF), X-Ray source, and a CXrL developed beam line. The system will be...existing machine that used spark gap switching, SRL has developed an all solid state driver and improved head electrode assembly for their dense plasma ... focus X-Ray source. Likewise, SAL has used their existing Model 4 stepper installed at CXrL as a design starting point, and has developed an advanced

  20. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  1. Towards hybrid pixel detectors for energy-dispersive or soft X-ray photon science

    PubMed Central

    Jungmann-Smith, J. H.; Bergamaschi, A.; Brückner, M.; Cartier, S.; Dinapoli, R.; Greiffenberg, D.; Huthwelker, T.; Maliakal, D.; Mayilyan, D.; Medjoubi, K.; Mezza, D.; Mozzanica, A.; Ramilli, M.; Ruder, Ch.; Schädler, L.; Schmitt, B.; Shi, X.; Tinti, G.

    2016-01-01

    JUNGFRAU (adJUstiNg Gain detector FoR the Aramis User station) is a two-dimensional hybrid pixel detector for photon science applications at free-electron lasers and synchrotron light sources. The JUNGFRAU 0.4 prototype presented here is specifically geared towards low-noise performance and hence soft X-ray detection. The design, geometry and readout architecture of JUNGFRAU 0.4 correspond to those of other JUNGFRAU pixel detectors, which are charge-integrating detectors with 75 µm × 75 µm pixels. Main characteristics of JUNGFRAU 0.4 are its fixed gain and r.m.s. noise of as low as 27 e− electronic noise charge (<100 eV) with no active cooling. The 48 × 48 pixels JUNGFRAU 0.4 prototype can be combined with a charge-sharing suppression mask directly placed on the sensor, which keeps photons from hitting the charge-sharing regions of the pixels. The mask consists of a 150 µm tungsten sheet, in which 28 µm-diameter holes are laser-drilled. The mask is aligned with the pixels. The noise and gain characterization, and single-photon detection as low as 1.2 keV are shown. The performance of JUNGFRAU 0.4 without the mask and also in the charge-sharing suppression configuration (with the mask, with a ‘software mask’ or a ‘cluster finding’ algorithm) is tested, compared and evaluated, in particular with respect to the removal of the charge-sharing contribution in the spectra, the detection efficiency and the photon rate capability. Energy-dispersive and imaging experiments with fluorescence X-ray irradiation from an X-ray tube and a synchrotron light source are successfully demonstrated with an r.m.s. energy resolution of 20% (no mask) and 14% (with the mask) at 1.2 keV and of 5% at 13.3 keV. The performance evaluation of the JUNGFRAU 0.4 prototype suggests that this detection system could be the starting point for a future detector development effort for either applications in the soft X-ray energy regime or for an energy

  2. Evaluation of a ''CMOS'' Imager for Shadow Mask Hard X-ray Telescope

    NASA Technical Reports Server (NTRS)

    Desai, Upendra D.; Orwig, Larry E.; Oergerle, William R. (Technical Monitor)

    2002-01-01

    We have developed a hard x-ray coder that provides high angular resolution imaging capability using a coarse position sensitive image plane detector. The coder consists of two Fresnel zone plates. (FZP) Two such 'FZP's generate Moire fringe patterns whose frequency and orientation define the arrival direction of a beam with respect to telescope axis. The image plane detector needs to resolve the Moire fringe pattern. Pixilated detectors can be used as an image plane detector. The recently available 'CMOS' imager could provide a very low power large area image plane detector for hard x-rays. We have looked into a unit made by Rad-Icon Imaging Corp. The Shadow-Box 1024 x-ray camera is a high resolution 1024xl024 pixel detector of 50x50 mm area. It is a very low power, stand alone camera. We present some preliminary results of our investigation of evaluation of such camera.

  3. Achieving hard X-ray nanofocusing using a wedged multilayer Laue lens

    DOE PAGES

    Huang, Xiaojing; Conley, Raymond; Bouet, Nathalie; ...

    2015-05-04

    We report on the fabrication and the characterization of a wedged multilayer Laue lens for x-ray nanofocusing. The lens was fabricated using a sputtering deposition technique, in which a specially designed mask was employed to introduce a thickness gradient in the lateral direction of the multilayer. X-ray characterization shows an efficiency of 27% and a focus size of 26 nm at 14.6 keV, in a good agreement with theoretical calculations. These results indicate that the desired wedging is achieved in the fabricated structure. We anticipate that continuous development on wedged MLLs will advance x-ray nanofocusing optics to new frontiers andmore » enrich capabilities and opportunities for hard X-ray microscopy.« less

  4. Achieving hard X-ray nanofocusing using a wedged multilayer Laue lens

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Xiaojing; Conley, Raymond; Bouet, Nathalie

    We report on the fabrication and the characterization of a wedged multilayer Laue lens for x-ray nanofocusing. The lens was fabricated using a sputtering deposition technique, in which a specially designed mask was employed to introduce a thickness gradient in the lateral direction of the multilayer. X-ray characterization shows an efficiency of 27% and a focus size of 26 nm at 14.6 keV, in a good agreement with theoretical calculations. These results indicate that the desired wedging is achieved in the fabricated structure. We anticipate that continuous development on wedged MLLs will advance x-ray nanofocusing optics to new frontiers andmore » enrich capabilities and opportunities for hard X-ray microscopy.« less

  5. Microstructured polymer films by X-ray lithographic exposure and grafting

    NASA Astrophysics Data System (ADS)

    Gürsel, Selmiye A.; Padeste, Celestino; Solak, Harun H.; Scherer, Günther G.

    2005-07-01

    Recently we reported on a new technique to generate micro- and nanostructured polymer materials by the combination of selective irradiation of polymer substrates with X-rays and subsequent grafting of a second polymer. Here we focus on the spatially defined grafting throughout the thickness of poly(ethylene-alt-tetrafluoroethylene) (ETFE) and poly (tetrafluoroethylene-co-hexafluoropropylene) (FEP) films using X-ray irradiation through a metal mask, followed by grafting with styrene. Calculations of the transmission of X-rays through the polymer as a function of the wavelength have revealed that energy deposition within the substrate material, which should control the density of created radicals, can be selected in a wide range. Depending on the used wavelength the radicals are created either near the surface or in the bulk of the sample. First experiments demonstrated spatially defined grafting through a 100 μm thick ETFE film and 25 μm thick FEP film. The achieved graft level depends on the irradiation dose as well as on the grafting parameters such as concentration, temperature and time. The precision of structure definition within the film depends on the properties of the X-ray source, the metal mask and the grafting process. The presented process allows controlled grafting through fluoropolymer films with micrometer resolution and local modification of the properties of the films, such as ion conductivity, diffusion of specific molecules or optical properties.

  6. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  7. Design and analysis of aspherical multilayer imaging X-ray microscope

    NASA Technical Reports Server (NTRS)

    Shealy, David L.; Jiang, WU; Hoover, Richard B.

    1991-01-01

    Spherical Schwarzschild microscopes for soft X-ray applications in microscopy and projection lithography employ two concentric spherical mirrors that are configured such that the third-order spherical aberration and coma are zero. Based on incoherent, sine-wave MTF calculations, the object-plane resolution of a magnification-factor-20 microscope is presently analyzed as a function of object height and numerical aperture of the primary for several spherical Schwarzschild, conic, and aspherical two-mirror microscope configurations.

  8. a-Si:H TFT-silicon hybrid low-energy x-ray detector

    DOE PAGES

    Shin, Kyung -Wook; Karim, Karim S.

    2017-03-15

    Direct conversion crystalline silicon X-ray imagers are used for low-energy X-ray photon (4-20 keV) detection in scientific research applications such as protein crystallography. In this paper, we demonstrate a novel pixel architecture that integrates a crystalline silicon X-ray detector with a thin-film transistor amorphous silicon pixel readout circuit. We describe a simplified two-mask process to fabricate a complete imaging array and present preliminary results that show the fabricated pixel to be sensitive to 5.89-keV photons from a low activity Fe-55 gamma source. Furthermore, this paper presented can expedite the development of high spatial resolution, low cost, direct conversion imagers formore » X-ray diffraction and crystallography applications.« less

  9. Shot-noise limited throughput of soft x-ray ptychography for nanometrology applications

    NASA Astrophysics Data System (ADS)

    Koek, Wouter; Florijn, Bastiaan; Bäumer, Stefan; Kruidhof, Rik; Sadeghian, Hamed

    2018-03-01

    Due to its potential for high resolution and three-dimensional imaging, soft x-ray ptychography has received interest for nanometrology applications. We have analyzed the measurement time per unit area when using soft x-ray ptychography for various nanometrology applications including mask inspection and wafer inspection, and are thus able to predict (order of magnitude) throughput figures. Here we show that for a typical measurement system, using a typical sampling strategy, and when aiming for 10-15 nm resolution, it is expected that a wafer-based topology (2.5D) measurement takes approximately 4 minutes per μm2 , and a full three-dimensional measurement takes roughly 6 hours per μm2 . Due to their much higher reflectivity EUV masks can be measured considerably faster; a measurement speed of 0.1 seconds per μm2 is expected. However, such speeds do not allow for full wafer or mask inspection at industrially relevant throughput.

  10. Impact of topographic mask models on scanner matching solutions

    NASA Astrophysics Data System (ADS)

    Tyminski, Jacek K.; Pomplun, Jan; Renwick, Stephen P.

    2014-03-01

    Of keen interest to the IC industry are advanced computational lithography applications such as Optical Proximity Correction of IC layouts (OPC), scanner matching by optical proximity effect matching (OPEM), and Source Optimization (SO) and Source-Mask Optimization (SMO) used as advanced reticle enhancement techniques. The success of these tasks is strongly dependent on the integrity of the lithographic simulators used in computational lithography (CL) optimizers. Lithographic mask models used by these simulators are key drivers impacting the accuracy of the image predications, and as a consequence, determine the validity of these CL solutions. Much of the CL work involves Kirchhoff mask models, a.k.a. thin masks approximation, simplifying the treatment of the mask near-field images. On the other hand, imaging models for hyper-NA scanner require that the interactions of the illumination fields with the mask topography be rigorously accounted for, by numerically solving Maxwell's Equations. The simulators used to predict the image formation in the hyper-NA scanners must rigorously treat the masks topography and its interaction with the scanner illuminators. Such imaging models come at a high computational cost and pose challenging accuracy vs. compute time tradeoffs. Additional complication comes from the fact that the performance metrics used in computational lithography tasks show highly non-linear response to the optimization parameters. Finally, the number of patterns used for tasks such as OPC, OPEM, SO, or SMO range from tens to hundreds. These requirements determine the complexity and the workload of the lithography optimization tasks. The tools to build rigorous imaging optimizers based on first-principles governing imaging in scanners are available, but the quantifiable benefits they might provide are not very well understood. To quantify the performance of OPE matching solutions, we have compared the results of various imaging optimization trials obtained

  11. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  12. Method and apparatus for micromachining using hard X-rays

    DOEpatents

    Siddons, D.P.; Johnson, E.D.; Guckel, H.; Klein, J.L.

    1997-10-21

    An X-ray source such as a synchrotron which provides a significant spectral content of hard X-rays is used to expose relatively thick photoresist such that the portions of the photoresist at an exit surface receive at least a threshold dose sufficient to render the photoresist susceptible to a developer, while the entrance surface of the photoresist receives an exposure which does not exceed a power limit at which destructive disruption of the photoresist would occur. The X-ray beam is spectrally shaped to substantially eliminate lower energy photons while allowing a substantial flux of higher energy photons to pass through to the photoresist target. Filters and the substrate of the X-ray mask may be used to spectrally shape the X-ray beam. Machining of photoresists such as polymethylmethacrylate to micron tolerances may be obtained to depths of several centimeters, and multiple targets may be exposed simultaneously. The photoresist target may be rotated and/or translated in the beam to form solids of rotation and other complex three-dimensional structures. 21 figs.

  13. Method and apparatus for micromachining using hard X-rays

    DOEpatents

    Siddons, David Peter; Johnson, Erik D.; Guckel, Henry; Klein, Jonathan L.

    1997-10-21

    An X-ray source such as a synchrotron which provides a significant spectral content of hard X-rays is used to expose relatively thick photoresist such that the portions of the photoresist at an exit surface receive at least a threshold dose sufficient to render the photoresist susceptible to a developer, while the entrance surface of the photoresist receives an exposure which does not exceed a power limit at which destructive disruption of the photoresist would occur. The X-ray beam is spectrally shaped to substantially eliminate lower energy photons while allowing a substantial flux of higher energy photons to pass through to the photoresist target. Filters and the substrate of the X-ray mask may be used to spectrally shape the X-ray beam. Machining of photoresists such as polymethylmethacrylate to micron tolerances may be obtained to depths of several centimeters, and multiple targets may be exposed simultaneously. The photoresist target may be rotated and/or translated in the beam to form solids of rotation and other complex three-dimensional structures.

  14. 1D design style implications for mask making and CEBL

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2013-09-01

    At advanced nodes, CMOS logic is being designed in a highly regular design style because of the resolution limitations of optical lithography equipment. Logic and memory layouts using 1D Gridded Design Rules (GDR) have been demonstrated to nodes beyond 12nm.[1-4] Smaller nodes will require the same regular layout style but with multiple patterning for critical layers. One of the significant advantages of 1D GDR is the ease of splitting layouts into lines and cuts. A lines and cuts approach has been used to achieve good pattern fidelity and process margin to below 12nm.[4] Line scaling with excellent line-edge roughness (LER) has been demonstrated with self-aligned spacer processing.[5] This change in design style has important implications for mask making: • The complexity of the masks will be greatly reduced from what would be required for 2D designs with very complex OPC or inverse lithography corrections. • The number of masks will initially increase, as for conventional multiple patterning. But in the case of 1D design, there are future options for mask count reduction. • The line masks will remain simple, with little or no OPC, at pitches (1x) above 80nm. This provides an excellent opportunity for continual improvement of line CD and LER. The line pattern will be processed through a self-aligned pitch division sequence to divide pitch by 2 or by 4. • The cut masks can be done with "simple OPC" as demonstrated to beyond 12nm.[6] Multiple simple cut masks may be required at advanced nodes. "Coloring" has been demonstrated to below 12nm for two colors and to 8nm for three colors. • Cut/hole masks will eventually be replaced by e-beam direct write using complementary e-beam lithography (CEBL).[7-11] This transition is gated by the availability of multiple column e-beam systems with throughput adequate for high- volume manufacturing. A brief description of 1D and 2D design styles will be presented, followed by examples of 1D layouts. Mask complexity for 1

  15. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    NASA Astrophysics Data System (ADS)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch <=65 nm is placing greater demands on the mask. Strong resolution enhancement techniques (RETs), such as embedded and alternating phase shift masks and complex model-based optical proximity correction, are required to compensate for diffraction and limited depth of focus (DOF). To fabricate these masks, many new or upgraded tools are required to write patterns, measure feature sizes and placement, inspect for defects, review defect printability and repair defects on these masks. Beyond the significant technical challenges, suppliers of mask fabrication equipment face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper

  16. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  17. Fabrication of high-resolution x-ray diffractive optics at King's College London

    NASA Astrophysics Data System (ADS)

    Charalambous, Pambos S.; Anastasi, Peter A. F.; Burge, Ronald E.; Popova, Katia

    1995-09-01

    The fabrication of high resolution x-ray diffractive optics, and Fresnel zone plates (ZPs) in particular, is a very demanding multifaceted technological task. The commissioning of more (and brighter) synchrotron radiation sources, has increased the number of x-ray imaging beam lines world wide. The availability of cheaper and more effective laboratory x-ray sources, has further increased the number of laboratories involved in x-ray imaging. The result is an ever increasing demand for x-ray optics with a very wide range of specifications, reflecting the particular type of x-ray imaging performed at different laboratories. We have been involved in all aspects of high resolution nanofabrication for a number of years, and we have explored many different methods of lithography, which, although unorthodox, open up possibilities, and increase our flexibility for the fabrication of different diffractive optical elements, as well as other types of nanostructures. The availability of brighter x-ray sources, means that the diffraction efficiency of the ZPs is becoming of secondary importance, a trend which will continue in the future. Resolution, however, is important and will always remain so. Resolution is directly related to the accuracy af pattern generation, as well as the ability to draw fine lines. This is the area towards which we have directed most of our efforts so far.

  18. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  19. X1908+075: An X-Ray Binary with a 4.4 Day Period

    NASA Astrophysics Data System (ADS)

    Wen, Linqing; Remillard, Ronald A.; Bradt, Hale V.

    2000-04-01

    X1908+075 is an optically unidentified and highly absorbed X-ray source that appeared in early surveys such as Uhuru, OSO 7, Ariel 5, HEAO-1, and the EXOSAT Galactic Plane Survey. These surveys measured a source intensity in the range 2-12 mcrab at 2-10 keV, and the position was localized to ~0.5d. We use the Rossi X-Ray Timing Explorer (RXTE) All-Sky Monitor (ASM) to confirm our expectation that a particular Einstein/IPC detection (1E 1908.4+0730) provides the correct position for X1908+075. The analysis of the coded mask shadows from the ASM for the position of 1E 1908.4+0730 yields a persistent intensity ~8 mcrab (1.5-12 keV) over a 3 yr interval beginning in 1996 February. Furthermore, we detect a period of 4.400+/-0.001 days with a false-alarm probability less than 10-7. The folded light curve is roughly sinusoidal, with an amplitude that is 26% of the mean flux. The X-ray period may be attributed to the scattering and absorption of X-rays through a stellar wind combined with the orbital motion in a binary system. We suggest that X1908+075 is an X-ray binary with a high-mass companion star.

  20. EUVL mask dual pods to be used for mask shipping and handling in exposure tools

    NASA Astrophysics Data System (ADS)

    Gomei, Yoshio; Ota, Kazuya; Lystad, John; Halbmair, Dave; He, Long

    2007-03-01

    The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.

  1. SWIFT Discovery of Gamma-ray Bursts without Jet Break Feature in their X-ray Afterglows

    NASA Technical Reports Server (NTRS)

    Sato, G.; Yamazaki, R.; Sakamoto, T.; Takahashi, T; Nakazawa, K.; Nakamura, T.; Toma, K.; Hullinger, D.; Tashiro, M.; Parsons, A. M.; hide

    2007-01-01

    We analyze Swift gamma-ray bursts (GRBs) and X-ray afterglows for three GRBs with spectroscopic redshift determinations - GRB 050401, XRF 050416a, and GRB 050525a. We find that the relation between spectral peak energy and isotropic energy of prompt emissions (the Amati relation) is consistent with that for the bursts observed in pre-Swift era. However, we find that the X-ray afterglow lightcurves, which extend up to 10 - 70 days, show no sign of the jet break that is expected in the standard framework of collimated outflows. We do so by showing that none of the X-ray afterglow lightcurves in our sample satisfies the relation between the spectral and temporal indices that is predicted for the phase after jet break. The jet break time can be predicted by inverting the tight empirical relation between the peak energy of the spectrum and the collimation-corrected energy of the prompt emission (the Ghirlanda relation). We find that there are no temporal breaks within the predicted time intervals in X-ray band. This requires either that the Ghirlanda relation has a larger scatter than previously thought, that the temporal break in X-rays is masked by some additional source of X-ray emission, or that it does not happen because of some unknown reason.

  2. Direct index of refraction measurements at extreme-ultraviolet and soft-x-ray wavelengths.

    PubMed

    Rosfjord, Kristine; Chang, Chang; Miyakawa, Ryan; Barth, Holly; Attwood, David

    2006-03-10

    Coherent radiation from undulator beamlines has been used to directly measure the real and imaginary parts of the index of refraction of several materials at both extreme-ultraviolet and soft-x-ray wavelengths. Using the XOR interferometer, we measure the refractive indices of silicon and ruthenium, essential materials for extreme-ultraviolet lithography. Both materials are tested at wavelength (13.4 nm) and across silicon's L2 (99.8 eV) and L3 (99.2 eV) absorption edges. We further extend this direct phase measurement method into the soft-x-ray region, where measurements of chromium and vanadium are performed around their L3 absorption edges at 574.1 and 512.1 eV, respectively. These are the first direct measurements, to our knowledge, of the real part of the index of refraction made in the soft-x-ray region.

  3. A study of an alignment-less lithography method as an educational resource

    NASA Astrophysics Data System (ADS)

    Kai, Kazuho; Shiota, Koki; Nagaoka, Shiro; Mahmood, Mohamad Rusop Bin Haji; Kawai, Akira

    2016-07-01

    A simplification of the lithography process was studied. The simplification method of photolithography, named "alignment-less lithography" was proposed by omitting the photomask alignment process in photolithography process using mechanically aligned photomasks and substrate by using a simple jig on which countersinks were formed. Photomasks made of glass and the photomasks made of transparent plastic sheets were prepared for the process. As the result, approximately 5µm in the case of the glass mask, and 20µm in the case of the OHP mask were obtained with repetitive accuracies, respectively. It was confirmed that the alignment-less lithography method was successful. The possibility of the application to an educational program, such as a heuristic for solving problems was suggested using the method with the OHP mask. The nMOS FET fabrication process was successfully demonstrated using this method. The feasibility of this process was confirmed. It is expected that a totally simplified device fabrication process can be achievable when combined with other simplifications, such ass the simplified impurity diffusion processes using PSG and BSG thin film as diffusion source prepared by the Sol-Gel material under normal air environment.

  4. The Marshall Grazing Incidence X-ray Spectrometer

    NASA Astrophysics Data System (ADS)

    Kobayashi, Ken; Winebarger, Amy R.; Savage, Sabrina; Champey, Patrick; Cheimets, Peter N.; Hertz, Edward; Bruccoleri, Alexander R.; Golub, Leon; Ramsey, Brian; Ranganathan, Jaganathan; Marquez, Vanessa; Allured, Ryan; Parker, Theodore; Heilmann, Ralf K.; Schattenburg, Mark L.

    2017-08-01

    The Marshall Grazing Incidence X-ray Spectrometer (MaGIXS) is a NASA sounding rocket instrument designed to obtain spatially resolved soft X-ray spectra of the solar atmosphere in the 6-24 Å (0.5-2.0 keV) range. The instrument consists of a single shell Wolter Type-I telescope, a slit, and a spectrometer comprising a matched pair of grazing incidence parabolic mirrors and a planar varied-line space diffraction grating. The instrument is designed to achieve a 50 mÅ spectral resolution and 5 arcsecond spatial resolution along a +/-4-arcminute long slit, and launch is planned for 2019. We report on the status and our approaches for fabrication and alignment for this novel optical system. The telescope and spectrometer mirrors are replicated nickel shells, and are currently being fabricated at the NASA Marshall Space Flight Center. The diffraction grating is currently under development by the Massachusetts Institute of Technology (MIT); because of the strong line spacing variation across the grating, it will be fabricated through e-beam lithography.

  5. Soft X-ray holographic grating beam splitter including a double frequency grating for interferometer pre-alignment.

    PubMed

    Liu, Ying; Tan, Xin; Liu, Zhengkun; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2008-09-15

    Grating beam splitters have been fabricated for soft X-ray Mach- Zehnder interferometer using holographic interference lithography. The grating beam splitter consists of two gratings, one works at X-ray laser wavelength of 13.9 nm with the spatial frequency of 1000 lines/mm as the operation grating, the other works at visible wavelength of 632.8 nm for pre-aligning the X-ray interferometer with the spatial frequency of 22 lines/mm as the pre-alignment grating. The two gratings lie vertically on the same substrate. The main feature of the beam splitter is the use of low-spatial- frequency beat grating of a holographic double frequency grating as the pre-alignment grating of the X-ray interferometer. The grating line parallelism between the two gratings can be judged by observing the diffraction patterns of the pre-alignment grating directly.

  6. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  7. Optimizing the Performance of X-Ray Optics for MaGIXS

    NASA Astrophysics Data System (ADS)

    Yadlapalli, N.; Hertz, E.; Cheimets, P.

    2017-12-01

    The Marshall Grazing Incidence X-Ray Spectrometer (MaGIXS) is an X-ray imaging spectrometer that will observe the solar corona in the soft X-ray regime with both spatial and spectral resolution. The science goal of MaGIXS is to better understand the problem of coronal heating by measuring the temperature distribution, composition, and temporal variability of hot plasmas (>4 MK) in active regions. In order to do this, the instrument will observe the corona with a fast cadence ( 5 seconds) in wavelengths between 6-24 A with a 6" spatial resolution and a 0.1 A spectral resolution. To ensure that this instrument can achieve such a resolution, it is crucial to have exact measurements of the focal lengths of the mirrors. The mirrors will be aligned and mounted using the Centroid Detector Assembly (CDA) (a steerable laser originally developed for aligning the AXAF mirrors), a CMM Romer arm, and Hartmann aperture masks to perform the focal length measurements. We have designed metrology supports that elevate the aperture mask and mirror up to the height of the optical axis defined by the CDA of the laser, allows the aperture mask 3 translational degrees of freedom, and the allows the mirror 3 translational and 3 rotational degrees of freedom needed for alignment. The measured and verified focal lengths will then be used to carry out the alignment of the mirrors as the MaGIXS instrument is assembled for launch. MaGIXS is supported by NASA's Marshall Space Flight Center, contract number NNM15AA15C. This work is additionally supported by the NSF-REU solar physics program at SAO, grant number AGS-1560313.

  8. Ultraviolet Channeling Dynamics in Gaseous Media for X -- Ray Production

    NASA Astrophysics Data System (ADS)

    McCorkindale, John Charters

    The development of a coherent high brightness / short duration X -- ray source has been of considerable interest to the scientific community as well as various industries since the invention of the technology. Possible applications include X -- ray lithography, biological micro-imaging and the probing of molecular and atomic dynamics. One such source under investigation involves the interaction of a high pulsed power KrF UV laser with a noble gas target (krypton or xenon), producing a photon energy from 1 -- 5 keV. Amplification in this regime requires materials with very special properties found in spatially organized hollow atom clusters. One of the driving forces behind X -- ray production is the UV laser. Theoretical analysis shows that above a critical laser power, the formation of a stable plasma channel in the gaseous medium will occur which can act as a guide for the X-ray pulse and co-propagating UV beam. These plasma channels are visualized with a triple pinhole camera, axial and transverse von Hamos spectrometers and a Thomson scattering setup. In order to understand observed channel morphologies, full characterization of the drive laser was achieved using a Transient Grating -- Frequency Resolved Optical Gating (TG-FROG) technique which gives a full temporal representation of the electric field and associated phase of the ultrashort pulse. Insights gleaned from the TG -- FROG data as well as analysis of photodiode diagnostics placed along the UV laser amplification chain provide explanations for the plasma channel morphology and X -- ray output.

  9. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  10. Regolith X-Ray Imaging Spectrometer (REXIS) Aboard the OSIRIS-REx Asteroid Sample Return Mission

    NASA Astrophysics Data System (ADS)

    Masterson, R. A.; Chodas, M.; Bayley, L.; Allen, B.; Hong, J.; Biswas, P.; McMenamin, C.; Stout, K.; Bokhour, E.; Bralower, H.; Carte, D.; Chen, S.; Jones, M.; Kissel, S.; Schmidt, F.; Smith, M.; Sondecker, G.; Lim, L. F.; Lauretta, D. S.; Grindlay, J. E.; Binzel, R. P.

    2018-02-01

    The Regolith X-ray Imaging Spectrometer (REXIS) is the student collaboration experiment proposed and built by an MIT-Harvard team, launched aboard NASA's OSIRIS-REx asteroid sample return mission. REXIS complements the scientific investigations of other OSIRIS-REx instruments by determining the relative abundances of key elements present on the asteroid's surface by measuring the X-ray fluorescence spectrum (stimulated by the natural solar X-ray flux) over the range of energies 0.5 to 7 keV. REXIS consists of two components: a main imaging spectrometer with a coded aperture mask and a separate solar X-ray monitor to account for the Sun's variability. In addition to element abundance ratios (relative to Si) pinpointing the asteroid's most likely meteorite association, REXIS also maps elemental abundance variability across the asteroid's surface using the asteroid's rotation as well as the spacecraft's orbital motion. Image reconstruction at the highest resolution is facilitated by the coded aperture mask. Through this operation, REXIS will be the first application of X-ray coded aperture imaging to planetary surface mapping, making this student-built instrument a pathfinder toward future planetary exploration. To date, 60 students at the undergraduate and graduate levels have been involved with the REXIS project, with the hands-on experience translating to a dozen Master's and Ph.D. theses and other student publications.

  11. Temperature stability of Al(x)Ga(1-x)As (x = 0-1) thermal oxide masks for selective-area epitaxy

    NASA Technical Reports Server (NTRS)

    Jones, Stephen H.; Lau, Kei May; Pouch, John J.

    1988-01-01

    The use of thermal oxides of Al(x)Ga(1-x)As (x = 0-1) as masking materials for selective-area epitaxy by a organometallic chemical-vapor deposition has been investigated. It was found that the thermal oxide of GaAs is only applicable for low growth temperatures (less than or equal to 600 C), and the addition of aluminum significantly improves the thermal stability of the oxide. The oxide of Al(0.4)Ga(0.6)As is suitable for high-temperature deposition, but there are criteria for the thickness and oxidation temperature. Thin layers of AlAs oxidized at 475 C are excellent masks and allow precise thickness control. Promising results of selective-area deposition using these aluminum oxide masks have been obtained. High-quality single crystal grew in mask openings uniformly surrounded by dense and fine-grain polycrystalline deposits, producing a planar duplication of the original pattern.

  12. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  13. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    NASA Astrophysics Data System (ADS)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  14. Computing Challenges in Coded Mask Imaging

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  15. Hard X-ray (greater than 10 keV) telescope for space astronomy from the Moon

    NASA Astrophysics Data System (ADS)

    Frontera, F.; de Chiara, P.; Pasqualini, G.

    1994-06-01

    The use of the Moon as site for deep observations of astrophysical sources in hard X-rays (greater than 10 keV) is very exciting, in spite of several technological problems to be solved. A strong limitation to the sensitivity of hard X-ray experiments is imposed by the use of direct-viewing (with or without masks) detectors. We propose a lunar hard X-ray observatory, (LHEXO), that makes use of a hard X-ray concentrator which is based on the use of confocal paraboloidal mirrors made of mosaic crystals of graphite (002). In this paper we describe telescope concept and its expected performances.

  16. Monitoring X-Ray Emission from X-Ray Bursters

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Kaaret, Philip

    1999-01-01

    The scientific goal of this project was to monitor a selected sample of x-ray bursters using data from the All-Sky Monitor (ASM) on the Rossi X-Ray Timing Explorer together with data from the Burst and Transient Source Experiment (BATSE) on the Compton Gamma-Ray Observatory to study the long-term temporal evolution of these sources in the x-ray and hard x-ray bands. The project was closely related to "Long-Term Hard X-Ray Monitoring of X-Ray Bursters", NASA project NAG5-3891, and and "Hard x-ray emission of x-ray bursters", NASA project NAG5-4633, and shares publications in common with both of these. The project involved preparation of software for use in monitoring and then the actual monitoring itself. These efforts have lead to results directly from the ASM data and also from Target of Opportunity Observations (TOO) made with the Rossi X-Ray Timing Explorer based on detection of transient hard x-ray outbursts with the ASM and BATSE.

  17. High brightness--multiple beamlets source for patterned X-ray production

    DOEpatents

    Leung, Ka-Ngo [Hercules, CA; Ji, Qing [Albany, CA; Barletta, William A [Oakland, CA; Jiang, Ximan [El Cerrito, CA; Ji, Lili [Albany, CA

    2009-10-27

    Techniques for controllably directing beamlets to a target substrate are disclosed. The beamlets may be either positive ions or electrons. It has been shown that beamlets may be produced with a diameter of 1 .mu.m, with inter-aperture spacings of 12 .mu.m. An array of such beamlets, may be used for maskless lithography. By step-wise movement of the beamlets relative to the target substrate, individual devices may be directly e-beam written. Ion beams may be directly written as well. Due to the high brightness of the beamlets from extraction from a multicusp source, exposure times for lithographic exposure are thought to be minimized. Alternatively, the beamlets may be electrons striking a high Z material for X-ray production, thereafter collimated to provide patterned X-ray exposures such as those used in CAT scans. Such a device may be used for remote detection of explosives.

  18. [Experimental investigation of laser plasma soft X-ray source with gas target].

    PubMed

    Ni, Qi-liang; Gong, Yan; Lin, Jing-quan; Chen, Bo; Cao, Jian-lin

    2003-02-01

    This paper describes a debris-free laser plasma soft X-ray source with a gas target, which has high operating frequency and can produce strong soft X-ray radiation. The valve of this light source is drived by a piezoelectrical ceramic whose operating frequency is up to 400 Hz. In comparison with laser plasma soft X-ray sources using metal target, the light source is debris-free. And it has higher operating frequency than gas target soft X-ray sources whose nozzle is controlled by a solenoid valve. A channel electron multiplier (CEM) operating in analog mode is used to detect the soft X-ray generated by the laser plasma source, and the CEM's output is fed to to a charge-sensitive preamplifier for further amplification purpose. Output charges from the CEM are proportional to the amplitude of the preamplifier's output voltage. Spectra of CO2, Xe and Kr at 8-14 nm wavelength which can be used for soft X-ray projection lithography are measured. The spectrum for CO2 consists of separate spectral lines originate mainly from the transitions in Li-like and Be-like ions. The Xe spectrum originating mainly from 4d-5f, 4d-4f, 4d-6p and 4d-5p transitions in multiply charged xenon ions. The spectrum for Kr consists of separate spectral lines and continuous broad spectra originating mainly from the transitions in Cu-, Ni-, Co- and Fe-like ions.

  19. 65-nm full-chip implementation using double dipole lithography

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Chen, J. Fung; Cororan, Noel; Knose, William T.; Van Den Broeke, Douglas J.; Laidig, Thomas L.; Wampler, Kurt E.; Shi, Xuelong; Hsu, Michael; Eurlings, Mark; Finders, Jo; Chiou, Tsann-Bim; Socha, Robert J.; Conley, Will; Hsieh, Yen W.; Tuan, Steve; Hsieh, Frank

    2003-06-01

    Double Dipole Lithography (DDL) has been demonstrated to be capable of patterning complex 2D patterns. Due to inherently high aerial imaging contrast, especially for dense features, we have found that it has a very good potential to meet manufacturing requirements for the 65nm node using ArF binary chrome masks. For patterning in the k1<0.35 regime without resorting to hard phase-shift masks (PSMs), DDL is one unique Resolution Enhancement Technique (RET) which can achieve an acceptable process window. To utilize DDL for printing actual IC devices, the original design data must be decomposed into "vertical (V)" and "horizontal (H)" masks for the respective X- and Y-dipole exposures. An improved two-pass, model-based, DDL mask data processing methodology has been established. It is capable of simultaneously converting complex logic and memory mask patterns into DDL compatible mask layout. To maximize the overlapped process window area, we have previously shown that the pattern-shielding algorithm must be intelligently applied together with both Scattering Bars (SBs) and model-based OPC (MOPC). Due to double exposures, stray light must be well-controlled to ensure uniform printing across the entire chip. One solution to minimize stray light is to apply large patches of solid chrome in open areas to reduce the background transmission during exposure. Unfortunately, this is not feasible for a typical clear-field poly gate masks to be patterned by a positive resist process. In this work, we report a production-worthy DDL mask pattern decomposition scheme for full-chip application. A new generation of DDL technology reticle set has been developed to verify the printing performance. Shielding is a critical part of the DDL. An innovative shielding scheme has been developed to protect the critical features and minimize the impact of stray light during double exposure.

  20. The Sensitivity of Coded Mask Telescopes

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald K.

    2008-01-01

    Simple formulae are often used to estimate the sensitivity of coded mask X-ray or gamma-ray telescopes, but t,hese are strictly only applicable if a number of basic assumptions are met. Complications arise, for example, if a grid structure is used to support the mask elements, if the detector spatial resolution is not good enough to completely resolve all the detail in the shadow of the mask or if any of a number of other simplifying conditions are not fulfilled. We derive more general expressions for the Poisson-noise-limited sensitivity of astronomical telescopes using the coded mask technique, noting explicitly in what circumstances they are applicable. The emphasis is on using nomenclature and techniques that result in simple and revealing results. Where no convenient expression is available a procedure is given which allows the calculation of the sensitivity. We consider certain aspects of the optimisation of the design of a coded mask telescope and show that when the detector spatial resolution and the mask to detector separation are fixed, the best source location accuracy is obtained when the mask elements are equal in size to the detector pixels.

  1. CA resist with high sensitivity and sub-100-nm resolution for advanced mask making

    NASA Astrophysics Data System (ADS)

    Huang, Wu-Song; Kwong, Ranee W.; Hartley, John G.; Moreau, Wayne M.; Angelopoulos, Marie; Magg, Christopher; Lawliss, Mark

    2000-07-01

    Recently, there is significant interest in using CA resist for electron beam (E-beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non-CA E-beam resist in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resist have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resists system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits excellent lithography, is robust toward airborne base, compatible with 0.263N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV shaped beam system EL4+ and the KRS-XE resist, we have printed 75nm lines/space feature with excellent profile control at a dose of 13(mu) C/cm2 at 75kV. The shaped beam vector scan system used here provides a unique property in resolving small features in lithography and throughput. Overhead in EL4+$ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system has sufficiently low overhead that it is projected to print a 4X, 16G DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+.

  2. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  3. Line-frequency doubling of directed self-assembly patterns for single-digit bit pattern media lithography

    NASA Astrophysics Data System (ADS)

    Patel, K. C.; Ruiz, R.; Lille, J.; Wan, L.; Dobiz, E.; Gao, H.; Robertson, N.; Albrecht, T. R.

    2012-03-01

    Directed self-assembly is emerging as a promising technology to define sub-20nm features. However, a straightforward path to scale block copolymer lithography to single-digit fabrication remains challenging given the diverse material properties found in the wide spectrum of self-assembling materials. A vast amount of block copolymer research for industrial applications has been dedicated to polystyrene-b-methyl methacrylate (PS-b-PMMA), a model system that displays multiple properties making it ideal for lithography, but that is limited by a weak interaction parameter that prevents it from scaling to single-digit lithography. Other block copolymer materials have shown scalability to much smaller dimensions, but at the expense of other material properties that could delay their insertion into industrial lithographic processes. We report on a line doubling process applied to block copolymer patterns to double the frequency of PS-b-PMMA line/space features, demonstrating the potential of this technique to reach single-digit lithography. We demonstrate a line-doubling process that starts with directed self-assembly of PS-b-PMMA to define line/space features. This pattern is transferred into an underlying sacrificial hard-mask layer followed by a growth of self-aligned spacers which subsequently serve as hard-masks for transferring the 2x frequency doubled pattern to the underlying substrate. We applied this process to two different block copolymer materials to demonstrate line-space patterns with a half pitch of 11nm and 7nm underscoring the potential to reach single-digit critical dimensions. A subsequent patterning step with perpendicular lines can be used to cut the fine line patterns into a 2-D array of islands suitable for bit patterned media. Several integration challenges such as line width control and line roughness are addressed.

  4. Characterization of a-SiC:H films produced in a standard plasma enhanced chemical vapor deposition system for x-ray mask application

    NASA Astrophysics Data System (ADS)

    Jean, A.; Chaker, M.; Diawara, Y.; Leung, P. K.; Gat, E.; Mercier, P. P.; Pépin, H.; Gujrathi, S.; Ross, G. G.; Kieffer, J. C.

    1992-10-01

    Hydrogenated amorphous a-SixC1-x:H films with various compositions (0.2≤x≤0.8) were prepared by a radio frequency (rf 100 kHz) glow discharge decomposition of a silane and methane mixture diluted in argon. The deposition system used was a commercially available plasma enhanced chemical vapor deposition reactor allowing a high throughput (22 wafers of 4 in. diameter each run). The properties of the films such as thickness, density, and stress were investigated. The composition, including hydrogen content and Si/C ratio, and the structure of the films were systematically examined by means of several diagnostics including electron recoil detection, x-ray photoelectron spectroscopy, and infrared (IR) absorption analysis. Thickness and density of the films were dependent on the film composition, while the stress of the films was highly compressive (3×109-1×1010 dynes/cm2). Density was about 2.4 g/cm3 for nearly stoichiometric SiC films. The hydrogen content of the films was practically constant at 27 at. % over the whole investigated composition range. The IR analyses suggested that the structure of the silicon carbide films is inorganic-like over the whole range of compositions. From stoichiometric to carbon-rich films, the structure mainly consists of a tetrahedral network where silicon atoms are randomly replaced by carbon atoms and one hydrogen atom is bonded to silicon (SiH group). However, the presence of SiH2 groups and microvoids was observed in the structure of Si-rich silicon carbide films. Finally, the development of SiC membranes for x-ray lithography was presented including the control of film stress by means of rapid thermal annealing. Silicon carbide membranes of relatively high surface area (32×32 mm2) and showing high optical transparency (80%) were successfully fabricated.

  5. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    NASA Astrophysics Data System (ADS)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  6. SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Balachandran, Dave; He, Long; Kearney, Patrick; Karumuri, Anil; Goodwin, Frank; Cummings, Kevin

    2015-03-01

    Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.

  7. Overlay improvement by exposure map based mask registration optimization

    NASA Astrophysics Data System (ADS)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  8. Accelerator test of the coded aperture mask technique for gamma-ray astronomy

    NASA Technical Reports Server (NTRS)

    Jenkins, T. L.; Frye, G. M., Jr.; Owens, A.; Carter, J. N.; Ramsden, D.

    1982-01-01

    A prototype gamma-ray telescope employing the coded aperture mask technique has been constructed and its response to a point source of 20 MeV gamma-rays has been measured. The point spread function is approximately a Gaussian with a standard deviation of 12 arc minutes. This resolution is consistent with the cell size of the mask used and the spatial resolution of the detector. In the context of the present experiment, the error radius of the source position (90 percent confidence level) is 6.1 arc minutes.

  9. Resistless lithography - selective etching of silicon with gallium doping regions

    NASA Astrophysics Data System (ADS)

    Abdullaev, D.; Milovanov, R.; Zubov, D.

    2016-12-01

    This paper presents the results for used of resistless lithography with a further reactive-ion etching (RIE) in various chemistry after local (Ga+) implantation of silicon with different doping dose and different size doped regions. We describe the different etching regimes for pattern transfer of FIB implanted Ga masks in silicon. The paper studied the influence of the implantation dose on the silicon surface, the masking effect and the mask resistance to erosion at dry etching. Based on these results we conclude about the possibility of using this method to create micro-and nanoscale silicon structures.

  10. X-ray filter for x-ray powder diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sinsheimer, John Jay; Conley, Raymond P.; Bouet, Nathalie C. D.

    Technologies are described for apparatus, methods and systems effective for filtering. The filters may comprise a first plate. The first plate may include an x-ray absorbing material and walls defining first slits. The first slits may include arc shaped openings through the first plate. The walls of the first plate may be configured to absorb at least some of first x-rays when the first x-rays are incident on the x-ray absorbing material, and to output second x-rays. The filters may comprise a second plate spaced from the first plate. The second plate may include the x-ray absorbing material and wallsmore » defining second slits. The second slits may include arc shaped openings through the second plate. The walls of the second plate may be configured to absorb at least some of second x-rays and to output third x-rays.« less

  11. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  12. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  13. Geant4 simulations of a wide-angle x-ray focusing telescope

    NASA Astrophysics Data System (ADS)

    Zhao, Donghua; Zhang, Chen; Yuan, Weimin; Zhang, Shuangnan; Willingale, Richard; Ling, Zhixing

    2017-06-01

    The rapid development of X-ray astronomy has been made possible by widely deploying X-ray focusing telescopes on board many X-ray satellites. Geant4 is a very powerful toolkit for Monte Carlo simulations and has remarkable abilities to model complex geometrical configurations. However, the library of physical processes available in Geant4 lacks a description of the reflection of X-ray photons at a grazing incident angle which is the core physical process in the simulation of X-ray focusing telescopes. The scattering of low-energy charged particles from the mirror surfaces is another noteworthy process which is not yet incorporated into Geant4. Here we describe a Monte Carlo model of a simplified wide-angle X-ray focusing telescope adopting lobster-eye optics and a silicon detector using the Geant4 toolkit. With this model, we simulate the X-ray tracing, proton scattering and background detection. We find that: (1) the effective area obtained using Geant4 is in agreement with that obtained using Q software with an average difference of less than 3%; (2) X-rays are the dominant background source below 10 keV; (3) the sensitivity of the telescope is better by at least one order of magnitude than that of a coded mask telescope with the same physical dimensions; (4) the number of protons passing through the optics and reaching the detector by Firsov scattering is about 2.5 times that of multiple scattering for the lobster-eye telescope.

  14. Inspection of lithographic mask blanks for defects

    DOEpatents

    Sommargren, Gary E.

    2001-01-01

    A visible light method for detecting sub-100 nm size defects on mask blanks used for lithography. By using optical heterodyne techniques, detection of the scattered light can be significantly enhanced as compared to standard intensity detection methods. The invention is useful in the inspection of super-polished surfaces for isolated surface defects or particulate contamination and in the inspection of lithographic mask or reticle blanks for surface defects or bulk defects or for surface particulate contamination.

  15. Method for improve x-ray diffraction determinations of residual stress in nickel-base alloys

    DOEpatents

    Berman, Robert M.; Cohen, Isadore

    1990-01-01

    A process for improving the technique of measuring residual stress by x-ray diffraction in pieces of nickel-base alloys which comprises covering part of a predetermined area of the surface of a nickel-base alloy with a dispersion, exposing the covered and uncovered portions of the surface of the alloy to x-rays by way of an x-ray diffractometry apparatus, making x-ray diffraction determinations of the exposed surface, and measuring the residual stress in the alloy based on these determinations. The dispersion is opaque to x-rays and serves a dual purpose since it masks off unsatisfactory signals such that only a small portion of the surface is measured, and it supplies an internal standard by providing diffractogram peaks comparable to the peaks of the nickel alloy so that the alloy peaks can be very accurately located regardless of any sources of error external to the sample.

  16. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  17. The 105-Month Swift-BAT All-Sky Hard X-Ray Survey

    NASA Technical Reports Server (NTRS)

    Oh, Kyuseok; Koss, Michael; Markwardt, Craig B.; Schawinski, Kevin; Baumgartner, Wayne H.; Barthelmy, Scott D.; Cenko, S. Bradley; Gehrels, Neil; Mushotzky, Richard; Petulante, Abigail; hide

    2018-01-01

    We present a catalog of hard X-ray sources detected in the first 105 months of observations with the Burst Alert Telescope (BAT) coded-mask imager on board the Swift observatory. The 105-month Swift-BAT survey is a uniform hard X-ray all-sky survey with a sensitivity of 8.40 x 10(exp -12) erg s(exp -1) cm(exp -2) over 90% of the sky and 7.24 x 10(exp -12) erg s(exp -1) cm(exp -2) over 50% of the sky in the 14-195 keV band. The Swift-BAT 105-month catalog provides 1632 (422 new detections) hard X-ray sources in the 14-195 keV band above the 4.8 sigma significance level. Adding to the previously known hard X-ray sources, 34% (144/422) of the new detections are identified as Seyfert active galactic nuclei (AGNs) in nearby galaxies (z < 0.2). The majority of the remaining identified sources are X-ray binaries (7%, 31) and blazars/BL Lac objects (10%, 43). As part of this new edition of the Swift-BAT catalog, we release eight-channel spectra and monthly sampled light curves for each object in the online journal and at the Swift-BAT 105-month website.

  18. 3D-printed coded apertures for x-ray backscatter radiography

    NASA Astrophysics Data System (ADS)

    Muñoz, André A. M.; Vella, Anna; Healy, Matthew J. F.; Lane, David W.; Jupp, Ian; Lockley, David

    2017-09-01

    Many different mask patterns can be used for X-ray backscatter imaging using coded apertures, which can find application in the medical, industrial and security sectors. While some of these patterns may be considered to have a self-supporting structure, this is not the case for some of the most frequently used patterns such as uniformly redundant arrays or any pattern with a high open fraction. This makes mask construction difficult and usually requires a compromise in its design by drilling holes or adopting a no two holes touching version of the original pattern. In this study, this compromise was avoided by 3D printing a support structure that was then filled with a radiopaque material to create the completed mask. The coded masks were manufactured using two different methods, hot cast and cold cast. Hot casting involved casting a bismuth alloy at 80°C into the 3D printed acrylonitrile butadiene styrene mould which produced an absorber with density of 8.6 g cm-3. Cold casting was undertaken at room temperature, when a tungsten/epoxy composite was cast into a 3D printed polylactic acid mould. The cold cast procedure offered a greater density of around 9.6 to 10 g cm-3 and consequently greater X-ray attenuation. It was also found to be much easier to manufacture and more cost effective. A critical review of the manufacturing procedure is presented along with some typical images. In both cases the 3D printing process allowed square apertures to be created avoiding their approximation by circular holes when conventional drilling is used.

  19. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  20. Evaluating structure in thin block copolymer films with soft x-rays (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Sunday, Daniel; Liman, Christopher; Hannon, Adam F.; Ren, Jiaxing; Chen, Xuanxuan; Suh, Hyo Seon; de Pablo, Juan J.; Nealey, Paul F.; Kline, R. Joseph

    2017-03-01

    The semiconductor industry is evaluating a variety of approaches for the cost efficient production of future processing and memory generations. Amongst the technologies being explored are multiple patterning steps, extreme ultraviolet (EUV) lithography, multiple-beam electron beam lithography and the directed self-assembly (DSA) of block copolymers (BCPs). BCP DSA utilizes a chemical or topographical template to induce long range order in a thin film of BCP which enhances the resolution of the original pattern. The characterization of buried structure within a DSA BCP film is challenging due to the lack of contrast between the organic materials. Critical-dimension small angle x-ray scattering (CDSAXS) measurements were performed on DSA BCP films, using soft X-rays to tune the contrast, in order to understand the relationship between template structure and film morphology.1 The results of these measurements show that as the width of the guiding stripe widens the arrangement of the BCP on the guiding stripe inverts, shifting from the A block being centered on the guiding stripe to the B block being centered on the guiding stripe. The initial results of integration of mean field simulations into the analysis of scattering data will also be discussed. In addition to examining the BCP structure with CDSAXS, soft X-ray reflectivity2 measurements were performed on BCP to better understand the relationship between interface width for systems with alternative architectures (triblocks) and additives (polymers/ionic liquids). The addition of a selectively associating additive increases the interaction parameter between the two blocks, resulting in the reduction of the interface width and access to smaller pitches. The use of soft X-ray reflectivity allows the evaluation of the distribution of the additive. (1) Sunday, D. F.; Hammond, M. R.; Wang, C.; Wu, W.; Delongchamp, D. M.; Tjio, M.; Cheng, J. Y.; Kline, R. J.; Pitera, J. W. Determination of the Internal Morphology of

  1. Automatic pelvis segmentation from x-ray images of a mouse model

    NASA Astrophysics Data System (ADS)

    Al Okashi, Omar M.; Du, Hongbo; Al-Assam, Hisham

    2017-05-01

    The automatic detection and quantification of skeletal structures has a variety of different applications for biological research. Accurate segmentation of the pelvis from X-ray images of mice in a high-throughput project such as the Mouse Genomes Project not only saves time and cost but also helps achieving an unbiased quantitative analysis within the phenotyping pipeline. This paper proposes an automatic solution for pelvis segmentation based on structural and orientation properties of the pelvis in X-ray images. The solution consists of three stages including pre-processing image to extract pelvis area, initial pelvis mask preparation and final pelvis segmentation. Experimental results on a set of 100 X-ray images showed consistent performance of the algorithm. The automated solution overcomes the weaknesses of a manual annotation procedure where intra- and inter-observer variations cannot be avoided.

  2. Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing

    NASA Astrophysics Data System (ADS)

    Liebmann, Lars W.; Graur, Ioana C.; Leipold, William C.; Oberschmidt, James M.; O'Grady, David S.; Regaill, Denis

    1999-07-01

    While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.

  3. The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data

    NASA Astrophysics Data System (ADS)

    Xu, Zhe; Peng, M. G.; Tu, Lin Hsin; Lee, Cedric; Lin, J. K.; Jan, Jian Feng; Yin, Alb; Wang, Pei

    2006-10-01

    Nowadays, most foundries have paid more and more attention in order to reduce the CD width. Although the lithography technologies have developed drastically, mask data accuracy is still a big challenge than before. Besides, mask (reticle) price also goes up drastically such that data accuracy needs more special treatments.We've developed a system called eFDMS to guarantee the mask data accuracy. EFDMS is developed to do the automatic back-check of mask tooling database and the data transmission of mask tooling. We integrate our own EFDMS systems to engage with the standard mask tooling system K2 so that the upriver and the downriver processes of the mask tooling main body K2 can perform smoothly and correctly with anticipation. The competition in IC marketplace is changing from high-tech process to lower-price gradually. How to control the reduction of the products' cost more plays a significant role in foundries. Before the violent competition's drawing nearer, we should prepare the cost task ahead of time.

  4. Parallel compression/decompression-based datapath architecture for multibeam mask writers

    NASA Astrophysics Data System (ADS)

    Chaudhary, Narendra; Savari, Serap A.

    2017-06-01

    Multibeam electron beam systems will be used in the future for mask writing and for complimentary lithography. The major challenges of the multibeam systems are in meeting throughput requirements and in handling the large data volumes associated with writing grayscale data on the wafer. In terms of future communications and computational requirements Amdahl's Law suggests that a simple increase of computation power and parallelism may not be a sustainable solution. We propose a parallel data compression algorithm to exploit the sparsity of mask data and a grayscale video-like representation of data. To improve the communication and computational efficiency of these systems at the write time we propose an alternate datapath architecture partly motivated by multibeam direct write lithography and partly motivated by the circuit testing literature, where parallel decompression reduces clock cycles. We explain a deflection plate architecture inspired by NuFlare Technology's multibeam mask writing system and how our datapath architecture can be easily added to it to improve performance.

  5. Parallel compression/decompression-based datapath architecture for multibeam mask writers

    NASA Astrophysics Data System (ADS)

    Chaudhary, Narendra; Savari, Serap A.

    2017-10-01

    Multibeam electron beam systems will be used in the future for mask writing and for complementary lithography. The major challenges of the multibeam systems are in meeting throughput requirements and in handling the large data volumes associated with writing grayscale data on the wafer. In terms of future communications and computational requirements, Amdahl's law suggests that a simple increase of computation power and parallelism may not be a sustainable solution. We propose a parallel data compression algorithm to exploit the sparsity of mask data and a grayscale video-like representation of data. To improve the communication and computational efficiency of these systems at the write time, we propose an alternate datapath architecture partly motivated by multibeam direct-write lithography and partly motivated by the circuit testing literature, where parallel decompression reduces clock cycles. We explain a deflection plate architecture inspired by NuFlare Technology's multibeam mask writing system and how our datapath architecture can be easily added to it to improve performance.

  6. Development of an alternating magnetic-field-assisted finishing process for microelectromechanical systems micropore x-ray optics.

    PubMed

    Riveros, Raul E; Yamaguchi, Hitomi; Mitsuishi, Ikuyuki; Takagi, Utako; Ezoe, Yuichiro; Kato, Fumiki; Sugiyama, Susumu; Yamasaki, Noriko; Mitsuda, Kazuhisa

    2010-06-20

    X-ray astronomy research is often limited by the size, weight, complexity, and cost of functioning x-ray optics. Micropore optics promises an economical alternative to traditional (e.g., glass or foil) x-ray optics; however, many manufacturing difficulties prevent micropore optics from being a viable solution. Ezoe et al. introduced microelectromechanical systems (MEMS) micropore optics having curvilinear micropores in 2008. Made by either deep reactive ion etching or x-ray lithography, electroforming, and molding (LIGA), MEMS micropore optics suffer from high micropore sidewall roughness (10-30nmrms) which, by current standards, cannot be improved. In this research, a new alternating magnetic-field-assisted finishing process was developed using a mixture of ferrofluid and microscale abrasive slurry. A machine was built, and a set of working process parameters including alternating frequency, abrasive size, and polishing time was selected. A polishing experiment on a LIGA-fabricated MEMS micropore optic was performed, and a change in micropore sidewall roughness of 9.3+/-2.5nmrms to 5.7+/-0.7nmrms was measured. An improvement in x-ray reflectance was also seen. This research shows the feasibility and confirms the effects of this new polishing process on MEMS micropore optics.

  7. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Irving, J. W.; Lu, Xiaoming; Zhang, Wei; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2013-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. In previous studies, we have focused on defects such as random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. In this work, we attempted to identify the critical imprint defect types using a mask with NAND Flash-like patterns at dimensions as small as 26nm. The two key defect types identified were line break defects induced by small particulates and airborne contaminants which result in local adhesion failure. After identification, the root cause of the defect was determined, and corrective measures were taken to either eliminate or reduce the defect source. As a result, we have been able to reduce defectivity levels by more than three orders of magnitude in only 12 months and are now achieving defectivity adders as small as 2 adders per lot of wafers.

  8. Hard-X-Ray/Soft-Gamma-Ray Imaging Sensor Assembly for Astronomy

    NASA Technical Reports Server (NTRS)

    Myers, Richard A.

    2008-01-01

    An improved sensor assembly has been developed for astronomical imaging at photon energies ranging from 1 to 100 keV. The assembly includes a thallium-doped cesium iodide scintillator divided into pixels and coupled to an array of high-gain avalanche photodiodes (APDs). Optionally, the array of APDs can be operated without the scintillator to detect photons at energies below 15 keV. The array of APDs is connected to compact electronic readout circuitry that includes, among other things, 64 independent channels for detection of photons in various energy ranges, up to a maximum energy of 100 keV, at a count rate up to 3 kHz. The readout signals are digitized and processed by imaging software that performs "on-the-fly" analysis. The sensor assembly has been integrated into an imaging spectrometer, along with a pair of coded apertures (Fresnel zone plates) that are used in conjunction with the pixel layout to implement a shadow-masking technique to obtain relatively high spatial resolution without having to use extremely small pixels. Angular resolutions of about 20 arc-seconds have been measured. Thus, for example, the imaging spectrometer can be used to (1) determine both the energy spectrum of a distant x-ray source and the angular deviation of the source from the nominal line of sight of an x-ray telescope in which the spectrometer is mounted or (2) study the spatial and temporal development of solar flares, repeating - ray bursters, and other phenomena that emit transient radiation in the hard-x-ray/soft- -ray region of the electromagnetic spectrum.

  9. Method for improving x-ray diffraction determinations of residual stress in nickel-base alloys

    DOEpatents

    Berman, R.M.; Cohen, I.

    1988-04-26

    A process for improving the technique of measuring residual stress by x-ray diffraction in pieces of nickel-base alloys is discussed. Part of a predetermined area of the surface of a nickel-base alloy is covered with a dispersion. This exposes the covered and uncovered portions of the surface of the alloy to x-rays by way of an x-ray diffractometry apparatus, making x-ray diffraction determinations of the exposed surface, and measuring the residual stress in the alloy based on these determinations. The dispersion is opaque to x-rays and serves a dual purpose, since it masks off unsatisfactory signals such that only a small portion of the surface is measured, and it supplies an internal standard by providing diffractogram peaks comparable to the peaks of the nickel alloy so that the alloy peaks can be very accurately located regardless of any sources of error external to the sample. 2 figs.

  10. Quantitative evaluation of manufacturability and performance for ILT produced mask shapes using a single-objective function

    NASA Astrophysics Data System (ADS)

    Choi, Heon; Wang, Wei-long; Kallingal, Chidam

    2015-03-01

    The continuous scaling of semiconductor devices is quickly outpacing the resolution improvements of lithographic exposure tools and processes. This one-sided progression has pushed optical lithography to its limits, resulting in the use of well-known techniques such as Sub-Resolution Assist Features (SRAF's), Source-Mask Optimization (SMO), and double-patterning, to name a few. These techniques, belonging to a larger category of Resolution Enhancement Techniques (RET), have extended the resolution capabilities of optical lithography at the cost of increasing mask complexity, and therefore cost. One such technique, called Inverse Lithography Technique (ILT), has attracted much attention for its ability to produce the best possible theoretical mask design. ILT treats the mask design process as an inverse problem, where the known transformation from mask to wafer is carried out backwards using a rigorous mathematical approach. One practical problem in the application of ILT is the resulting contour-like mask shapes that must be "Manhattanized" (composed of straight edges and 90-deg corners) in order to produce a manufacturable mask. This conversion process inherently degrades the mask quality as it is a departure from the "optimal mask" represented by the continuously curved shapes produced by ILT. However, simpler masks composed of longer straight edges reduce the mask cost as it lowers the shot count and saves mask writing time during mask fabrication, resulting in a conflict between manufacturability and performance for ILT produced masks1,2. In this study, various commonly used metrics will be combined into an objective function to produce a single number to quantitatively measure a particular ILT solution's ability to balance mask manufacturability and RET performance. Several metrics that relate to mask manufacturing costs (i.e. mask vertex count, ILT computation runtime) are appropriately weighted against metrics that represent RET capability (i.e. process

  11. UDOF direct improvement by modulating mask absorber thickness

    NASA Astrophysics Data System (ADS)

    Yu, Tuan-Yen; Lio, En Chuan; Chen, Po Tsang; Wei, Chih I.; Chen, Yi Ting; Peng, Ming Chun; Chou, William; Yu, Chun Chi

    2016-10-01

    As the process generation migrate to advanced and smaller dimension or pitch, the mask and resist 3D effects will impact the lithography focus common window severely because of both individual depth-of-focus (iDOF) range decrease and center mismatch. Furthermore, some chemical or thermal factors, such as PEB (Post Exposure Bake) also worsen the usable depth-of-focus (uDOF) performance. So the mismatch of thru-pitch iDOF center should be considered as a lithography process integration issue, and more complicated to partition the 3D effects induced by optical or chemical factors. In order to reduce the impact of 3D effects induced by both optical and chemical issues, and improve iDOF center mismatch, we would like to propose a mask absorber thickness offset approach, which is directly to compensate the iDOF center bias by adjusting mask absorber thickness, for iso, semi-iso or dense characteristics in line, space or via patterns to enlarge common process window, i.e uDOF, which intends to provide similar application as Flexwave[1] (ASML trademark). By the way, since mask absorber thickness offset approach is similar to focus tuning or change on wafer lithography process, it could be acted as the process tuning method of photoresist (PR) profile optimization locally, PR scum improvement in specific patterns or to modulate etching bias to meet process integration request. For mass production consideration, and available material, current att-PSM blank, quartz, MoSi with chrome layer as hard-mask in reticle process, will be implemented in this experiment, i.e. chrome will be kept remaining above partial thru-pitch patterns, and act as the absorber thickness bias in different patterns. And then, from the best focus offset of thru-pitch patterns, the iDOF center shifts could be directly corrected and to enlarge uDOF by increasing the overlap of iDOF. Finally, some negative tone development (NTD) result in line patterns will be demonstrated as well.

  12. A Roman bronze statuette with gilded silver mask from Sardinia: an EDXRF study

    NASA Astrophysics Data System (ADS)

    Cesareo, Roberto; Brunetti, Antonio; D'Oriano, Rubens; Canu, Alba; Demontis, Gonaria Mattia; Celauro, Angela

    2013-12-01

    A Roman bronze statuette from the 2nd Century BC was recovered from a nuragic sanctuary close to Florinas, in the north of Sardinia. The facial portion of the statuette is covered by a silver mask, partially gilded and attached to the bronze by tin-lead welding. The silver mask was carefully analyzed by portable energy-dispersive X-ray fluorescence (EDXRF), a non-destructive and non-invasive method. The aim of the analysis was to reconstruct the layered structure of the silver gilt mask, and to determine homogeneity and thickness of the gold, silver and lead-tin sheets. This is possible by using the internal ratio of the X-ray lines, i.e. starting from the surface, Au (L α/L β), Ag (K α/K β), Au-L α/Ag-K α and Pb (L α/L β).The results were compared with those obtained with simulated X-ray spectra, obtained both experimentally and by using the Monte Carlo simulation technique.

  13. The 105-Month Swift-BAT All-sky Hard X-Ray Survey

    NASA Astrophysics Data System (ADS)

    Oh, Kyuseok; Koss, Michael; Markwardt, Craig B.; Schawinski, Kevin; Baumgartner, Wayne H.; Barthelmy, Scott D.; Cenko, S. Bradley; Gehrels, Neil; Mushotzky, Richard; Petulante, Abigail; Ricci, Claudio; Lien, Amy; Trakhtenbrot, Benny

    2018-03-01

    We present a catalog of hard X-ray sources detected in the first 105 months of observations with the Burst Alert Telescope (BAT) coded-mask imager on board the Swift observatory. The 105-month Swift-BAT survey is a uniform hard X-ray all-sky survey with a sensitivity of 8.40× {10}-12 {erg} {{{s}}}-1 {cm}}-2 over 90% of the sky and 7.24× {10}-12 {erg} {{{s}}}-1 {cm}}-2 over 50% of the sky in the 14–195 keV band. The Swift-BAT 105-month catalog provides 1632 (422 new detections) hard X-ray sources in the 14–195 keV band above the 4.8σ significance level. Adding to the previously known hard X-ray sources, 34% (144/422) of the new detections are identified as Seyfert active galactic nuclei (AGNs) in nearby galaxies (z< 0.2). The majority of the remaining identified sources are X-ray binaries (7%, 31) and blazars/BL Lac objects (10%, 43). As part of this new edition of the Swift-BAT catalog, we release eight-channel spectra and monthly sampled light curves for each object in the online journal and at the Swift-BAT 105-month website.

  14. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  15. Microfabrication: LIGA-X and applications

    NASA Astrophysics Data System (ADS)

    Kupka, R. K.; Bouamrane, F.; Cremers, C.; Megtert, S.

    2000-09-01

    X-ray LIGA (Lithography, Electrogrowth, Moulding) is one of today's key technologies in microfabrication and upcoming modern (meso)-(nano) fabrication, already used and anticipated for micromechanics (micromotors, microsensors, spinnerets, etc.), micro-optics, micro-hydrodynamics (fluidic devices), microbiology, in medicine, in biology, and in chemistry for microchemical reactors. It compares to micro-electromechanical systems (MEMS) technology, offering a larger, non-silicon choice of materials and better inherent precision. X-ray LIGA relies on synchrotron radiation to obtain necessary X-ray fluxes and uses X-ray proximity printing. Inherent advantages are its extreme precision, depth of field and very low intrinsic surface roughness. However, the quality of fabricated structures often depends on secondary effects during exposure and effects like resist adhesion. UV-LIGA, relying on thick UV resists is an alternative for projects requiring less precision. Modulating the spectral properties of synchrotron radiation, different regimes of X-ray lithography lead to (a) the mass-fabrication of classical nanostructures, (b) the fabrication of high aspect ratio nanostructures (HARNST), (c) the fabrication of high aspect ratio microstructures (HARMST), and (d) the fabrication of high aspect ratio centimeter structures (HARCST). Reviewing very recent activities around X-ray LIGA, we show the versatility of the method, obviously finding its region of application there, where it is best and other competing microtechnologies are less advantageous. An example of surface-based X-ray and particle lenses (orthogonal reflection optics (ORO)) made by X-ray LIGA is given.

  16. In-die mask registration measurement on 28nm-node and beyond

    NASA Astrophysics Data System (ADS)

    Chen, Shen Hung; Cheng, Yung Feng; Chen, Ming Jui

    2013-09-01

    As semiconductor go to smaller node, the critical dimension (CD) of process become more and more small. For lithography, RET (Resolution Enhancement Technology) applications can be used for wafer printing of smaller CD/pitch on 28nm node and beyond. SMO (Source Mask Optimization), DPT (Double Patterning Technology) and SADP (Self-Align Double Patterning) can provide lower k1 value for lithography. In another way, image placement error and overlay control also become more and more important for smaller chip size (advanced node). Mask registration (image placement error) and mask overlay are important factors to affect wafer overlay control/performance especially for DPT or SADP. In traditional method, the designed registration marks (cross type, square type) with larger CD were put into scribe-line of mask frame for registration and overlay measurement. However, these patterns are far way from real patterns. It does not show the registration of real pattern directly and is not a convincing method. In this study, the in-die (in-chip) registration measurement is introduced. We extract the dummy patterns that are close to main pattern from post-OPC (Optical Proximity Correction) gds by our desired rule and choose the patterns that distribute over whole mask uniformly. The convergence test shows 100 points measurement has a reliable result.

  17. The All-Sky Swift - INTEGRAL X-Ray Survey

    NASA Astrophysics Data System (ADS)

    Michelson, Peter

    All-sky surveys at hard X-ray energies (above 15 keV) have proven to be a powerful technique in detecting Galactic and extragalactic source populations. Most of the radiation at hard X-ray energies originates in non-thermal processes. These processes take place in extreme conditions of gravitational fields, of electromagnetic field, and also in explosive events. Such extreme conditions can be found in the Milk Way in the vicinity of neutron stars, black holes, and supernovae. Also extragalactic sources are known to be hard X-ray emitters like Active Galactic Nuclei (AGNs), blazars, and Clusters of Galaxies. Currently the most sensitive flying hard X-ray detectors are the Burst Alert Telescope (BAT) on board the NASA mission Swift and the INTEGRAL Soft-Gamma Ray Imager (IBIS/ISGRI) on board the ESA mission INTEGRAL. BAT and IBIS/ISGRI are coded- mask telescopes that shed continuously light on the Galactic and the extragalactic source populations. However, coded-mask telescopes suffer from heavy systematic effects (errors) preventing them from reaching their theoretical limiting sensitivity. Furthermore, by design, they block ~50% of the incident photons causing and increase of statistical noise. As a consequence BAT and IBIS/ISGRI are not sensitive enough to detect faint objects. In addition it has been proven that the Galactic survey of these instruments is limited by systematic uncertainties. Therefore, further observations on the Galactic plane will not improve the sensitivity of the survey of BAT and IBIS/ISGRI. In this project we show that it is possible to overcome the limits of BAT and of IBIS/ISGRI by combining their observations in the 18 55 keV energy range. We call it the SIX survey that stands for Swift - INTEGRAL X-ray survey. Two major advantages are obtained by merging the observations of BAT and IBIS/ISGRI: 1) the exposure is greatly enhanced (sum of BAT and IBIS/ISGRI) and therefore the sensitivity is improved; 2) the systematic errors of both

  18. X-Rays

    MedlinePlus

    X-rays are a type of radiation called electromagnetic waves. X-ray imaging creates pictures of the inside of ... different amounts of radiation. Calcium in bones absorbs x-rays the most, so bones look white. Fat ...

  19. Relativistic Effects on Reflection X-ray Spectra of AGN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Khee-Gan; /University Coll. London; Fuerst, Steven V.

    2007-01-05

    We have calculated the reflection component of the X-ray spectra of active galactic nuclei (AGN) and shown that they can be significantly modified by the relativistic motion of the accretion flow and various gravitational effects of the central black hole. The absorption edges in the reflection spectra suffer severe energy shifts and smearing. The degree of distortion depends on the system parameters, and the dependence is stronger for some parameters such as the inner radius of the accretion disk and the disk viewing inclination angles. The relativistic effects are significant and are observable. Improper treatment of the reflection component ofmore » the X-ray continuum in spectral fittings will give rise to spurious line-like features, which will mimic the fluorescent emission lines and mask the relativistic signatures of the lines.« less

  20. EUVL mask patterning with blanks from commercial suppliers

    NASA Astrophysics Data System (ADS)

    Yan, Pei-Yang; Zhang, Guojing; Nagpal, Rajesh; Shu, Emily Y.; Li, Chaoyang; Qu, Ping; Chen, Frederick T.

    2004-12-01

    Extreme Ultraviolet Lithography (EUVL) reflective mask blank development includes low thermal expansion material fabrication, mask substrate finishing, reflective multi-layer (ML) and capping layer deposition, buffer (optional)/absorber stack deposition, EUV specific metrology, and ML defect inspection. In the past, we have obtained blanks deposited with various layer stacks from several vendors. Some of them are not commercial suppliers. As a result, the blank and patterned mask qualities are difficult to maintain and improve. In this paper we will present the evaluation results of the EUVL mask pattering processes with the complete EUVL mask blanks supplied by the commercial blank supplier. The EUVL mask blanks used in this study consist of either quartz or ULE substrates which is a type of low thermal expansion material (LTEM), 40 pairs of molybdenum/silicon (Mo/Si) ML layer, thin ruthenium (Ru) capping layer, tantalum boron nitride (TaBN) absorber, and chrome (Cr) backside coating. No buffer layer is used. Our study includes the EUVL mask blank characterization, patterned EUVL mask characterization, and the final patterned EUVL mask flatness evaluation.

  1. A Compact Soft X-Ray Microscope using an Electrode-less Z-Pinch Source.

    PubMed

    Horne, S F; Silterra, J; Holber, W

    2009-01-01

    Soft X-rays (< 1Kev) are of medical interest both for imaging and microdosimetry applications. X-ray sources at this low energy present a technological challenge. Synchrotrons, while very powerful and flexible, are enormously expensive national research facilities. Conventional X-ray sources based on electron bombardment can be compact and inexpensive, but low x-ray production efficiencies at low electron energies restrict this approach to very low power applications. Laser-based sources tend to be expensive and unreliable. Energetiq Technology, Inc. (Woburn, MA, USA) markets a 92 eV, 10W(2pi sr) electrode-less Z-pinch source developed for advanced semiconductor lithography. A modified version of this commercial product has produced 400 mW at 430 eV (2pi sr), appropriate for water window soft X-ray microscopy. The US NIH has funded Energetiq to design and construct a demonstration microscope using this source, coupled to a condenser optic, as the illumination system. The design of the condenser optic matches the unique characteristics of the source to the illumination requirements of the microscope, which is otherwise a conventional design. A separate program is underway to develop a microbeam system, in conjunction with the RARAF facility at Columbia University, NY, USA. The objective is to develop a focused, sub-micron beam capable of delivering > 1 Gy/second to the nucleus of a living cell. While most facilities of this type are coupled to a large and expensive particle accelerator, the Z-pinch X-ray source enables a compact, stand-alone design suitable to a small laboratory. The major technical issues in this system involve development of suitable focusing X-ray optics. Current status of these programs will be reported.

  2. A Compact Soft X-Ray Microscope using an Electrode-less Z-Pinch Source

    PubMed Central

    Silterra, J; Holber, W

    2009-01-01

    Soft X-rays (< 1Kev) are of medical interest both for imaging and microdosimetry applications. X-ray sources at this low energy present a technological challenge. Synchrotrons, while very powerful and flexible, are enormously expensive national research facilities. Conventional X-ray sources based on electron bombardment can be compact and inexpensive, but low x-ray production efficiencies at low electron energies restrict this approach to very low power applications. Laser-based sources tend to be expensive and unreliable. Energetiq Technology, Inc. (Woburn, MA, USA) markets a 92 eV, 10W(2pi sr) electrode-less Z-pinch source developed for advanced semiconductor lithography. A modified version of this commercial product has produced 400 mW at 430 eV (2pi sr), appropriate for water window soft X-ray microscopy. The US NIH has funded Energetiq to design and construct a demonstration microscope using this source, coupled to a condenser optic, as the illumination system. The design of the condenser optic matches the unique characteristics of the source to the illumination requirements of the microscope, which is otherwise a conventional design. A separate program is underway to develop a microbeam system, in conjunction with the RARAF facility at Columbia University, NY, USA. The objective is to develop a focused, sub-micron beam capable of delivering > 1 Gy/second to the nucleus of a living cell. While most facilities of this type are coupled to a large and expensive particle accelerator, the Z-pinch X-ray source enables a compact, stand-alone design suitable to a small laboratory. The major technical issues in this system involve development of suitable focusing X-ray optics. Current status of these programs will be reported. PMID:20198115

  3. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis

    NASA Astrophysics Data System (ADS)

    Jia, Ningning; Y Lam, Edmund

    2010-04-01

    Inverse lithography technology (ILT) synthesizes photomasks by solving an inverse imaging problem through optimization of an appropriate functional. Much effort on ILT is dedicated to deriving superior masks at a nominal process condition. However, the lower k1 factor causes the mask to be more sensitive to process variations. Robustness to major process variations, such as focus and dose variations, is desired. In this paper, we consider the focus variation as a stochastic variable, and treat the mask design as a machine learning problem. The stochastic gradient descent approach, which is a useful tool in machine learning, is adopted to train the mask design. Compared with previous work, simulation shows that the proposed algorithm is effective in producing robust masks.

  4. First 65nm tape-out using inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Hung, Chi-Yuan; Zhang, Bin; Tang, Deming; Guo, Eric; Pang, Linyong; Liu, Yong; Moore, Andrew; Wang, Kechang

    2005-11-01

    This paper presents SMIC's first 65nm tape out results, in particularly, using ILT. ILT mathematically determines the mask features that produce the desired on-wafer results with best wafer pattern fidelity, largest process window or both. SMIC applied it to its first 65nm tape-out to study ILT performance and benefits for deep sub-wavelength lithography. SMIC selected 3 SRAM designs as the first test case, because SRAM bit-cells contain features which are challenging lithographically. Mask patterns generated from both conventional OPC and ILT were placed on the mask side-by-side. Mask manufacturability (including fracturing, writing time, inspection, and metrology) and wafer print performance of ILT were studied. The results demonstrated that ILT achieved better CD accuracy, produced substantially larger process window than conventional OPC, and met SMIC's 65nm process window requirements.

  5. Time Domain X-ray Astronomy with "All-Sky" Focusing Telescopes

    NASA Astrophysics Data System (ADS)

    Gorenstein, Paul

    2016-04-01

    The largest and most diverse types of temporal variations in all of astronomy occur in the soft, i.e. 0.5 to 10 keV, X-ray band. They range from millisecond QPO’s in compact binaries to year long flares from AGNs due to the absorption of a star by a SMBH, and the appearance of transient sources at decadal intervals. Models predict that at least some gravitational waves will be accompanied by an X-ray flare. A typical GRB produces more photons/sq. cm. in the soft band than it does in the Swift BAT 15 to 150 keV band. In addition the GRB X-ray fluence and knowledge of the details of the onset of the X-ray afterglow is obtained by observing the seamless transition from the active burst phase that has been attributed to internal shocks to the afterglow phases that has been attributed to external shocks. Detecting orphan X-ray afterglows will augment the event rate. With high sensitivity detectors some GRB identifications are likely to be with the youngest, most distant galaxies in the universe. Previous all-sky X-ray monitors have been non focusing limited field of view scanning instruments. An “All-Sky” (actually several ster FOV), focusing lobster-eye X-ray telescope will have much more grasp than the previous instruments and will allow a wide range of topics to be studied simultaneously. Two types of lobster-eye telescopes have been proposed. One type focuses in one dimension and uses a coded mask for resolution in the second. The other type focuses in two dimensions but has less effective area and less bandwidth. Both types are compatible with a Probe mission.

  6. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  7. Fabrication and testing of an electrochemical microcell for in situ soft X-ray microspectroscopy measurements

    NASA Astrophysics Data System (ADS)

    Gianoncelli, A.; Kaulich, B.; Kiskinova, M.; Mele, C.; Prasciolu, M.; Sgura, I.; Bozzini, B.

    2013-03-01

    In this paper we report on the fabrication and testing of a novel concept of electrochemical microcell for in-situ soft X-ray microspectroscopy in transmission. The microcell, fabricated by electron-beam lithography, implements an improved electrode design, with optimal current density distribution and minimised ohmic drop, allowing the same three-electrode electrochemical control achievable with traditional cells. Moreover standard electroanalytical measurements, such as cyclic voltammetry, can be routinely performed. As far as the electrolyte is concerned, we selected a room-temperature ionic-liquid. Some of the materials belonging to this class, in addition to a broad range of outstanding electrochemical properties, feature two highlights that are crucial for in situ, soft X-ray transmission work: spinnability, enabling accurate thickness control, and stability to UHV, allowing operation of an open cell in the analysis chamber vacuum (10-6 mbar). The cell can, of course, be used also with non-vacuum stable electrolytes in the sealed version developed in previous work in our group. In this study, the microcell designed, fabricated and tested in situ by applying an anodic polarisation to a Au electrode and following the formation of a distribution of corrosion features. This specific material combination presented in this work does not limit the cell concept, that can implement any electrodic material grown by lithography, any liquid electrolyte and any spinnable solid electrolyte.

  8. Simulation based mask defect repair verification and disposition

    NASA Astrophysics Data System (ADS)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  9. X-ray beamsplitter

    DOEpatents

    Ceglio, Natale M.; Stearns, Daniel S.; Hawryluk, Andrew M.; Barbee, Jr., Troy W.

    1989-01-01

    An x-ray beamsplitter which splits an x-ray beam into two coherent parts by reflecting and transmitting some fraction of an incident beam has applications for x-ray interferometry, x-ray holography, x-ray beam manipulation, and x-ray laser cavity output couplers. The beamsplitter is formed of a wavelength selective multilayer thin film supported by a very thin x-ray transparent membrane. The beamsplitter resonantly transmits and reflects x-rays through thin film interference effects. A thin film is formed of 5-50 pairs of alternate Mo/Si layers with a period of 20-250 A. The support membrane is 10-200 nm of silicon nitride or boron nitride. The multilayer/support membrane structure is formed across a window in a substrate by first forming the structure on a solid substrate and then forming a window in the substrate to leave a free-standing structure over the window.

  10. Search for Hard X-Ray Emission from the Soft X-Ray Transient Aquila X-1

    NASA Astrophysics Data System (ADS)

    Harmon, B. A.; Zhang, S. N.; Paciesas, W. S.; Tavani, M.; Kaaret, P.; Ford, E.

    1994-12-01

    We are investigating the possibility of hard x-ray emission from the recurrent soft x-ray transient and x-ray burst source Aquila X-1 (Aql X-1). Outbursts of this source are relatively frequent with a spacing of ~ 4-10 months (Kitamoto, S. et al. 1993, ApJ, 403, 315). The recent detections of hard tails (\\(>\\)20 keV) in low luminosity x-ray bursters (Barret, D. & Vedrenne, G. 1994, ApJ Supp. S. 92, 505) suggest that neutron star transient systems such as Aql X-1 can produce hard x-ray emission which is detectable by BATSE. We are correlating reported optical and soft x-ray observations since 1991 of Aql X-1 with BATSE observations in order to search for hard x-ray emission episodes, and to study their temporal and spectral evolution. We will present preliminary results of this search in the 20-1000 keV band using the Earth occultation technique applied to the large area detectors. If this work is successful, we hope to alert the astronomical community for the next Aql X-1 outburst expected in 1995. Simultaneous x-ray/hard x-ray and optical observations of Aql X-1 during outburst would be of great importance for the modeling of soft x-ray transients and related systems.

  11. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  12. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  13. X-ray beamsplitter

    DOEpatents

    Ceglio, N.M.; Stearns, D.G.; Hawryluk, A.M.; Barbee, T.W. Jr.

    1987-08-07

    An x-ray beamsplitter which splits an x-ray beam into two coherent parts by reflecting and transmitting some fraction of an incident beam has applications for x-ray interferometry, x-ray holography, x-ray beam manipulation, and x-ray laser cavity output couplers. The beamsplitter is formed of a wavelength selective multilayer thin film supported by a very thin x-ray transparent membrane. The beamsplitter resonantly transmits and reflects x-rays through thin film interference effects. A thin film is formed of 5--50 pairs of alternate Mo/Si layers with a period of 20--250 A. The support membrane is 10--200 nm of silicon nitride or boron nitride. The multilayer/support membrane structure is formed across a window in a substrate by first forming the structure on a solid substrate and then forming a window in the substrate to leave a free-standing structure over the window. 6 figs.

  14. Soft x-ray microscopy and extreme ultraviolet lithography: Imaging in the 20-50 nm regime (abstract) (invited)

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2002-03-01

    Advances in short wavelength optics, covering the range from 1 to 14 nm, are providing new results and new opportunities. Zone plate lenses [E. Anderson et al., J. Vac. Sci. Techno. B 18, 2970 (2000)] for soft x-ray microscopy [G. Denbeaux, Rev. Sci. Instrum. (these proceedings); W. Chao, Proc. SPIE 4146, 171 (2000)] are now made to high accuracy with outer zone widths of 25 nm, and demonstrated resolution of 23 nm with proper illumination and stability. These permit important advances in the study of protein specific transport and structure in the life sciences [C. Larabell (private communication); W. Meyer-Ilse et al., J. Microsc. 201, 395 (2001)] and the study of magnetic materials [P. Fischer et al., J. Synchrotron. Radiat. 8, 325 (2001)] with elemental sensitivity at the resolution of individual domains. Major corporations (members of the EUV Limited Liability Company are Intel, Motorola, AMD, Micron, Infineon, and IBM) are now preparing the path for the fabrication of future computer chips, in the years 2007 and beyond, using multilayer coated reflective optics, which achieve reflectivities of 70% in the 11-14 nm region [T. Barbee et al., Appl. Opt. 24, 883 (1985); C. Montcalm et al., Proc. SPIE 3676, 710 (1999)]. These coated optics are to be incorporated in extreme ultraviolet (EUV) print cameras, known as "steppers." Electronic patterns with features in the range of 50-70 nm have been printed. The first alpha tool stepper recently demonstrated all critical technologies [D. Tichenor et al., Proc. SPIE 4343, 19 (2001)] needed for EUV lithography. Preproduction beta tools are targeted for delivery by leading suppliers [ASML, the Netherlands, at the SPIE Microlithography Conference, Santa Clara, CA, March 2001] in 2004, with high volume production tools available in late 2006 for manufacturing in 2007. New results in these two areas will be discussed in the context of the synergy of science and technology.

  15. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  16. Progress in the Development of Mo-Au Transition-Edge Sensors for X-Ray Spectroscopy

    NASA Technical Reports Server (NTRS)

    Stahle, Caroline K.; Brekosky, Regis P.; Figueroa-Feliciano, Enectali; Finkbeiner, Fred M.; Gygax, John D.; Li, Mary J.; Lindeman, Mark A..; Porter, F. Scott; Tralshawalaa, Nilesh

    2000-01-01

    X-ray microcalorimeters using transition-edge sensors (TES) show great promise for use in astronomical x-ray spectroscopy. We have obtained very high energy resolution (2.8 electronvolts at 1.5 kiloelectronvolts and 3.7 electronvolts at 3.3 kiloelectronvolts) in a large, isolated TES pixel using a Mo/Au proximity-effect bilayer on a silicon nitride membrane. We will discuss the performance and our characterization of that device. In order to be truly suitable for use behind an x-ray telescope, however, such devices need to be arrayed with a pixel size and focal-plane coverage commensurate with the telescope focal length and spatial resolution. Since this requires fitting the TES and its thermal link, a critical component of each calorimeter pixel, into a far more compact geometry than has previously been investigated, we must study the fundamental scaling laws in pixel optimization. We have designed a photolithography mask that will allow us to probe the range in thermal conductance that can be obtained by perforating the nitride membrane in a narrow perimeter around the sensor. This mask will also show the effects of reducing the TES area. Though we have not yet tested devices of the compact designs, we will present our progress in several of the key processing steps and discuss the parameter space of our intended investigations.

  17. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  18. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  19. X-ray Fluorescence Spectroscopy: the Potential of Astrophysics-developed Techniques

    NASA Astrophysics Data System (ADS)

    Elvis, M.; Allen, B.; Hong, J.; Grindlay, J.; Kraft, R.; Binzel, R. P.; Masterton, R.

    2012-12-01

    are coded-aperture imagers, which have flown on ART-P, Integral, and Swift. The shadow pattern from a 50% full mask allows the distribution of X-rays from a wide (10s of degrees) field of view to be imaged, but uniform emission presents difficulties. A version of a coded-aperture plus CCD detector for airless bodies study is being built for OSIRIS-REx as the student experiment REXIS. We will show the quality of the spectra that can be expected from this class of instrument.

  20. X-Ray Polarization from High Mass X-Ray Binaries

    NASA Technical Reports Server (NTRS)

    Kallman, T.; Dorodnitsyn, A.; Blondin, J.

    2015-01-01

    X-ray astronomy allows study of objects which may be associated with compact objects, i.e. neutron stars or black holes, and also may contain strong magnetic fields. Such objects are categorically non-spherical, and likely non-circular when projected on the sky. Polarization allows study of such geometric effects, and X-ray polarimetry is likely to become feasible for a significant number of sources in the future. A class of potential targets for future X-ray polarization observations is the high mass X-ray binaries (HMXBs), which consist of a compact object in orbit with an early type star. In this paper we show that X-ray polarization from HMXBs has a distinct signature which depends on the source inclination and orbital phase. The presence of the X-ray source displaced from the star creates linear polarization even if the primary wind is spherically symmetric whenever the system is viewed away from conjunction. Direct X-rays dilute this polarization whenever the X-ray source is not eclipsed; at mid-eclipse the net polarization is expected to be small or zero if the wind is circularly symmetric around the line of centers. Resonance line scattering increases the scattering fraction, often by large factors, over the energy band spanned by resonance lines. Real winds are not expected to be spherically symmetric, or circularly symmetric around the line of centers, owing to the combined effects of the compact object gravity and ionization on the wind hydrodynamics. A sample calculation shows that this creates polarization fractions ranging up to tens of percent at mid-eclipse.

  1. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  2. Defect reduction of high-density full-field patterns in jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Lovejeet; Luo, Kang; Ye, Zhengmao; Xu, Frank; Haase, Gaddi; Curran, David; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2011-04-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. This work summarizes the results of defect inspections focusing on two key defect types; random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. Non-fill defectivity must always be considered within the context of process throughput. The key limiting throughput step in an imprint process is resist filling time. As a result, it is critical to characterize the filling process by measuring non-fill defectivity as a function of fill time. Repeater defects typically have two main sources; mask defects and particle related defects. Previous studies have indicated that soft particles tend to cause non-repeating defects. Hard particles, on the other hand, can cause either resist plugging or mask damage. In this work, an Imprio 500 twenty wafer per hour (wph) development tool was used to study both defect types. By carefully controlling the volume of inkjetted resist, optimizing the drop pattern and controlling the resist fluid front during spreading, fill times of 1.5 seconds were achieved with non-fill defect levels of approximately 1.2/cm2. Longevity runs were used to study repeater defects and a nickel

  3. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  4. Be/X-ray Binary Science for Future X-ray Timing Missions

    NASA Technical Reports Server (NTRS)

    Wilson-Hodge, Colleen A.

    2011-01-01

    For future missions, the Be/X-ray binary community needs to clearly define our science priorities for the future to advocate for their inclusion in future missions. In this talk, I will describe current designs for two potential future missions and Be X-ray binary science enabled by these designs. The Large Observatory For X-ray Timing (LOFT) is an X-ray timing mission selected in February 2011 for the assessment phase from the 2010 ESA M3 call for proposals. The Advanced X-ray Timing ARray (AXTAR) is a NASA explorer concept X-ray timing mission. This talk is intended to initiate discussions of our science priorities for the future.

  5. Abdomen X-Ray (Radiography)

    MedlinePlus

    ... News Physician Resources Professions Site Index A-Z X-ray (Radiography) - Abdomen Abdominal x-ray uses a ... of an abdominal x-ray? What is abdominal x-ray? An x-ray (radiograph) is a noninvasive ...

  6. A search for X-ray polarization in cosmic X-ray sources. [binary X-ray sources and supernovae remnants

    NASA Technical Reports Server (NTRS)

    Hughes, J. P.; Long, K. S.; Novick, R.

    1983-01-01

    Fifteen strong X-ray sources were observed by the X-ray polarimeters on board the OSO-8 satellite from 1975 to 1978. The final results of this search for X-ray polarization in cosmic sources are presented in the form of upper limits for the ten sources which are discussed elsewhere. These limits in all cases are consistent with a thermal origin for the X-ray emission.

  7. X-Ray Emission from the Soft X-Ray Transient Aquila X-1

    NASA Technical Reports Server (NTRS)

    Tavani, Marco

    1998-01-01

    Aquila X-1 is the most prolific of soft X-ray transients. It is believed to contain a rapidly spinning neutron star sporadically accreting near the Eddington limit from a low-mass companion star. The interest in studying the repeated X-ray outbursts from Aquila X-1 is twofold: (1) studying the relation between optical, soft and hard X-ray emission during the outburst onset, development and decay; (2) relating the spectral component to thermal and non-thermal processes occurring near the magnetosphere and in the boundary layer of a time-variable accretion disk. Our investigation is based on the BATSE monitoring of Aquila X-1 performed by our group. We observed Aquila X-1 in 1997 and re-analyzed archival information obtained in April 1994 during a period of extraordinary outbursting activity of the source in the hard X-ray range. Our results allow, for the first time for this important source, to obtain simultaneous spectral information from 2 keV to 200 keV. A black body (T = 0.8 keV) plus a broken power-law spectrum describe accurately the 1994 spectrum. Substantial hard X-ray emission is evident in the data, confirming that the accretion phase during sub-Eddington limit episodes is capable of producing energetic hard emission near 5 x 10(exp 35) ergs(exp -1). A preliminary paper summarizes our results, and a more comprehensive account is being written. We performed a theoretical analysis of possible emission mechanisms, and confirmed that a non-thermal emission mechanism triggered in a highly sheared magnetosphere at the accretion disk inner boundary can explain the hard X-ray emission. An anticorrelation between soft and hard X-ray emission is indeed prominently observed as predicted by this model.

  8. An alternative method of fabricating sub-micron resolution masks using excimer laser ablation

    NASA Astrophysics Data System (ADS)

    Hayden, C. J.; Eijkel, J. C. T.; Dalton, C.

    2004-06-01

    In the work presented here, an excimer laser micromachining system has been used successfully to fabricate high-resolution projection and contact masks. The contact masks were subsequently used to produce chrome-gold circular ac electro-osmotic pump (cACEOP) microelectrode arrays on glass substrates, using a conventional contact photolithography process. The contact masks were produced rapidly (~15 min each) and were found to be accurate to sub-micron resolution, demonstrating an alternative route for mask fabrication. Laser machined masks were also used in a laser-projection system, demonstrating that such fabrication techniques are also suited to projection lithography. The work addresses a need for quick reproduction of high-resolution contact masks, given their rapid degradation when compared to non-contact masks.

  9. "X-Ray Transients in Star-Forming Regions" and "Hard X-Ray Emission from X-Ray Bursters"

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Kaaret, Philip

    1999-01-01

    This grant funded work on the analysis of data obtained with the Burst and Transient Experiment (BATSE) on the Compton Gamma-Ray Observatory. The goal of the work was to search for hard x-ray transients in star forming regions using the all-sky hard x-ray monitoring capability of BATSE. Our initial work lead to the discovery of a hard x-ray transient, GRO J1849-03. Follow-up observations of this source made with the Wide Field Camera on BeppoSAX showed that the source should be identified with the previously known x-ray pulsar GS 1843-02 which itself is identified with the x-ray source X1845-024 originally discovered with the SAS-3 satellite. Our identification of the source and measurement of the outburst recurrence time, lead to the identification of the source as a Be/X-ray binary with a spin period of 94.8 s and an orbital period of 241 days. The funding was used primarily for partial salary and travel support for John Tomsick, then a graduate student at Columbia University. John Tomsick, now Dr. Tomsick, received his Ph.D. from Columbia University in July 1999, based partially on results obtained under this investigation. He is now a postdoctoral research scientist at the University of California, San Diego.

  10. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    NASA Astrophysics Data System (ADS)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  11. Lumbosacral spine x-ray

    MedlinePlus

    X-ray - lumbosacral spine; X-ray - lower spine ... The test is done in a hospital x-ray department or your health care provider's office by an x-ray technician. You will be asked to lie on the x-ray ...

  12. X-ray ptychography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Franz

    2018-01-01

    X-ray ptychographic microscopy combines the advantages of raster scanning X-ray microscopy with the more recently developed techniques of coherent diffraction imaging. It is limited neither by the fabricational challenges associated with X-ray optics nor by the requirements of isolated specimen preparation, and offers in principle wavelength-limited resolution, as well as stable access and solution to the phase problem. In this Review, we discuss the basic principles of X-ray ptychography and summarize the main milestones in the evolution of X-ray ptychographic microscopy and tomography over the past ten years, since its first demonstration with X-rays. We also highlight the potential for applications in the life and materials sciences, and discuss the latest advanced concepts and probable future developments.

  13. High aspect ratio nano-fabrication of photonic crystal structures on glass wafers using chrome as hard mask.

    PubMed

    Hossain, Md Nazmul; Justice, John; Lovera, Pierre; McCarthy, Brendan; O'Riordan, Alan; Corbett, Brian

    2014-09-05

    Wafer-scale nano-fabrication of silicon nitride (Si x N y ) photonic crystal (PhC) structures on glass (quartz) substrates is demonstrated using a thin (30 nm) chromium (Cr) layer as the hard mask for transferring the electron beam lithography (EBL) defined resist patterns. The use of the thin Cr layer not only solves the charging effect during the EBL on the insulating substrate, but also facilitates high aspect ratio PhCs by acting as a hard mask while deep etching into the Si x N y . A very high aspect ratio of 10:1 on a 60 nm wide grating structure has been achieved while preserving the quality of the flat top of the narrow lines. The presented nano-fabrication method provides PhC structures necessary for a high quality optical response. Finally, we fabricated a refractive index based PhC sensor which shows a sensitivity of 185 nm per RIU.

  14. EUV phase-shifting masks and aberration monitors

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the use of phase-shifting masks in EUV lithography. The effects of oblique incident illumination and mask patterning by ion-mixing of multilayers are analyzed. Oblique incident illumination causes streamers at absorber edges and causes position shifting in aerial images. The diffraction waves between ion-mixed and pristine multilayers are observed. The phase-shifting caused by stepped substrates is simulated and images show that it succeeds in creation of phase-shifting effects. The diffraction process at the phase boundary is also analyzed. As an example of EUV phase-shifting masks, a coma pattern and probe based aberration monitor is simulated and aerial images are formed under different levels of coma aberration. The probe signal rises quickly as coma increases as designed.

  15. The impact of 14nm photomask variability and uncertainty on computational lithography solutions

    NASA Astrophysics Data System (ADS)

    Sturtevant, John; Tejnil, Edita; Buck, Peter D.; Schulze, Steffen; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-09-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. Many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine via simulation, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and communication between mask and OPC model experts. The simulations are done by ignoring the wafer photoresist model, and show the sensitivity of predictions to various model inputs associated with the mask. It is shown that the wafer simulations are very dependent upon the 1D/2D representation of the mask and for 3D, that the mask sidewall angle is a very sensitive factor influencing simulated wafer CD results.

  16. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  17. Designing to win in sub-90nm mask production

    NASA Astrophysics Data System (ADS)

    Zhang, Yuan

    2005-11-01

    An informal survey conducted with key customers by Photronics indicates that the time gap between technology nodes has accelerated in recent years. Previously the cycle was three years. However, between 130nm and 90nm there was less than a 2 year gap, and between 90nm and 65nm a 1.5 year gap exists. As a result, the technical challenges have increased substantially. In addition, mask costs are rising exponentially due to high capital equipment cost, a shrinking customer base, long write times and increased applications of 193nm EAPSM or AAPSM. Collaboration among EDA companies, mask houses and wafer manufacturers is now more important than ever. This paper will explore avenues for reducing mask costs, mainly in the areas of: write-time reduction through design for manufacturing (DFM), and yield improvement through specification relaxation. Our study conducted through layout vertex modeling suggests that a simple design shape such as a square versus a circle or an angled structure helps reduce shot count and write time. Shot count reduction through mask layout optimization, and advancement in new generation E-beam writers can reduce write time up to 65%. An advanced laser writer can produce those less critical E-beam layers in less than half the time of an e-beam writer. Additionally, the emerging imprint lithography brings new life and new challenges to the photomask industry with applications in many fields outside of the semiconductor industry. As immersion lithography is introduced for 45nm device production, polarization and MEEF effects due to the mask will become severe. Larger magnification not only provides benefits on CD control and MEEF, but also extends the life time of current 90nm/65nm tool sets where 45nm mask sets can be produced at a lower cost.

  18. UNDERSTANDING X-RAY STARS:. The Discovery of Binary X-ray Sources

    NASA Astrophysics Data System (ADS)

    Schreier, E. J.; Tananbaum, H.

    2000-09-01

    The discovery of binary X-ray sources with UHURU introduced many new concepts to astronomy. It provided the canonical model which explained X-ray emission from a large class of galactic X-ray sources: it confirmed the existence of collapsed objects as the source of intense X-ray emission; showed that such collapsed objects existed in binary systems, with mass accretion as the energy source for the X-ray emission; and provided compelling evidence for the existence of black holes. This model also provided the basis for explaining the power source of AGNs and QSOs. The process of discovery and interpretation also established X-ray astronomy as an essential sub-discipline of astronomy, beginning its incorporation into the mainstream of astronomy.

  19. Thoracic spine x-ray

    MedlinePlus

    Vertebral radiography; X-ray - spine; Thoracic x-ray; Spine x-ray; Thoracic spine films; Back films ... The test is done in a hospital radiology department or in the health care provider's office. You will lie on the x-ray table in different positions. If the x-ray ...

  20. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  1. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  2. UBAT of UFFO/ Lomonosov: The X-Ray Space Telescope to Observe Early Photons from Gamma-Ray Bursts

    NASA Astrophysics Data System (ADS)

    Jeong, S.; Panasyuk, M. I.; Reglero, V.; Connell, P.; Kim, M. B.; Lee, J.; Rodrigo, J. M.; Ripa, J.; Eyles, C.; Lim, H.; Gaikov, G.; Jeong, H.; Leonov, V.; Chen, P.; Castro-Tirado, A. J.; Nam, J. W.; Svertilov, S.; Yashin, I.; Garipov, G.; Huang, M.-H. A.; Huang, J.-J.; Kim, J. E.; Liu, T.-C.; Petrov, V.; Bogomolov, V.; Budtz-Jørgensen, C.; Brandt, S.; Park, I. H.

    2018-02-01

    The Ultra-Fast Flash Observatory (UFFO) Burst Alert and Trigger Telescope (UBAT) has been designed and built for the localization of transient X-ray sources such as Gamma Ray Bursts (GRBs). As one of main instruments in the UFFO payload onboard the Lomonosov satellite (hereafter UFFO/ Lomonosov), the UBAT's roles are to monitor the X-ray sky, to rapidly locate and track transient sources, and to trigger the slewing of a UV/optical telescope, namely Slewing Mirror Telescope (SMT). The SMT, a pioneering application of rapid slewing mirror technology has a line of sight parallel to the UBAT, allowing us to measure the early UV/optical GRB counterpart and study the extremely early moments of GRB evolution. To detect X-rays, the UBAT utilizes a 191.1 cm2 scintillation detector composed of Yttrium Oxyorthosilicate (YSO) crystals, Multi-Anode Photomultiplier Tubes (MAPMTs), and associated electronics. To estimate a direction vector of a GRB source in its field of view, it employs the well-known coded aperture mask technique. All functions are written for implementation on a field programmable gate array to enable fast triggering and to run the device's imaging algorithms. The UFFO/ Lomonosov satellite was launched on April 28, 2016, and is now collecting GRB observation data. In this study, we describe the UBAT's design, fabrication, integration, and performance as a GRB X-ray trigger and localization telescope, both on the ground and in space.

  3. Geometrical E-beam proximity correction for raster scan systems

    NASA Astrophysics Data System (ADS)

    Belic, Nikola; Eisenmann, Hans; Hartmann, Hans; Waas, Thomas

    1999-04-01

    High pattern fidelity is a basic requirement for the generation of masks containing sub micro structures and for direct writing. Increasing needs mainly emerging from OPC at mask level and x-ray lithography require a correction of the e-beam proximity effect. The most part of e-beam writers are raster scan system. This paper describes a new method for geometrical pattern correction in order to provide a correction solution for e-beam system that are not able to apply variable doses.

  4. X-ray binaries

    NASA Technical Reports Server (NTRS)

    1976-01-01

    Satellite X-ray experiments and ground-based programs aimed at observation of X-ray binaries are discussed. Experiments aboard OAO-3, OSO-8, Ariel 5, Uhuru, and Skylab are included along with rocket and ground-based observations. Major topics covered are: Her X-1, Cyg X-3, Cen X-3, Cyg X-1, the transient source A0620-00, other possible X-ray binaries, and plans and prospects for future observational programs.

  5. Micro-optics: enabling technology for illumination shaping in optical lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard

    2014-03-01

    Optical lithography has been the engine that has empowered semiconductor industry to continually reduce the half-pitch for over 50 years. In early mask aligners a simple movie lamp was enough to illuminate the photomask. Illumination started to play a more decisive role when proximity mask aligners appeared in the mid-1970s. Off-axis illumination was introduced to reduce diffraction effects. For early projection lithography systems (wafer steppers), the only challenge was to collect the light efficiently to ensure short exposure time. When projection optics reached highest level of perfection, further improvement was achieved by optimizing illumination. Shaping the illumination light, also referred as pupil shaping, allows the optical path from reticle to wafer to be optimized and thus has a major impact on aberrations and diffraction effects. Highly-efficient micro-optical components are perfectly suited for this task. Micro-optics for illumination evolved from simple flat-top (fly's-eye) to annular, dipole, quadrupole, multipole and freeform illumination. Today, programmable micro-mirror arrays allow illumination to be changed on the fly. The impact of refractive, diffractive and reflective microoptics for photolithography will be discussed.

  6. Skull x-ray

    MedlinePlus

    X-ray - head; X-ray - skull; Skull radiography; Head x-ray ... Chernecky CC, Berger BJ. Radiography of skull, chest, and cervical spine - diagnostic. In: Chernecky CC, Berger BJ, eds. Laboratory Tests and Diagnostic Procedures . 6th ed. ...

  7. Full-field transmission x-ray imaging with confocal polycapillary x-ray optics

    PubMed Central

    Sun, Tianxi; MacDonald, C. A.

    2013-01-01

    A transmission x-ray imaging setup based on a confocal combination of a polycapillary focusing x-ray optic followed by a polycapillary collimating x-ray optic was designed and demonstrated to have good resolution, better than the unmagnified pixel size and unlimited by the x-ray tube spot size. This imaging setup has potential application in x-ray imaging for small samples, for example, for histology specimens. PMID:23460760

  8. Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

    NASA Astrophysics Data System (ADS)

    Kojima, Yosuke; Shirasaki, Masanori; Chiba, Kazuaki; Tanaka, Tsuyoshi; Inazuki, Yukio; Yoshikawa, Hiroki; Okazaki, Satoshi; Iwase, Kazuya; Ishikawa, Kiichi; Ozawa, Ken

    2007-05-01

    For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

  9. X-ray generator

    DOEpatents

    Dawson, John M.

    1976-01-01

    Apparatus and method for producing coherent secondary x-rays that are controlled as to direction by illuminating a mixture of high z and low z gases with an intense burst of primary x-rays. The primary x-rays are produced with a laser activated plasma, and these x-rays strip off the electrons of the high z atoms in the lasing medium, while the low z atoms retain their electrons. The neutral atoms transfer electrons to highly excited states of the highly striped high z ions giving an inverted population which produces the desired coherent x-rays. In one embodiment, a laser, light beam provides a laser spark that produces the intense burst of coherent x-rays that illuminates the mixture of high z and low z gases, whereby the high z atoms are stripped while the low z ones are not, giving the desired mixture of highly ionized and neutral atoms. To this end, the laser spark is produced by injecting a laser light beam, or a plurality of beams, into a first gas in a cylindrical container having an adjacent second gas layer co-axial therewith, the laser producing a plasma and the intense primary x-rays in the first gas, and the second gas containing the high and low atomic number elements for receiving the primary x-rays, whereupon the secondary x-rays are produced therein by stripping desired ions in a neutral gas and transfer of electrons to highly excited states of the stripped ions from the unionized atoms. Means for magnetically confining and stabilizing the plasma are disclosed for controlling the direction of the x-rays.

  10. Sinus x-ray

    MedlinePlus

    Paranasal sinus radiography; X-ray - sinuses ... sinus x-ray is taken in a hospital radiology department. Or the x-ray may be taken ... Brown J, Rout J. ENT, neck, and dental radiology. In: Adam A, Dixon AK, Gillard JH, Schaefer- ...

  11. X-Ray Data Booklet

    Science.gov Websites

    X-RAY DATA BOOKLET Center for X-ray Optics and Advanced Light Source Lawrence Berkeley National Laboratory Introduction X-Ray Properties of Elements Electron Binding Energies X-Ray Energy Emission Energies Table of X-Ray Properties Synchrotron Radiation Characteristics of Synchrotron Radiation History of X

  12. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  13. Recent X-ray Variability of Eta Car Approaching The X-ray Eclipse

    NASA Technical Reports Server (NTRS)

    Corcoran, M.; Swank, J. H.; Ishibashi, K.; Gull, T.; Humphreys, R.; Damineli, A.; Walborn, N.; Hillier, D. J.; Davidson, K.; White, S. M.

    2002-01-01

    We discuss recent X-ray spectral variability of the supermassive star Eta Car in the interval since the last X-ray eclipse in 1998. We concentrate on the interval just prior to the next X-ray eclipse which is expected to occur in June 2003. We compare the X-ray behavior during the 2001-2003 cycle with the previous cycle (1996-1998) and note similarities and differences in the temporal X-ray behavior. We also compare a recent X-ray observation of Eta Car obtained with the Chandra high energy transmission grating in October 2002 with an earlier observation from Nov 2002, and interpret these results in terms of the proposed colliding wind binary model for the star. In addition we discuss planned observations for the upcoming X-ray eclipse.

  14. Large area soft x-ray collimator to facilitate x-ray optics testing

    NASA Technical Reports Server (NTRS)

    Espy, Samuel L.

    1994-01-01

    The first objective of this program is to design a nested conical foil x-ray optic which will collimate x-rays diverging from a point source. The collimator could then be employed in a small, inexpensive x-ray test stand which would be used to test various x-ray optics and detector systems. The second objective is to demonstrate the fabrication of the x-ray reflectors for this optic using lacquer-smoothing and zero-stress electroforming techniques.

  15. Development of x-ray laminography under an x-ray microscopic condition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoshino, Masato; Uesugi, Kentaro; Takeuchi, Akihisa

    2011-07-15

    An x-ray laminography system under an x-ray microscopic condition was developed to obtain a three-dimensional structure of laterally-extended planar objects which were difficult to observe by x-ray tomography. An x-ray laminography technique was introduced to an x-ray transmission microscope with zone plate optics. Three prototype sample holders were evaluated for x-ray imaging laminography. Layered copper grid sheets were imaged as a laminated sample. Diatomite powder on a silicon nitride membrane was measured to confirm the applicability of this method to non-planar micro-specimens placed on the membrane. The three-dimensional information of diatom shells on the membrane was obtained at a spatialmore » resolution of sub-micron. Images of biological cells on the membrane were also obtained by using a Zernike phase contrast technique.« less

  16. Mask industry assessment trend analysis: 2012

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2012-02-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply among the top critical issues for lithography. A survey was designed by SEMATECH with input from semiconductor company mask technologists and merchant mask suppliers to objectively assess the overall conditions of the mask industry. With the continued support of the industry, this year's assessment was the tenth in the current series of annual reports. This year's survey is basically the same as the 2005 through 2011 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that ultimately produce a detailed profile of both the business and technical status of the critical mask industry. We received data from 11 companies this year, which was a record high since the beginning of the series. The responding companies represented more than 96% of the volume shipped and about 90% of the 2011 revenue for the photomask industry. These survey reports are often used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. They will continue to serve as a valuable reference to identify strengths and opportunities. Results can also be used to guide future investments in critical path issues.

  17. Laser plasma x-ray source for ultrafast time-resolved x-ray absorption spectroscopy

    DOE PAGES

    Miaja-Avila, L.; O'Neil, G. C.; Uhlig, J.; ...

    2015-03-02

    We describe a laser-driven x-ray plasma source designed for ultrafast x-ray absorption spectroscopy. The source is comprised of a 1 kHz, 20 W, femtosecond pulsed infrared laser and a water target. We present the x-ray spectra as a function of laser energy and pulse duration. Additionally, we investigate the plasma temperature and photon flux as we vary the laser energy. We obtain a 75 μm FWHM x-ray spot size, containing ~10 6 photons/s, by focusing the produced x-rays with a polycapillary optic. Since the acquisition of x-ray absorption spectra requires the averaging of measurements from >10 7 laser pulses, wemore » also present data on the source stability, including single pulse measurements of the x-ray yield and the x-ray spectral shape. In single pulse measurements, the x-ray flux has a measured standard deviation of 8%, where the laser pointing is the main cause of variability. Further, we show that the variability in x-ray spectral shape from single pulses is low, thus justifying the combining of x-rays obtained from different laser pulses into a single spectrum. Finally, we show a static x-ray absorption spectrum of a ferrioxalate solution as detected by a microcalorimeter array. Altogether, our results demonstrate that this water-jet based plasma source is a suitable candidate for laboratory-based time-resolved x-ray absorption spectroscopy experiments.« less

  18. Panoramic Dental X-Ray

    MedlinePlus

    ... Physician Resources Professions Site Index A-Z Panoramic Dental X-ray Panoramic dental x-ray uses a very small dose of ... x-ray , is a two-dimensional (2-D) dental x-ray examination that captures the entire mouth ...

  19. 3D Microfabrication Using Emulsion Mask Grayscale Photolithography Technique

    NASA Astrophysics Data System (ADS)

    Lee, Tze Pin; Mohamed, Khairudin

    2016-02-01

    Recently, the rapid development of technology such as biochips, microfluidic, micro-optical devices and micro-electromechanical-systems (MEMS) demands the capability to create complex design of three-dimensional (3D) microstructures. In order to create 3D microstructures, the traditional photolithography process often requires multiple photomasks to form 3D pattern from several stacked photoresist layers. This fabrication method is extremely time consuming, low throughput, costly and complicated to conduct for high volume manufacturing scale. On the other hand, next generation lithography such as electron beam lithography (EBL), focused ion beam lithography (FIB) and extreme ultraviolet lithography (EUV) are however too costly and the machines require expertise to setup. Therefore, the purpose of this study is to develop a simplified method in producing 3D microstructures using single grayscale emulsion mask technique. By using this grayscale fabrication method, microstructures of thickness as high as 500μm and as low as 20μm are obtained in a single photolithography exposure. Finally, the fabrication of 3D microfluidic channel has been demonstrated by using this grayscale photolithographic technique.

  20. Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Makoto; Sakaguchi, Takahiro; Hashimoto, Keisuke; Sakamoto, Rikimaru; Kishioka, Takahiro; Takei, Satoshi; Enomoto, Tomoyuki; Nakajima, Yasuyuki

    2006-03-01

    Integrated circuit manufacturers are consistently seeking to minimize device feature dimensions in order to reduce chip size and increase integration level. Feature sizes on chips are achieved sub 65nm with the advanced 193nm microlithography process. R&D activities of 45nm process have been started so far, and 193nm lithography is used for this technology. The key parameters for this lithography process are NA of exposure tool, resolution capability of resist, and reflectivity control with bottom anti-reflective coating (BARC). In the point of etching process, single-layer resist process can't be applied because resist thickness is too thin for getting suitable aspect ratio. Therefore, it is necessary to design novel BARC system and develop hard mask materials having high etching selectivity. This system and these materials can be used for 45nm generation lithography. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have been designed and developed the advanced BARCs for the above propose. In order to satisfy our target, we have developed novel BARC and hard mask materials. We investigated the multi-layer resist process stacked 4 layers (resist / thin BARC / silicon-contained BARC (Si-ARC) / spin on carbon hard mask (SOC)) (4 layers process). 4 layers process showed the excellent lithographic performance and pattern transfer performance. In this paper, we will discuss the detail of our approach and materials for 4 layers process.

  1. Spun-wrapped aligned nanofiber (SWAN) lithography for fabrication of micro/nano-structures on 3D objects

    NASA Astrophysics Data System (ADS)

    Ye, Zhou; Nain, Amrinder S.; Behkam, Bahareh

    2016-06-01

    Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for fabrication of multiscale (nano to microscale) structures on 3D objects without restriction on substrate material and geometry. SWAN lithography combines precise deposition of polymeric nanofiber masks, in aligned single or multilayer configurations, with well-controlled solvent vapor treatment and etching processes to enable high throughput (>10-7 m2 s-1) and large-area fabrication of sub-50 nm to several micron features with high pattern fidelity. Using this technique, we demonstrate whole-surface nanopatterning of bulk and thin film surfaces of cubes, cylinders, and hyperbola-shaped objects that would be difficult, if not impossible to achieve with existing methods. We demonstrate that the fabricated feature size (b) scales with the fiber mask diameter (D) as b1.5 ~ D. This scaling law is in excellent agreement with theoretical predictions using the Johnson, Kendall, and Roberts (JKR) contact theory, thus providing a rational design framework for fabrication of systems and devices that require precisely designed multiscale features.Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for

  2. EUVL Mask Blank Repair

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barty, A; Mirkarimi, P; Stearns, D G

    2002-05-22

    EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect they present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variationsmore » in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that this technology will be extended to the repair of absorber defects in EUVL masks. However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper they present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.« less

  3. X-ray Spectral Formation In High-mass X-ray Binaries: The Case Of Vela X-1

    NASA Astrophysics Data System (ADS)

    Akiyama, Shizuka; Mauche, C. W.; Liedahl, D. A.; Plewa, T.

    2007-05-01

    We are working to develop improved models of radiatively-driven mass flows in the presence of an X-ray source -- such as in X-ray binaries, cataclysmic variables, and active galactic nuclei -- in order to infer the physical properties that determine the X-ray spectra of such systems. The models integrate a three-dimensional time-dependent hydrodynamics capability (FLASH); a comprehensive and uniform set of atomic data, improved calculations of the line force multiplier that account for X-ray photoionization and non-LTE population kinetics, and X-ray emission-line models appropriate to X-ray photoionized plasmas (HULLAC); and a Monte Carlo radiation transport code that simulates Compton scattering and recombination cascades following photoionization. As a test bed, we have simulated a high-mass X-ray binary with parameters appropriate to Vela X-1. While the orbital and stellar parameters of this system are well constrained, the physics of X-ray spectral formation is less well understood because the canonical analytical wind velocity profile of OB stars does not account for the dynamical and radiative feedback effects due to the rotation of the system and to the irradiation of the stellar wind by X-rays from the neutron star. We discuss the dynamical wind structure of Vela X-1 as determined by the FLASH simulation, where in the binary the X-ray emission features originate, and how the spatial and spectral properties of the X-ray emission features are modified by Compton scattering, photoabsorption, and fluorescent emission. This work was performed under the auspices of the U.S. Department of Energy by University of California, Lawrence Livermore National Laboratory under Contract W-7405-Eng-48.

  4. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  5. X-ray beam finder

    DOEpatents

    Gilbert, H.W.

    1983-06-16

    An X-ray beam finder for locating a focal spot of an X-ray tube includes a mass of X-ray opaque material having first and second axially-aligned, parallel-opposed faces connected by a plurality of substantially identical parallel holes perpendicular to the faces and a film holder for holding X-ray sensitive film tightly against one face while the other face is placed in contact with the window of an X-ray head.

  6. X-ray and gamma ray astronomy detectors

    NASA Technical Reports Server (NTRS)

    Decher, Rudolf; Ramsey, Brian D.; Austin, Robert

    1994-01-01

    X-ray and gamma ray astronomy was made possible by the advent of space flight. Discovery and early observations of celestial x-rays and gamma rays, dating back almost 40 years, were first done with high altitude rockets, followed by Earth-orbiting satellites> once it became possible to carry detectors above the Earth's atmosphere, a new view of the universe in the high-energy part of the electromagnetic spectrum evolved. Many of the detector concepts used for x-ray and gamma ray astronomy were derived from radiation measuring instruments used in atomic physics, nuclear physics, and other fields. However, these instruments, when used in x-ray and gamma ray astronomy, have to meet unique and demanding requirements related to their operation in space and the need to detect and measure extremely weak radiation fluxes from celestial x-ray and gamma ray sources. Their design for x-ray and gamma ray astronomy has, therefore, become a rather specialized and rapidly advancing field in which improved sensitivity, higher energy and spatial resolution, wider spectral coverage, and enhanced imaging capabilities are all sought. This text is intended as an introduction to x-ray and gamma ray astronomy instruments. It provides an overview of detector design and technology and is aimed at scientists, engineers, and technical personnel and managers associated with this field. The discussion is limited to basic principles and design concepts and provides examples of applications in past, present, and future space flight missions.

  7. Observational Aspects of Hard X-ray Polarimetry

    NASA Astrophysics Data System (ADS)

    Chattopadhyay, Tanmoy

    2016-04-01

    retrieving polarization information from few of such spectroscopic instruments like RHESSI, INTEGRAL-IBIS, INTEGRAL-SPI. Cadmium Zinc Telluride Imager (CZTI) onboard Astrosat, India's first astronomical mission, is one of such instruments which is expected to provide sensitive polarization measurements for bright X-ray sources. CZTI consists of 64 CZT detector modules, each of which is 5 mm thick and 4 cm × 4 cm in size. Each CZT module is subdivided into 256 pixels with pixel pitch of 2.5 mm. Due to its pixelation nature and significant Compton scattering efficiency at energies beyond 100 keV, CZTI can work as a sensitive Compton polarimeter in hard X-rays. Detailed Geant-4 simulations and polarization experiments with the flight configuration of CZTI show that CZTI will have significant polarization measurement capability for bright sources in hard X-rays. CZTI is primarily a spectroscopic instrument with coded mask imaging. To properly utilize the spectroscopic capabilities of CZT detectors, it is important to generate accurate response matrix for CZTI, which in turn requires precise modelling of the CZT lines shapes for monoenergetic X-ray interaction. CZT detectors show an extended lower energy tail of an otherwise Gaussian line shape due to low mobility and lifetime of the charge carriers. On the other hand, interpixel charge sharing may also contribute to the lower energy tail making the line shape more complicated. We have developed a model to predict the line shapes from CZTI modules taking into account the mobility and lifetime of the charge carriers and charge sharing fractions. The model predicts the line shape quite well and can be used to generate pixel-wise response matrix for CZTI.

  8. X-ray imaging crystal spectrometer for extended X-ray sources

    DOEpatents

    Bitter, Manfred L.; Fraenkel, Ben; Gorman, James L.; Hill, Kenneth W.; Roquemore, A. Lane; Stodiek, Wolfgang; von Goeler, Schweickhard E.

    2001-01-01

    Spherically or toroidally curved, double focusing crystals are used in a spectrometer for X-ray diagnostics of an extended X-ray source such as a hot plasma produced in a tokomak fusion experiment to provide spatially and temporally resolved data on plasma parameters using the imaging properties for Bragg angles near 45. For a Bragg angle of 45.degree., the spherical crystal focuses a bundle of near parallel X-rays (the cross section of which is determined by the cross section of the crystal) from the plasma to a point on a detector, with parallel rays inclined to the main plain of diffraction focused to different points on the detector. Thus, it is possible to radially image the plasma X-ray emission in different wavelengths simultaneously with a single crystal.

  9. Production of EUV mask blanks with low killer defects

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Kearney, Patrick; Godwin, Milton; He, Long; John Kadaksham, Arun; Goodwin, Frank; Weaver, Al; Hayes, Alan; Trigg, Steve

    2014-04-01

    For full commercialization, extreme ultraviolet lithography (EUVL) technology requires the availability of EUV mask blanks that are free of defects. This remains one of the main impediments to the implementation of EUV at the 22 nm node and beyond. Consensus is building that a few small defects can be mitigated during mask patterning, but defects over 100 nm (SiO2 equivalent) in size are considered potential "killer" defects or defects large enough that the mask blank would not be usable. The current defect performance of the ion beam sputter deposition (IBD) tool will be discussed and the progress achieved to date in the reduction of large size defects will be summarized, including a description of the main sources of defects and their composition.

  10. E-beam generated holographic masks for optical vector-matrix multiplication

    NASA Technical Reports Server (NTRS)

    Arnold, S. M.; Case, S. K.

    1981-01-01

    An optical vector matrix multiplication scheme that encodes the matrix elements as a holographic mask consisting of linear diffraction gratings is proposed. The binary, chrome on glass masks are fabricated by e-beam lithography. This approach results in a fairly simple optical system that promises both large numerical range and high accuracy. A partitioned computer generated hologram mask was fabricated and tested. This hologram was diagonally separated outputs, compact facets and symmetry about the axis. The resultant diffraction pattern at the output plane is shown. Since the grating fringes are written at 45 deg relative to the facet boundaries, the many on-axis sidelobes from each output are seen to be diagonally separated from the adjacent output signals.

  11. Bone cartilage imaging with x-ray interferometry using a practical x-ray tube

    NASA Astrophysics Data System (ADS)

    Kido, Kazuhiro; Makifuchi, Chiho; Kiyohara, Junko; Itou, Tsukasa; Honda, Chika; Momose, Atsushi

    2010-04-01

    The purpose of this study was to design an X-ray Talbot-Lau interferometer for the imaging of bone cartilage using a practical X-ray tube and to develop that imaging system for clinical use. Wave-optics simulation was performed to design the interferometer with a practical X-ray tube, a source grating, two X-ray gratings, and an X-ray detector. An imaging system was created based on the results of the simulation. The specifications were as follows: the focal spot size was 0.3 mm of an X-ray tube with a tungsten anode (Toshiba, Tokyo, Japan). The tube voltage was set at 40 kVp with an additive aluminum filter, and the mean energy was 31 keV. The pixel size of the X-ray detector, a Condor 486 (Fairchild Imaging, California, USA), was 15 μm. The second grating was a Ronchi-type grating whose pitch was 5.3 μm. Imaging performance of the system was examined with X-ray doses of 0.5, 3 and 9 mGy so that the bone cartilage of a chicken wing was clearly depicted with X-ray doses of 3 and 9 mGy. This was consistent with the simulation's predictions. The results suggest that X-ray Talbot-Lau interferometry would be a promising tool in detecting soft tissues in the human body such as bone cartilage for the X-ray image diagnosis of rheumatoid arthritis. Further optimization of the system will follow to reduce the X-ray dose for clinical use.

  12. Bandpass x-ray diode and x-ray multiplier detector

    DOEpatents

    Wang, C.L.

    1982-09-27

    An absorption-edge of an x-ray absorption filter and a quantum jump of a photocathode determine the bandpass characteristics of an x-ray diode detector. An anode, which collects the photoelectrons emitted by the photocathode, has enhanced amplification provided by photoelectron-multiplying means which include dynodes or a microchannel-plate electron-multiplier. Suppression of undesired high frequency response for a bandpass x-ray diode is provided by subtracting a signal representative of energies above the passband from a signal representative of the overall response of the bandpass diode.

  13. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  14. X-ray astronomical spectroscopy

    NASA Technical Reports Server (NTRS)

    Holt, Stephen S.

    1987-01-01

    The contributions of the Goddard group to the history of X-ray astronomy are numerous and varied. One role that the group has continued to play involves the pursuit of techniques for the measurement and interpretation of the X-ray spectra of cosmic sources. The latest development is the selection of the X-ray microcalorimeter for the Advanced X-ray Astrophysics Facility (AXAF) study payload. This technology is likely to revolutionize the study of cosmic X-ray spectra.

  15. Porosity characteristics of ultra-low dielectric insulator films directly patterned by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Ro, Hyun Wook; Jones, Ronald L.; Peng, Huagen; Lee, Hae-Jeong; Lin, Eric K.; Karim, Alamgir; Yoon, Do Y.; Gidley, David W.; Soles, Christopher L.

    2008-03-01

    Direct patterning of low-dielectric constant (low-k) materials via nanoimprint lithography (NIL) has the potential to simplify fabrication processes and significantly reduce the manufacturing costs for semiconductor devices. We report direct imprinting of sub-100 nm features into a high modulus methylsilsesquioxane-based organosilicate glass (OSG) material. An excellent fidelity of the pattern transfer process is quantified with nm precision using critical dimension small angle X-ray scattering (CD-SAXS) and specular X-ray reflectivity (SXR). X-ray porosimetry (XRP) and positron annihilation lifetime spectroscopy (PALS) measurements indicate that imprinting increases the inherent microporosity of the methylsilsequioxane-based OSG material. When a porogen (pore generating material) is added, imprinting decreases the population of mesopores associated with the porogen while retaining the enhanced microporosity. The net effect is a decrease the pore interconnectivity. There is also evidence for a sealing effect that is interpreted as an imprint induced dense skin at the surface of the porous pattern.

  16. X-Ray

    MedlinePlus

    ... of gray. For some types of X-ray tests, a contrast medium — such as iodine or barium — is introduced into your body to provide greater detail on the images. Why it's done X-ray technology is used to examine many parts of the ...

  17. Writing time estimation of EB mask writer EBM-9000 for hp16nm/logic11nm node generation

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Takekoshi, Hidekazu; Ogasawara, Munehiro; Yamada, Hirokazu; Hattori, Kiyoshi

    2014-10-01

    The scaling of semiconductor devices is slowing down because of the difficulty in establishing their functionality at the nano-size level and also because of the limitations in fabrications, mainly the delay of EUV lithography. While multigate devices (FinFET) are currently the main driver for scalability, other types of devices, such as 3D devices, are being realized to relax the scaling of the node. In lithography, double or multiple patterning using ArF immersion scanners is still a realistic solution offered for the hp16nm node fabrication. Other lithography candidates are those called NGL (Next Generation Lithography), such as DSA (Directed-Self-Assembling) or nanoimprint. In such situations, shot count for mask making by electron beam writers will not increase. Except for some layers, it is not increasing as previously predicted. On the other hand, there is another aspect that increases writing time. The exposure dose for mask writing is getting higher to meet tighter specifications of CD uniformity, in other words, reduce LER. To satisfy these requirements, a new electron beam mask writer, EBM-9000, has been developed for hp16nm/logic11nm generation. Electron optical system, which has the immersion lens system, was evolved from EBM-8000 to achieve higher current density of 800A/cm2. In this paper, recent shot count and dose trend are discussed. Also, writing time is estimated for the requirements in EBM-9000.

  18. X-ray luminescence computed tomography using a focused x-ray beam.

    PubMed

    Zhang, Wei; Lun, Michael C; Nguyen, Alex Anh-Tu; Li, Changqing

    2017-11-01

    Due to the low x-ray photon utilization efficiency and low measurement sensitivity of the electron multiplying charge coupled device camera setup, the collimator-based narrow beam x-ray luminescence computed tomography (XLCT) usually requires a long measurement time. We, for the first time, report a focused x-ray beam-based XLCT imaging system with measurements by a single optical fiber bundle and a photomultiplier tube (PMT). An x-ray tube with a polycapillary lens was used to generate a focused x-ray beam whose x-ray photon density is 1200 times larger than a collimated x-ray beam. An optical fiber bundle was employed to collect and deliver the emitted photons on the phantom surface to the PMT. The total measurement time was reduced to 12.5 min. For numerical simulations of both single and six fiber bundle cases, we were able to reconstruct six targets successfully. For the phantom experiment, two targets with an edge-to-edge distance of 0.4 mm and a center-to-center distance of 0.8 mm were successfully reconstructed by the measurement setup with a single fiber bundle and a PMT. (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE).

  19. [Development of X-ray Reflection Grating Technology for the Constellation-X Mission

    NASA Technical Reports Server (NTRS)

    Schattenburg, Mark L.

    2005-01-01

    This Grant supports MIT technology development of x-ray reflection gratings for the Constellation-X Reflection Grating Spectrometer (RGS). Since the start of the Grant MIT has extended its previously-developed patterning and super-smooth, blazed grating fabrication technology to ten-times smaller grating periods and ten-times larger blaze angles to demonstrate feasibility and performance in the off-plane grating geometry. In the past year we have focused our efforts on extending our Nanoruler grating fabrication tool to enable it to perform variable-period scanning-beam interference lithography (VP-SBIL). This new capability required extensive optical and mechanical improvements to the system. The design phase of this work is largely completed and key components are now on order and assembly has begun. Over the next several months the new VP-SBIL Nanoruler system will be completed and testing begun. We have also demonstrated a new technique for patterning gratings using the Nanoruler called Doppler mode, which will be important for patterning the radial groove gratings for the RGS using the new VP-SBIL system. Flat and thin grating substrates will be critical for the RGS. In the last year we demonstrated a new technique for flattening thin substrates using magneto-rheologic fluid polishing (MRF) and achieved 2 arcsecond flatness with a 0.5 mm-thick substrate-a world's record. This meets the Con X requirement for grating substrate flatness.

  20. X-ray Observations of Cosmic Ray Acceleration

    NASA Technical Reports Server (NTRS)

    Petre, Robert

    2012-01-01

    Since the discovery of cosmic rays, detection of their sources has remained elusive. A major breakthrough has come through the identification of synchrotron X-rays from the shocks of supernova remnants through imaging and spectroscopic observations by the most recent generation of X-ray observatories. This radiation is most likely produced by electrons accelerated to relativistic energy, and thus has offered the first, albeit indirect, observational evidence that diffusive shock acceleration in supernova remnants produces cosmic rays to TeV energies, possibly as high as the "knee" in the cosmic ray spectrum. X-ray observations have provided information about the maximum energy to which these shOCks accelerate electrons, as well as indirect evidence of proton acceleration. Shock morphologies measured in X-rays have indicated that a substantial fraction of the shock energy can be diverted into particle acceleration. This presentation will summarize what we have learned about cosmic ray acceleration from X-ray observations of supernova remnants over the past two decades.

  1. Method for spatially modulating X-ray pulses using MEMS-based X-ray optics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lopez, Daniel; Shenoy, Gopal; Wang, Jin

    A method and apparatus are provided for spatially modulating X-rays or X-ray pulses using microelectromechanical systems (MEMS) based X-ray optics. A torsionally-oscillating MEMS micromirror and a method of leveraging the grazing-angle reflection property are provided to modulate X-ray pulses with a high-degree of controllability.

  2. X-ray monitoring optical elements

    DOEpatents

    Stoupin, Stanislav; Shvydko, Yury; Katsoudas, John; Blank, Vladimir D.; Terentyev, Sergey A.

    2016-12-27

    An X-ray article and method for analyzing hard X-rays which have interacted with a test system. The X-ray article is operative to diffract or otherwise process X-rays from an input X-ray beam which have interacted with the test system and at the same time provide an electrical circuit adapted to collect photoelectrons emitted from an X-ray optical element of the X-ray article to analyze features of the test system.

  3. Optical and X-ray studies of Compact X-ray Binaries in NGC 5904

    NASA Astrophysics Data System (ADS)

    Bhalotia, Vanshree; Beck-Winchatz, Bernhard

    2018-06-01

    Due to their high stellar densities, globular cluster systems trigger various dynamical interactions, such as the formation of compact X-ray binaries. Stellar collisional frequencies have been correlated to the number of X-ray sources detected in various clusters and we hope to measure this correlation for NGC 5904. Optical fluxes of sources from archival HST images of NGC 5904 have been measured using a DOLPHOT PSF photometry in the UV, optical and near-infrared. We developed a data analysis pipeline to process the fluxes of tens of thousands of objects using awk, python and DOLPHOT. We plot color magnitude diagrams in different photometric bands in order to identify outliers that could be X-ray binaries, since they do not evolve the same way as singular stars. Aligning previously measured astrometric data for X-ray sources in NGC 5904 from Chandra with archival astrometric data from HST will filter out the outlier objects that are not X-ray producing, and provide a sample of compact binary systems that are responsible for X-ray emission in NGC 5904. Furthermore, previously measured X-ray fluxes of NGC 5904 from Chandra have also been used to measure the X-ray to optical flux ratio and identify the types of compact X-ray binaries responsible for the X-ray emissions in NGC 5904. We gratefully acknowledge the support from the Illinois Space Grant Consortium.

  4. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  5. CD-measurement technique for hole patterns on stencil mask

    NASA Astrophysics Data System (ADS)

    Ishikawa, Mikio; Yusa, Satoshi; Takikawa, Tadahiko; Fujita, Hiroshi; Sano, Hisatake; Hoga, Morihisa; Hayashi, Naoya

    2004-12-01

    EB lithography has a potential to successfully form hole patterns as small as 80 nm with a stencil mask. In a previous paper we proposed a technique using a HOLON dual-mode critical dimension (CD) SEM ESPA-75S in the transmission mode for CD measurement of line-and-space patterns on a stencil mask. In this paper we extend our effort of developing a CD measurement technique to contact hole features and determine it in comparison of measured values between features on mask and those printed on wafer. We have evaluated the width method and the area methods using designed 80-500 nm wide contact hole patterns on a large area membrane mask and their resist images on wafer printed by a LEEPL3000. We find that 1) the width method and the area methods show an excellent mask-wafer correlation for holes over 110 nm, and 2) the area methods show a better mask-wafer correlation than the width method does for holes below 110 nm. We conclude that the area calculated from the transmission SEM image is more suitable in defining the hole dimensions than the width for contact holes on a stencil mask.

  6. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  7. Background simulations of the wide-field coded-mask camera for X-/Gamma-ray of the French-Chinese mission SVOM

    NASA Astrophysics Data System (ADS)

    Godet, Olivier; Barret, Didier; Paul, Jacques; Sizun, Patrick; Mandrou, Pierre; Cordier, Bertrand

    SVOM (Space Variable Object Monitor) is a French-Chinese mission dedicated to the study of high-redshift GRBs, which is expected to be launched in 2012. The anti-Sun pointing strategy of SVOM along with a strong and integrated ground segment consisting of two wide-field robotic telescopes covering the near-IR and optical will optimise the ground-based GRB follow-ups by the largest telescopes and thus the measurements of spectroscopic redshifts. The central instrument of the science payload will be an innovative wide-field coded-mask camera for X- /Gamma-rays (4-250 keV) responsible for triggering and localising GRBs with an accuracy better than 10 arc-minutes. Such an instrument will be background-dominated so it is essential to estimate the background level expected once in orbit during the early phase of the instrument design in order to ensure good science performance. We present our Monte-Carlo simulator enabling us to compute the background spectrum taking into account the mass model of the camera and the main components of the space environment encountered in orbit by the satellite. From that computation, we show that the current design of the camera CXG will be more sensitive to high-redshift GRBs than the Swift-BAT thanks to its low-energy threshold of 4 keV.

  8. Mask fabrication process

    DOEpatents

    Cardinale, Gregory F.

    2000-01-01

    A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.

  9. The Cambridge-Cambridge X-ray Serendipity Survey: I X-ray luminous galaxies

    NASA Technical Reports Server (NTRS)

    Boyle, B. J.; Mcmahon, R. G.; Wilkes, B. J.; Elvis, M.

    1994-01-01

    We report on the first results obtained from a new optical identification program of 123 faint X-ray sources with S(0.5-2 keV) greater than 2 x 10(exp -14) erg/s/sq cm serendipitously detected in ROSAT PSPC pointed observations. We have spectroscopically identified the optical counterparts to more than 100 sources in this survey. Although the majority of the sample (68 objects) are QSO's, we have also identified 12 narrow emission line galaxies which have extreme X-ray luminosities (10(exp 42) less than L(sub X) less than 10(exp 43.5) erg/s). Subsequent spectroscopy reveals them to be a mixture of star-burst galaxies and Seyfert 2 galaxies in approximately equal numbers. Combined with potentially similar objects identified in the Einstein Extended Medium Sensitivity Survey, these X-ray luminous galaxies exhibit a rate of cosmological evolution, L(sub X) varies as (1 + z)(exp 2.5 +/- 1.0), consistent with that derived for X-ray QSO's. This evolution, coupled with the steep slope determined for the faint end of the X-ray luminosity function (Phi(L(sub X)) varies as L(sub X)(exp -1.9)), implies that such objects could comprise 15-35% of the soft (1-2 keV) X-ray background.

  10. Compact X-ray sources: X-rays from self-reflection

    NASA Astrophysics Data System (ADS)

    Mangles, Stuart P. D.

    2012-05-01

    Laser-based particle acceleration offers a way to reduce the size of hard-X-ray sources. Scientists have now developed a simple scheme that produces a bright flash of hard X-rays by using a single laser pulse both to generate and to scatter an electron beam.

  11. Understanding the X-ray spectrum of anomalous X-ray pulsars and soft gamma-ray repeaters

    NASA Astrophysics Data System (ADS)

    Guo, Yan-Jun; Dai, Shi; Li, Zhao-Sheng; Liu, Yuan; Tong, Hao; Xu, Ren-Xin

    2015-04-01

    Hard X-rays above 10 keV are detected from several anomalous X-ray pulsars (AXPs) and soft gamma-ray repeaters (SGRs), and different models have been proposed to explain the physical origin within the frame of either a magnetar model or a fallback disk system. Using data from Suzaku and INTEGRAL, we study the soft and hard X-ray spectra of four AXPs/SGRs: 1RXS J170849-400910, 1E 1547.0-5408, SGR 1806-20 and SGR 0501+4516. It is found that the spectra could be well reproduced by the bulk-motion Comptonization (BMC) process as was first suggested by Trümper et al., showing that the accretion scenario could be compatible with X-ray emission from AXPs/SGRs. Simulated results from the Hard X-ray Modulation Telescope using the BMC model show that the spectra would have discrepancies from the power-law, especially the cutoff at ˜200 keV. Thus future observations will allow researchers to distinguish different models of the hard X-ray emission and will help us understand the nature of AXPs/SGRs. Supported by the National Natural Science Foundation of China.

  12. Development of EXITE2: a large-area imaging phoswich detector/telescope for hard x-ray astronomy

    NASA Astrophysics Data System (ADS)

    Manandhar, Raj P.; Lum, Kenneth S.; Eikenberry, Stephen S.; Krockenberger, Martin; Grindlay, Jonathan E.

    1993-11-01

    We review design considerations and present preliminary details of the performance of a new imaging system for hard X-ray astronomy in the 20 - 600 keV energy range. The detector is a 40 cm X 40 cm NaI(Tl)/CsI(Na) phoswich module, read out by a 7 X 7 array of square PMTs. The detector comprises the main part of the next generation Energetic X-ray Imaging Telescope Experiment (EXITE2), which had its first flight on 13 June 1993 from Palestine, Texas. Imaging is accomplished via the coded-aperture mask technique. The mask consists of 16 mm square lead/tin/copper pixels arranged in a cyclically repeated 13 X 11 uniformly redundant array pattern at a focal length of 2.5 m, giving 22 arcmin resolution. The field of view, determined by the lead/brass collimator (16 mm pitch) is 4.65 degrees FWHM. We anticipate a 3 sigma sensitivity of 1 X 10(superscript -5) photons cm(superscript -2) s(superscript -1) keV(superscript -1) at 100 keV in a 10(superscript 4) sec balloon observation. The electronics incorporate two on-board computers, providing a future capability to record the full data stream and telemeter compressed data. The design of the current detector and electronics allows an upgrade to EXITE3, which adds a proportional counter front-end to achieve lower background and better spatial and spectral resolution below approximately 100 keV.

  13. X-ray crystallography

    NASA Technical Reports Server (NTRS)

    2001-01-01

    X-rays diffracted from a well-ordered protein crystal create sharp patterns of scattered light on film. A computer can use these patterns to generate a model of a protein molecule. To analyze the selected crystal, an X-ray crystallographer shines X-rays through the crystal. Unlike a single dental X-ray, which produces a shadow image of a tooth, these X-rays have to be taken many times from different angles to produce a pattern from the scattered light, a map of the intensity of the X-rays after they diffract through the crystal. The X-rays bounce off the electron clouds that form the outer structure of each atom. A flawed crystal will yield a blurry pattern; a well-ordered protein crystal yields a series of sharp diffraction patterns. From these patterns, researchers build an electron density map. With powerful computers and a lot of calculations, scientists can use the electron density patterns to determine the structure of the protein and make a computer-generated model of the structure. The models let researchers improve their understanding of how the protein functions. They also allow scientists to look for receptor sites and active areas that control a protein's function and role in the progress of diseases. From there, pharmaceutical researchers can design molecules that fit the active site, much like a key and lock, so that the protein is locked without affecting the rest of the body. This is called structure-based drug design.

  14. The Advanced X-Ray Astrophysics Facility. Observing the Universe in X-Rays

    NASA Technical Reports Server (NTRS)

    Neal, V.

    1984-01-01

    An overview of the Advanced X ray Astronophysics Facility (AXAF) program is presented. Beginning with a brief introduction to X ray astrophysics, the AXAF observatory is described including the onboard instrumentation and system capabilities. Possible X ray sources suitable for AXAF observation are identified and defined.

  15. CA resist with high sensitivity and sub-100-nm resolution for advanced mask and device making

    NASA Astrophysics Data System (ADS)

    Kwong, Ranee W.; Huang, Wu-Song; Hartley, John G.; Moreau, Wayne M.; Robinson, Christopher F.; Angelopoulos, Marie; Magg, Christopher; Lawliss, Mark

    2000-07-01

    Recently, there is significant interest in using CA resists for electron beam (E-Beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non CA E-beam resists in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resists have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resist system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263 N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV (75 kV) shaped beam system EL4+ and the KRS-XE resist, we have printed 75 nm lines/space features with excellent profile control at a dose of 13 (mu) C/cm2 at 75 kV. The shaped beam vector scan system used here provides an unique property in resolving small features in lithography and throughput. Overhead in EL4+ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system, currently in the build phase, has sufficiently low overhead that it is projected to print a 4X, 16G, DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+. In addition we will show the resolution of KRS-XE down to 70 nm using the PREVAIL projection printing system.

  16. The Mapping X-ray Fluorescence Spectrometer (MapX)

    NASA Astrophysics Data System (ADS)

    Sarrazin, P.; Blake, D. F.; Marchis, F.; Bristow, T.; Thompson, K.

    2017-12-01

    Many planetary surface processes leave traces of their actions as features in the size range 10s to 100s of microns. The Mapping X-ray Fluorescence Spectrometer (MapX) will provide elemental imaging at 100 micron spatial resolution, yielding elemental chemistry at a scale where many relict physical, chemical, or biological features can be imaged and interpreted in ancient rocks on planetary bodies and planetesimals. MapX is an arm-based instrument positioned on a rock or regolith with touch sensors. During an analysis, an X-ray source (tube or radioisotope) bombards the sample with X-rays or alpha-particles / gamma-rays, resulting in sample X-ray Fluorescence (XRF). X-rays emitted in the direction of an X-ray sensitive CCD imager pass through a 1:1 focusing lens (X-ray micro-pore Optic (MPO)) that projects a spatially resolved image of the X-rays onto the CCD. The CCD is operated in single photon counting mode so that the energies and positions of individual X-ray photons are recorded. In a single analysis, several thousand frames are both stored and processed in real-time. Higher level data products include single-element maps with a lateral spatial resolution of 100 microns and quantitative XRF spectra from ground- or instrument- selected Regions of Interest (ROI). XRF spectra from ROI are compared with known rock and mineral compositions to extrapolate the data to rock types and putative mineralogies. When applied to airless bodies and implemented with an appropriate radioisotope source for alpha-particle excitation, MapX will be able to analyze biogenic elements C, N, O, P, S, in addition to the cations of the rock-forming elements >Na, accessible with either X-ray or gamma-ray excitation. The MapX concept has been demonstrated with a series of lab-based prototypes and is currently under refinement and TRL maturation.

  17. Cosmic x ray physics

    NASA Technical Reports Server (NTRS)

    Mccammon, Dan; Cox, D. P.; Kraushaar, W. L.; Sanders, W. T.

    1990-01-01

    The annual progress report on Cosmic X Ray Physics is presented. Topics studied include: the soft x ray background, proportional counter and filter calibrations, the new sounding rocket payload: X Ray Calorimeter, and theoretical studies.

  18. Focusing X-Ray Telescopes

    NASA Technical Reports Server (NTRS)

    O'Dell, Stephen; Brissenden, Roger; Davis, William; Elsner, Ronald; Elvis, Martin; Freeman, Mark; Gaetz, Terrance; Gorenstein, Paul; Gubarev, Mikhall; Jerlus, Diab; hide

    2010-01-01

    During the half-century history of x-ray astronomy, focusing x-ray telescopes, through increased effective area and finer angular resolution, have improved sensitivity by 8 orders of magnitude. Here, we review previous and current x-ray-telescope missions. Next, we describe the planned next-generation x-ray-astronomy facility, the International X-ray Observatory (IXO). We conclude with an overview of a concept for the next next-generation facility, Generation X. Its scientific objectives will require very large areas (about 10,000 sq m) of highly-nested, lightweight grazing-incidence mirrors, with exceptional (about 0.1-arcsec) resolution. Achieving this angular resolution with lightweight mirrors will likely require on-orbit adjustment of alignment and figure.

  19. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  20. High efficiency replicated x-ray optics and fabrication method

    DOEpatents

    Barbee, Jr., Troy W.; Lane, Stephen M.; Hoffman, Donald E.

    2001-01-01

    Replicated x-ray optics are fabricated by sputter deposition of reflecting layers on a super-polished reusable mandrel. The reflecting layers are strengthened by a supporting multilayer that results in stronger stress-relieved reflecting surfaces that do not deform during separation from the mandrel. The supporting multilayer enhances the ability to part the replica from the mandrel without degradation in surface roughness. The reflecting surfaces are comparable in smoothness to the mandrel surface. An outer layer is electrodeposited on the supporting multilayer. A parting layer may be deposited directly on the mandrel before the reflecting surface to facilitate removal of the layered, tubular optic device from the mandrel without deformation. The inner reflecting surface of the shell can be a single layer grazing reflection mirror or a resonant multilayer mirror. The resulting optics can be used in a wide variety of applications, including lithography, microscopy, radiography, tomography, and crystallography.

  1. Cosmic x ray physics

    NASA Technical Reports Server (NTRS)

    Mccammon, Dan; Cox, D. P.; Kraushaar, W. L.; Sanders, W. T.

    1991-01-01

    The annual progress report on Cosmic X Ray Physics for the period 1 Jan. to 31 Dec. 1990 is presented. Topics studied include: soft x ray background, new sounding rocket payload: x ray calorimeter, and theoretical studies.

  2. Scatterometry on pelliclized masks: an option for wafer fabs

    NASA Astrophysics Data System (ADS)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  3. Modeling of thermomechanical changes of extreme-ultraviolet mask and their dependence on absorber variation

    NASA Astrophysics Data System (ADS)

    Ban, Chung-Hyun; Park, Eun-Sang; Park, Jae-Hun; Oh, Hye-Keun

    2018-06-01

    Thermal and structural deformation of extreme-ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness requirements. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the masks rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. The use of very thick low-thermal-expansion substrate materials (LTEMs) may reduce energy absorption, but they do not completely eliminate mask deformation. Therefore, it is necessary to predict and optimize the effects of energy transferred from the extreme-ultraviolet (EUV) light source and the resultant patterns of structured EUV masks with complex multilayers. Our study shows that heat accumulates in the masks as exposure progresses. It has been found that a higher absorber ratio (pattern density) applied to the patterning of EUV masks exacerbates the problem, especially in masks with more complex patterns.

  4. Fast mask writers: technology options and considerations

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  5. X-ray lasers

    NASA Astrophysics Data System (ADS)

    Elton, Raymond C.

    Theoretical and practical aspects of X-ray lasers are discussed in an introduction emphasizing recent advances. Chapters are devoted to the unique optical properties of the X-ray spectral region, the principles of short-wavelength lasers, pumping by exciting plasma ions, pumping by electron capture into excited ionic states, pumping by ionization of atoms and ions, and alternative approaches. The potential scientific, technical, biological, and medical applications of X-ray lasers are briefly characterized.

  6. The superslow pulsation X-ray pulsars in high mass X-ray binaries

    NASA Astrophysics Data System (ADS)

    Wang, Wei

    2013-03-01

    There exists a special class of X-ray pulsars that exhibit very slow pulsation of P spin > 1000 s in the high mass X-ray binaries (HMXBs). We have studied the temporal and spectral properties of these superslow pulsation neutron star binaries in hard X-ray bands with INTEGRAL observations. Long-term monitoring observations find spin period evolution of two sources: spin-down trend for 4U 2206+54 (P spin ~ 5560 s with Ṗ spin ~ 4.9 × 10-7 s s-1) and long-term spin-up trend for 2S 0114+65 (P spin ~ 9600 s with Ṗ spin ~ -1 × 10-6 s s-1) in the last 20 years. A Be X-ray transient, SXP 1062 (P spin ~ 1062 s), also showed a fast spin-down rate of Ṗ spin ~ 3 × 10-6 s s-1 during an outburst. These superslow pulsation neutron stars cannot be produced in the standard X-ray binary evolution model unless the neutron star has a much stronger surface magnetic field (B > 1014 G). The physical origin of the superslow spin period is still unclear. The possible origin and evolution channels of the superslow pulsation X-ray pulsars are discussed. Superslow pulsation X-ray pulsars could be younger X-ray binary systems, still in the fast evolution phase preceding the final equilibrium state. Alternatively, they could be a new class of neutron star system - accreting magnetars.

  7. Miniature x-ray source

    DOEpatents

    Trebes, James E.; Stone, Gary F.; Bell, Perry M.; Robinson, Ronald B.; Chornenky, Victor I.

    2002-01-01

    A miniature x-ray source capable of producing broad spectrum x-ray emission over a wide range of x-ray energies. The miniature x-ray source comprises a compact vacuum tube assembly containing a cathode, an anode, a high voltage feedthru for delivering high voltage to the anode, a getter for maintaining high vacuum, a connection for an initial vacuum pump down and crimp-off, and a high voltage connection for attaching a compact high voltage cable to the high voltage feedthru. At least a portion of the vacuum tube wall is highly x-ray transparent and made, for example, from boron nitride. The compact size and potential for remote operation allows the x-ray source, for example, to be placed adjacent to a material sample undergoing analysis or in proximity to the region to be treated for medical applications.

  8. Synthesis, Properties, and Applications Of Boron Nitride

    NASA Technical Reports Server (NTRS)

    Pouch, John J.; Alterovitz, Samuel A.

    1993-01-01

    Report describes synthesis, properties, and applications of boron nitride. Especially in thin-film form. Boron nitride films useful as masks in x-ray lithography; as layers for passivation of high-speed microelectronic circuits; insulating films; hard, wear-resistant, protective films for optical components; lubricants; and radiation detectors. Present status of single-crystal growth of boron nitride indicates promising candidate for use in high-temperature semiconductor electronics.

  9. Wide-area phase-contrast X-ray imaging using large X-ray interferometers

    NASA Astrophysics Data System (ADS)

    Momose, Atsushi; Takeda, Tohoru; Yoneyama, Akio; Koyama, Ichiro; Itai, Yuji

    2001-07-01

    Large X-ray interferometers are developed for phase-contrast X-ray imaging aiming at medical applications. A monolithic X-ray interferometer and a separate one are studied, and currently a 25 mm×20 mm view area can be generated. This paper describes the strategy of our research program and some recent developments.

  10. Method and apparatus for inspecting reflection masks for defects

    DOEpatents

    Bokor, Jeffrey; Lin, Yun

    2003-04-29

    An at-wavelength system for extreme ultraviolet lithography mask blank defect detection is provided. When a focused beam of wavelength 13 nm is incident on a defective region of a mask blank, three possible phenomena can occur. The defect will induce an intensity reduction in the specularly reflected beam, scatter incoming photons into an off-specular direction, and change the amplitude and phase of the electric field at the surface which can be monitored through the change in the photoemission current. The magnitude of these changes will depend on the incident beam size, and the nature, extent and size of the defect. Inspection of the mask blank is performed by scanning the mask blank with 13 nm light focused to a spot a few .mu.m in diameter, while measuring the reflected beam intensity (bright field detection), the scattered beam intensity (dark-field detection) and/or the change in the photoemission current.

  11. The Nuclear Spectroscopic Telescope Array (NuSTAR) High-Energy X-ray Mission

    NASA Technical Reports Server (NTRS)

    Harrison, Fiona A.; Craig, Willliam W.; Christensen, Finn E.; Hailey, Charles J.; Zhang, William W.; Boggs, Steven E.; Stern, Daniel; Cook, W. Rick; Forster, Karl; Giommi, Paolo; hide

    2013-01-01

    High-energy X-ray telescope in orbit. NuSTAR operates in the band from 3 to 79 keV, extending the sensitivity of focusing far beyond the 10 keV high-energy cutoff achieved by all previous X-ray satellites. The inherently low background associated with concentrating the X-ray light enables NuSTAR to probe the hard X-ray sky with a more than 100-fold improvement in sensitivity over the collimated or coded mask instruments that have operated in this bandpass. Using its unprecedented combination of sensitivity and spatial and spectral resolution, NuSTAR will pursue five primary scientific objectives: (1) probe obscured active galactic nucleus (AGN) activity out to thepeak epoch of galaxy assembly in the universe (at z 2) by surveying selected regions of the sky; (2) study the population of hard X-ray-emitting compact objects in the Galaxy by mapping the central regions of the Milky Way; (3) study the non-thermal radiation in young supernova remnants, both the hard X-ray continuum and the emission from the radioactive element 44Ti; (4) observe blazars contemporaneously with ground-based radio, optical, and TeV telescopes, as well as with Fermi and Swift, to constrain the structure of AGN jets; and (5) observe line and continuum emission from core-collapse supernovae in the Local Group, and from nearby Type Ia events, to constrain explosion models. During its baseline two-year mission, NuSTAR will also undertake a broad program of targeted observations. The observatory consists of two co-aligned grazing-incidence X-ray telescopes pointed at celestial targets by a three-axis stabilized spacecraft. Deployed into a 600 km, near-circular, 6 inclination orbit, the observatory has now completed commissioning, and is performing consistent with pre-launch expectations. NuSTAR is now executing its primary science mission, and with an expected orbit lifetime of 10 yr, we anticipate proposing a guest investigator program, to begin in late 2014.

  12. X-Pinch And Its Applications In X-ray Radiograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zou Xiaobing; Wang Xinxin; Liu Rui

    2009-07-07

    An X-pinch device and the related diagnostics of x-ray emission from X-pinch were briefly described. The time-resolved x-ray measurements with photoconducting diodes show that the x-ray pulse usually consists of two subnanosecond peaks with a time interval of about 0.5 ns. Being consistent with these two peaks of the x-ray pulse, two point x-ray sources of size ranging from 100 mum to 5 mum and depending on cut-off x-ray photon energy were usually observed on the pinhole pictures. The x-pinch was used as x-ray source for backlighting of the electrical explosion of single wire and the evolution of X-pinch, andmore » for phase-contrast imaging of soft biological objects such as a small shrimp and a mosquito.« less

  13. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  14. Evolution of X-ray astronomy

    NASA Technical Reports Server (NTRS)

    Rossj, B.

    1981-01-01

    The evolution of X-ray astronomy up to the launching of the Einstein observatory is presented. The evaluation proceeded through the following major steps: (1) discovery of an extrasolar X-ray source, Sco X-1, orders of magnitude stronger than astronomers believed might exist; (2) identification of a strong X-ray source with the Crab Nebula; (3) identification of Sco X-1 with a faint, peculiar optical object; (4) demonstration that X-ray stars are binary systems, each consisting of a collapsed object accreting matter from an ordinary star; (5) discovery of X-ray bursts; (6) discovery of exceedingly strong X-ray emission from active galaxies, quasars and clusters of galaxies; (7) demonstration that the principal X-ray source is a hot gas filling the space between galaxies.

  15. Active x-ray optics for Generation-X, the next high resolution x-ray observatory

    NASA Astrophysics Data System (ADS)

    Elvis, Martin; Brissenden, R. J.; Fabbiano, G.; Schwartz, D. A.; Reid, P.; Podgorski, W.; Eisenhower, M.; Juda, M.; Phillips, J.; Cohen, L.; Wolk, S.

    2006-06-01

    X-rays provide one of the few bands through which we can study the epoch of reionization, when the first galaxies, black holes and stars were born. To reach the sensitivity required to image these first discrete objects in the universe needs a major advance in X-ray optics. Generation-X (Gen-X) is currently the only X-ray astronomy mission concept that addresses this goal. Gen-X aims to improve substantially on the Chandra angular resolution and to do so with substantially larger effective area. These two goals can only be met if a mirror technology can be developed that yields high angular resolution at much lower mass/unit area than the Chandra optics, matching that of Constellation-X (Con-X). We describe an approach to this goal based on active X-ray optics that correct the mid-frequency departures from an ideal Wolter optic on-orbit. We concentrate on the problems of sensing figure errors, calculating the corrections required, and applying those corrections. The time needed to make this in-flight calibration is reasonable. A laboratory version of these optics has already been developed by others and is successfully operating at synchrotron light sources. With only a moderate investment in these optics the goals of Gen-X resolution can be realized.

  16. Development of X-ray CCD camera based X-ray micro-CT system

    NASA Astrophysics Data System (ADS)

    Sarkar, Partha S.; Ray, N. K.; Pal, Manoj K.; Baribaddala, Ravi; Agrawal, Ashish; Kashyap, Y.; Sinha, A.; Gadkari, S. C.

    2017-02-01

    Availability of microfocus X-ray sources and high resolution X-ray area detectors has made it possible for high resolution microtomography studies to be performed outside the purview of synchrotron. In this paper, we present the work towards the use of an external shutter on a high resolution microtomography system using X-ray CCD camera as a detector. During micro computed tomography experiments, the X-ray source is continuously ON and owing to the readout mechanism of the CCD detector electronics, the detector registers photons reaching it during the read-out period too. This introduces a shadow like pattern in the image known as smear whose direction is defined by the vertical shift register. To resolve this issue, the developed system has been incorporated with a synchronized shutter just in front of the X-ray source. This is positioned in the X-ray beam path during the image readout period and out of the beam path during the image acquisition period. This technique has resulted in improved data quality and hence the same is reflected in the reconstructed images.

  17. Chandra X-ray Observatory - NASA's flagship X-ray telescope

    Science.gov Websites

    astronomy, taking its place in the fleet of "Great Observatories." Who we are NASA's Chandra X-ray astronomy, distances are measured in units of light years, where one light year is the distance that light gravity? The answer is still out there. By studying clusters of galaxies, X-ray astronomy is tackling this

  18. Diffraction leveraged modulation of X-ray pulses using MEMS-based X-ray optics

    DOEpatents

    Lopez, Daniel; Shenoy, Gopal; Wang, Jin; Walko, Donald A.; Jung, Il-Woong; Mukhopadhyay, Deepkishore

    2016-08-09

    A method and apparatus are provided for implementing Bragg-diffraction leveraged modulation of X-ray pulses using MicroElectroMechanical systems (MEMS) based diffractive optics. An oscillating crystalline MEMS device generates a controllable time-window for diffraction of the incident X-ray radiation. The Bragg-diffraction leveraged modulation of X-ray pulses includes isolating a particular pulse, spatially separating individual pulses, and spreading a single pulse from an X-ray pulse-train.

  19. 13.1 micrometers hard X-ray focusing by a new type monocapillary X-ray optic designed for common laboratory X-ray source

    NASA Astrophysics Data System (ADS)

    Sun, Xuepeng; zhang, Xiaoyun; Zhu, Yu; Wang, Yabing; Shang, Hongzhong; Zhang, Fengshou; Liu, Zhiguo; Sun, Tianxi

    2018-04-01

    A new type of monocapillary X-ray optic, called 'two bounces monocapillary X-ray optics' (TBMXO), is proposed for generating a small focal spot with high power-density gain for micro X-ray analysis, using a common laboratory X-ray source. TBMXO is consists of two parts: an ellipsoidal part and a tapered part. Before experimental testing, the TBMXO was simulated by the ray tracing method in MATLAB. The simulated results predicted that the proposed TBMXO would produce a smaller focal spot with higher power-density gain than the ellipsoidal monocapillary X-ray optic (EMXO). In the experiment, the TBMXO performance was tested by both an optical device and a Cu target X-ray tube with focal spot of 100 μm. The results indicated that the TBMXO had a slope error of 57.6 μrad and a 13.1 μm focal spot and a 1360 gain in power density were obtained.

  20. Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks

    NASA Astrophysics Data System (ADS)

    Lee, Kyung M.; Yedur, Sanjay; Henrichs, Sven; Tavassoli, Malahat; Baik, Kiho

    2007-03-01

    Evaluation of lithography process or stepper involves very large quantity of CD measurements and measurement time. In this paper, we report on a application of Scatterometry based metrology for evaluation of binary photomask lithography. Measurements were made on mask level with ODP scatterometer then on wafer with CD-SEM. 4 to 1 scaling from mask to wafer means 60nm line on wafer translates to 240nm on mask, easily measurable on ODP. Calculation of scatterometer profile information was performed by a in-situ library-based analysis (5sec/site). We characterized the CD uniformity, linearity, and metal film thickness uniformity. Results show that linearity measured from fixed-pitch, varying line/space ratio targets show good correlation to top-down CD-SEM with R2 of more than 0.99. ODP-SEM correlation results for variable pitch shows that careful examination of scatterometer profile results in order to obtain better correlation to CD SEM, since both tools react differently to the target profile variation. ODP results show that global CD distribution is clearly measurable with less outliers compared to CD SEM data. This is thought to be due to 'averaging' effect of scatterometer. The data show that Scatterometry provides a nondestructive and faster mean of characterizing lithography stepper performanceprofiles. APSM 1st level (before Cr removal) 'dual-space' CDs and EPSM rectangular contacts were also measured with and results demonstrates that Scatterometer is capable of measuring these targets with reasonable correlation to SEM.

  1. Dynamic mask for producing uniform or graded-thickness thin films

    DOEpatents

    Folta, James A [Livermore, CA

    2006-06-13

    A method for producing single layer or multilayer films with high thickness uniformity or thickness gradients. The method utilizes a moving mask which blocks some of the flux from a sputter target or evaporation source before it deposits on a substrate. The velocity and position of the mask is computer controlled to precisely tailor the film thickness distribution. The method is applicable to any type of vapor deposition system, but is particularly useful for ion beam sputter deposition and evaporation deposition; and enables a high degree of uniformity for ion beam deposition, even for near-normal incidence of deposition species, which may be critical for producing low-defect multilayer coatings, such as required for masks for extreme ultraviolet lithography (EUVL). The mask can have a variety of shapes, from a simple solid paddle shape to a larger mask with a shaped hole through which the flux passes. The motion of the mask can be linear or rotational, and the mask can be moved to make single or multiple passes in front of the substrate per layer, and can pass completely or partially across the substrate.

  2. X-Ray Exam: Hip

    MedlinePlus

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Hip KidsHealth / For Parents / X-Ray Exam: Hip What's in this article? What ... Have Questions Print What It Is A hip X-ray is a safe and painless test that ...

  3. X-Ray Exam: Forearm

    MedlinePlus

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Forearm KidsHealth / For Parents / X-Ray Exam: Forearm What's in this article? What ... Have Questions Print What It Is A forearm X-ray is a safe and painless test that ...

  4. X-Ray Exam: Ankle

    MedlinePlus

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Ankle KidsHealth / For Parents / X-Ray Exam: Ankle What's in this article? What ... Have Questions Print What It Is An ankle X-ray is a safe and painless test that ...

  5. X-Ray Exam: Foot

    MedlinePlus

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Foot KidsHealth / For Parents / X-Ray Exam: Foot What's in this article? What ... Have Questions Print What It Is A foot X-ray is a safe and painless test that ...

  6. X-Ray Exam: Wrist

    MedlinePlus

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Wrist KidsHealth / For Parents / X-Ray Exam: Wrist What's in this article? What ... Have Questions Print What It Is A wrist X-ray is a safe and painless test that ...

  7. X-Ray Exam: Finger

    MedlinePlus

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Finger KidsHealth / For Parents / X-Ray Exam: Finger What's in this article? What ... Have Questions Print What It Is A finger X-ray is a safe and painless test that ...

  8. X-Ray Exam: Pelvis

    MedlinePlus

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Pelvis KidsHealth / For Parents / X-Ray Exam: Pelvis What's in this article? What ... Have Questions Print What It Is A pelvis X-ray is a safe and painless test that ...

  9. X-ray based extensometry

    NASA Technical Reports Server (NTRS)

    Jordan, E. H.; Pease, D. M.

    1988-01-01

    A totally new method of extensometry using an X-ray beam was proposed. The intent of the method is to provide a non-contacting technique that is immune to problems associated with density variations in gaseous environments that plague optical methods. X-rays are virtually unrefractable even by solids. The new method utilizes X-ray induced X-ray fluorescence or X-ray induced optical fluorescence of targets that have melting temperatures of over 3000 F. Many different variations of the basic approaches are possible. In the year completed, preliminary experiments were completed which strongly suggest that the method is feasible. The X-ray induced optical fluorescence method appears to be limited to temperatures below roughly 1600 F because of the overwhelming thermal optical radiation. The X-ray induced X-ray fluorescence scheme appears feasible up to very high temperatures. In this system there will be an unknown tradeoff between frequency response, cost, and accuracy. The exact tradeoff can only be estimated. It appears that for thermomechanical tests with cycle times on the order of minutes a very reasonable system may be feasible. The intended applications involve very high temperatures in both materials testing and monitoring component testing. Gas turbine engines, rocket engines, and hypersonic vehicles (NASP) all involve measurement needs that could partially be met by the proposed technology.

  10. High throughput nanoimprint lithography for semiconductor memory applications

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  11. Applications of phase-contrast x-ray imaging to medicine using an x-ray interferometer

    NASA Astrophysics Data System (ADS)

    Momose, Atsushi; Yoneyama, Akio; Takeda, Tohoru; Itai, Yuji; Tu, Jinhong; Hirano, Keiichi

    1999-10-01

    We are investigating possible medical applications of phase- contrast X-ray imaging using an X-ray interferometer. This paper introduces the strategy of the research project and the present status. The main subject is to broaden the observation area to enable in vivo observation. For this purpose, large X-ray interferometers were developed, and 2.5 cm X 1.5 cm interference patterns were generated using synchrotron X-rays. An improvement of the spatial resolution is also included in the project, and an X-ray interferometer designed for high-resolution phase-contrast X-ray imaging was fabricated and tested. In parallel with the instrumental developments, various soft tissues are observed by phase- contrast X-ray CT to find correspondence between the generated contrast and our histological knowledge. The observation done so far suggests that cancerous tissues are differentiated from normal tissues and that blood can produce phase contrast. Furthermore, this project includes exploring materials that modulate phase contrast for selective imaging.

  12. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    NASA Astrophysics Data System (ADS)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  13. Fabrication, patterning and luminescence properties of X 2-Y 2SiO 5:A (A=Eu 3+, Tb 3+, Ce 3+) phosphor films via sol-gel soft lithography

    NASA Astrophysics Data System (ADS)

    Han, X. M.; Lin, J.; Fu, J.; Xing, R. B.; Yu, M.; Zhou, Y. H.; Pang, M. L.

    2004-04-01

    X 2-Y 2SiO 5:A (A=Eu 3+, Tb 3+, Ce 3+) phosphor films and their patterning were fabricated by a sol-gel process combined with a soft lithography. X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), atomic force microscopy (AFM), scanning electron microscopy (SEM) optical microscopy and photoluminescence (PL) were used to characterize the resulting films. The results of XRD indicated that the films began to crystallize at 900 °C with X 1-Y 2SiO 5, which transformed completely to X 2-Y 2SiO 5 at 1250 °C. Patterned thin films with different band widths (5 μm spaced by 5 μm and 16 μm spaced by 24 μm) were obtained by a soft lithography technique (micromoulding in capillaries, MIMIC). The SEM and AFM study revealed that the nonpatterned phosphor films were uniform and crack free, and the films mainly consisted of closely packed grains with an average size of 350 nm. The doped rare earth ions (A) showed their characteristic emissions in X 2-Y 2SiO 5 phosphor films, i.e., 5D 0- 7F J ( J=0,1,2,3,4) for Eu 3+, 5D 3, 4- 7F J ( J=6,5,4,3) for Tb 3+ and 5d ( 2D)-4f ( 2F 2/5, 2/7) for Ce 3+, respectively. The optimum doping concentrations for Eu 3+, Tb 3+ were determined to be 13 and 8 mol% of Y 3+ in X 2-Y 2SiO 5 films, respectively.

  14. Frontiers of X-Ray Astronomy

    NASA Astrophysics Data System (ADS)

    Fabian, Andrew C.; Pounds, Kenneth A.; Blandford, Roger D.

    2004-07-01

    Preface; 1. Forty years on from Aerobee 150: a personal perspective K. Pounds; 2. X-ray spectroscopy of astrophysical plasmas S. M. Kahn, E. Behar, A. Kinkhabwala and D. W. Savin; 3. X-rays from stars M. Gudel; 4. X-ray observations of accreting white-dwarf systems M. Cropper, G. Ramsay, C. Hellier, K. Mukai, C. Mauche and D. Pandel; 5. Accretion flows in X-ray binaries C. Done; 6. Recent X-ray observations of supernova remnants C. R. Canizares; 7. Luminous X-ray sources in spiral and star-forming galaxies M. Ward; 8. Cosmological constraints from Chandra observations of galaxy clusters S. W. Allen; 9. Clusters of galaxies: a cosmological probe R. Mushotzky; 10. Obscured active galactic nuclei: the hidden side of the X-ray Universe G. Matt; 11. The Chandra Deep Field-North Survey and the cosmic X-ray background W. N. Brandt, D. M. Alexander, F. E. Bauer and A. E. Hornschemeier; 12. Hunting the first black holes G. Hasinger; 13. X-ray astronomy in the new millennium: a summary R. D. Blandford.

  15. X-ray laser microscope apparatus

    DOEpatents

    Suckewer, Szymon; DiCicco, Darrell S.; Hirschberg, Joseph G.; Meixler, Lewis D.; Sathre, Robert; Skinner, Charles H.

    1990-01-01

    A microscope consisting of an x-ray contact microscope and an optical microscope. The optical, phase contrast, microscope is used to align a target with respect to a source of soft x-rays. The source of soft x-rays preferably comprises an x-ray laser but could comprise a synchrotron or other pulse source of x-rays. Transparent resist material is used to support the target. The optical microscope is located on the opposite side of the transparent resist material from the target and is employed to align the target with respect to the anticipated soft x-ray laser beam. After alignment with the use of the optical microscope, the target is exposed to the soft x-ray laser beam. The x-ray sensitive transparent resist material whose chemical bonds are altered by the x-ray beam passing through the target mater GOVERNMENT LICENSE RIGHTS This invention was made with government support under Contract No. De-FG02-86ER13609 awarded by the Department of Energy. The Government has certain rights in this invention.

  16. Compound refractive X-ray lens

    DOEpatents

    Nygren, David R.; Cahn, Robert; Cederstrom, Bjorn; Danielsson, Mats; Vestlund, Jonas

    2000-01-01

    An apparatus and method for focusing X-rays. In one embodiment, his invention is a commercial-grade compound refractive X-ray lens. The commercial-grade compound refractive X-ray lens includes a volume of low-Z material. The volume of low-Z material has a first surface which is adapted to receive X-rays of commercially-applicable power emitted from a commercial-grade X-ray source. The volume of low-Z material also has a second surface from which emerge the X-rays of commercially-applicable power which were received at the first surface. Additionally, the commercial-grade compound refractive X-ray lens includes a plurality of openings which are disposed between the first surface and the second surface. The plurality of openings are oriented such that the X-rays of commercially-applicable power which are received at the first surface, pass through the volume of low-Z material and through the plurality openings. In so doing, the X-rays which emerge from the second surface are refracted to a focal point.

  17. Design and performance of coded aperture optical elements for the CESR-TA x-ray beam size monitor

    NASA Astrophysics Data System (ADS)

    Alexander, J. P.; Chatterjee, A.; Conolly, C.; Edwards, E.; Ehrlichman, M. P.; Flanagan, J. W.; Fontes, E.; Heltsley, B. K.; Lyndaker, A.; Peterson, D. P.; Rider, N. T.; Rubin, D. L.; Seeley, R.; Shanks, J.

    2014-12-01

    We describe the design and performance of optical elements for an x-ray beam size monitor (xBSM), a device measuring e+ and e- beam sizes in the CESR-TA storage ring. The device can measure vertical beam sizes of 10 - 100 μm on a turn-by-turn, bunch-by-bunch basis at e± beam energies of 2 - 5 GeV. x-rays produced by a hard-bend magnet pass through a single- or multiple-slit (coded aperture) optical element onto a detector. The coded aperture slit pattern and thickness of masking material forming that pattern can both be tuned for optimal resolving power. We describe several such optical elements and show how well predictions of simple models track measured performances.

  18. Cosmic X-ray physics

    NASA Technical Reports Server (NTRS)

    Mccammon, D.; Cox, D. P.; Kraushaar, W. L.; Sanders, W. T.

    1985-01-01

    A progress report of research activities carried out in the area of cosmic X-ray physics is presented. The Diffuse X-ray Spectrometer DXS which has been flown twice as a rocket payload is described. The observation times proved to be too small for meaningful X-ray data to be obtained. Data collection and reduction activities from the Ultra-Soft X-ray background (UXT) instrument are described. UXT consists of three mechanically-collimated X-ray gas proportional counters with window/filter combinations which allow measurements in three energy bands, Be (80-110 eV), B (90-187 eV), and O (e84-532 eV). The Be band measurements provide an important constraint on local absorption of X-rays from the hot component of the local interstellar medium. Work has also continued on the development of a calorimetric detector for high-resolution spectroscopy in the 0.1 keV - 8keV energy range.

  19. Atomic layer deposition frequency-multiplied Fresnel zone plates for hard x-rays focusing

    DOE PAGES

    Moldovan, Nicolaie; Divan, Ralu; Zeng, Hongjun; ...

    2017-12-01

    The design and fabrication of Fresnel zone plates for hard x-ray focusing up to 25 keV photon energies with better than 50 nm imaging half-pitch resolution is reported as performed by forming an ultrananocrystalline diamond (UNCD) scaffold, subsequently coating it with atomic layer deposition (ALD) with an absorber/phase shifting material, followed by back side etching of Si to form a diamond membrane device. The scaffold is formed by chemical vapor-deposited UNCD, electron beam lithography, and deep-reactive ion etching of diamond to desired specifications. The benefits of using diamond are as follows: improved mechanical robustness to prevent collapse of high-aspect-ratio ringmore » structures, a known high-aspect-ratio etch method, excellent radiation hardness, extremely low x-ray absorption, and significantly improved thermal/dimensional stability as compared to alternative materials. Central to the technology is the high-resolution patterning of diamond membranes at wafer scale, which was pushed to 60 nm lines and spaces etched 2.2-mu m-deep, to an aspect ratio of 36:1. The absorber growth was achieved by ALD of Ir, Pt, or W, while wafer-level processing allowed to obtain up to 121 device chips per 4 in. wafer with yields better than 60%. X-ray tests with such zone plates allowed resolving 50 nm lines and spaces, at the limit of the available resolution test structures.« less

  20. X-ray (image)

    MedlinePlus

    X-rays are a form of electromagnetic radiation, just like visible light. Structures that are dense (such as bone) will block most of the x-ray particles, and will appear white. Metal and contrast media ( ...

  1. X-Ray Lasers

    ERIC Educational Resources Information Center

    Chapline, George; Wood, Lowell

    1975-01-01

    Outlines the prospects of generating coherent x rays using high-power lasers and indentifies problem areas in their development. Indicates possible applications for coherent x rays in the fields of chemistry, biology, and crystallography. (GS)

  2. Nonlinear X-Ray and Auger Spectroscopy at X-Ray Free-Electron Laser Sources

    NASA Astrophysics Data System (ADS)

    Rohringer, Nina

    2015-05-01

    X-ray free-electron lasers (XFELs) open the pathway to transfer non-linear spectroscopic techniques to the x-ray domain. A promising all x-ray pump probe technique is based on coherent stimulated electronic x-ray Raman scattering, which was recently demonstrated in atomic neon. By tuning the XFEL pulse to core-excited resonances, a few seed photons in the spectral tail of the XFEL pulse drive an avalanche of resonant inelastic x-ray scattering events, resulting in exponential amplification of the scattering signal by of 6-7 orders of magnitude. Analysis of the line profile of the emitted radiation permits to demonstrate the cross over from amplified spontaneous emission to coherent stimulated resonance scattering. In combination with statistical covariance mapping, a high-resolution spectrum of the resonant inelastic scattering process can be obtained, opening the path to coherent stimulated x-ray Raman spectroscopy. An extension of these ideas to molecules and a realistic feasibility study of stimulated electronic x-ray Raman scattering in CO will be presented. Challenges to realizing stimulated electronic x-ray Raman scattering at present-day XFEL sources will be discussed, corroborated by results of a recent experiment at the LCLS XFEL. Due to the small gain cross section in molecular targets, other nonlinear spectroscopic techniques such as nonlinear Auger spectroscopy could become a powerful alternative. Theory predictions of a novel pump probe technique based on resonant nonlinear Auger spectroscopic will be discussed and the method will be compared to stimulated x-ray Raman spectroscopy.

  3. Tunable X-ray source

    DOEpatents

    Boyce, James R [Williamsburg, VA

    2011-02-08

    A method for the production of X-ray bunches tunable in both time and energy level by generating multiple photon, X-ray, beams through the use of Thomson scattering. The method of the present invention simultaneously produces two X-ray pulses that are tunable in energy and/or time.

  4. X-Ray Diffraction Apparatus

    NASA Technical Reports Server (NTRS)

    Blake, David F. (Inventor); Bryson, Charles (Inventor); Freund, Friedmann (Inventor)

    1996-01-01

    An x-ray diffraction apparatus for use in analyzing the x-ray diffraction pattern of a sample is introduced. The apparatus includes a beam source for generating a collimated x-ray beam having one or more discrete x-ray energies, a holder for holding the sample to be analyzed in the path of the beam, and a charge-coupled device having an array of pixels for detecting, in one or more selected photon energy ranges, x-ray diffraction photons produced by irradiating such a sample with said beam. The CCD is coupled to an output unit which receives input information relating to the energies of photons striking each pixel in the CCD, and constructs the diffraction pattern of photons within a selected energy range striking the CCD.

  5. X-ray shearing interferometer

    DOEpatents

    Koch, Jeffrey A [Livermore, CA

    2003-07-08

    An x-ray interferometer for analyzing high density plasmas and optically opaque materials includes a point-like x-ray source for providing a broadband x-ray source. The x-rays are directed through a target material and then are reflected by a high-quality ellipsoidally-bent imaging crystal to a diffraction grating disposed at 1.times. magnification. A spherically-bent imaging crystal is employed when the x-rays that are incident on the crystal surface are normal to that surface. The diffraction grating produces multiple beams which interfere with one another to produce an interference pattern which contains information about the target. A detector is disposed at the position of the image of the target produced by the interfering beams.

  6. Abdominal x-ray

    MedlinePlus

    ... are, or may be, pregnant. Alternative Names Abdominal film; X-ray - abdomen; Flat plate; KUB x-ray ... Guidelines Viewers & Players MedlinePlus Connect for EHRs For Developers U.S. National Library of Medicine 8600 Rockville Pike, ...

  7. X-Ray Toolkit

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    2015-10-20

    Radiographic Image Acquisition & Processing Software for Security Markets. Used in operation of commercial x-ray scanners and manipulation of x-ray images for emergency responders including State, Local, Federal, and US Military bomb technicians and analysts.

  8. Mask data processing in the era of multibeam writers

    NASA Astrophysics Data System (ADS)

    Abboud, Frank E.; Asturias, Michael; Chandramouli, Maesh; Tezuka, Yoshihiro

    2014-10-01

    Mask writers' architectures have evolved through the years in response to ever tightening requirements for better resolution, tighter feature placement, improved CD control, and tolerable write time. The unprecedented extension of optical lithography and the myriad of Resolution Enhancement Techniques have tasked current mask writers with ever increasing shot count and higher dose, and therefore, increasing write time. Once again, we see the need for a transition to a new type of mask writer based on massively parallel architecture. These platforms offer a step function improvement in both dose and the ability to process massive amounts of data. The higher dose and almost unlimited appetite for edge corrections open new windows of opportunity to further push the envelope. These architectures are also naturally capable of producing curvilinear shapes, making the need to approximate a curve with multiple Manhattan shapes unnecessary.

  9. Techniques for the analysis of data from coded-mask X-ray telescopes

    NASA Technical Reports Server (NTRS)

    Skinner, G. K.; Ponman, T. J.; Hammersley, A. P.; Eyles, C. J.

    1987-01-01

    Several techniques useful in the analysis of data from coded-mask telescopes are presented. Methods of handling changes in the instrument pointing direction are reviewed and ways of using FFT techniques to do the deconvolution considered. Emphasis is on techniques for optimally-coded systems, but it is shown that the range of systems included in this class can be extended through the new concept of 'partial cycle averaging'.

  10. Microscopy of biological sample through advanced diffractive optics from visible to X-ray wavelength regime.

    PubMed

    Di Fabrizio, Enzo; Cojoc, Dan; Emiliani, Valentina; Cabrini, Stefano; Coppey-Moisan, Maite; Ferrari, Enrico; Garbin, Valeria; Altissimo, Matteo

    2004-11-01

    The aim of this report is to demonstrate a unified version of microscopy through the use of advanced diffractive optics. The unified scheme derives from the technical possibility of realizing front wave engineering in a wide range of electromagnetic spectrum. The unified treatment is realized through the design and nanofabrication of phase diffractive elements (PDE) through which wave front beam shaping is obtained. In particular, we will show applications, by using biological samples, ranging from micromanipulation using optical tweezers to X-ray differential interference contrast (DIC) microscopy combined with X-ray fluorescence. We report some details on the design and physical implementation of diffractive elements that besides focusing also perform other optical functions: beam splitting, beam intensity, and phase redistribution or mode conversion. Laser beam splitting is used for multiple trapping and independent manipulation of micro-beads surrounding a cell as an array of tweezers and for arraying and sorting microscopic size biological samples. Another application is the Gauss to Laguerre-Gauss mode conversion, which allows for trapping and transfering orbital angular momentum of light to micro-particles immersed in a fluid. These experiments are performed in an inverted optical microscope coupled with an infrared laser beam and a spatial light modulator for diffractive optics implementation. High-resolution optics, fabricated by means of e-beam lithography, are demonstrated to control the intensity and the phase of the sheared beams in x-ray DIC microscopy. DIC experiments with phase objects reveal a dramatic increase in image contrast compared to bright-field x-ray microscopy. Besides the topographic information, fluorescence allows detection of certain chemical elements (Cl, P, Sc, K) in the same setup, by changing the photon energy of the x-ray beam. (c) 2005 Wiley-Liss, Inc.

  11. Compact x-ray source and panel

    DOEpatents

    Sampayon, Stephen E [Manteca, CA

    2008-02-12

    A compact, self-contained x-ray source, and a compact x-ray source panel having a plurality of such x-ray sources arranged in a preferably broad-area pixelized array. Each x-ray source includes an electron source for producing an electron beam, an x-ray conversion target, and a multilayer insulator separating the electron source and the x-ray conversion target from each other. The multi-layer insulator preferably has a cylindrical configuration with a plurality of alternating insulator and conductor layers surrounding an acceleration channel leading from the electron source to the x-ray conversion target. A power source is connected to each x-ray source of the array to produce an accelerating gradient between the electron source and x-ray conversion target in any one or more of the x-ray sources independent of other x-ray sources in the array, so as to accelerate an electron beam towards the x-ray conversion target. The multilayer insulator enables relatively short separation distances between the electron source and the x-ray conversion target so that a thin panel is possible for compactness. This is due to the ability of the plurality of alternating insulator and conductor layers of the multilayer insulators to resist surface flashover when sufficiently high acceleration energies necessary for x-ray generation are supplied by the power source to the x-ray sources.

  12. Phase measurements of EUV mask defects

    DOE PAGES

    Claus, Rene A.; Wang, Yow-Gwo; Wojdyla, Antoine; ...

    2015-02-22

    Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than themore » conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.« less

  13. Symbiotic Stars in X-rays

    NASA Technical Reports Server (NTRS)

    Luna, G. J. M.; Sokoloski, J. L.; Mukai, K.; Nelson, T.

    2014-01-01

    Until recently, symbiotic binary systems in which a white dwarf accretes from a red giant were thought to be mainly a soft X-ray population. Here we describe the detection with the X-ray Telescope (XRT) on the Swift satellite of 9 white dwarf symbiotics that were not previously known to be X-ray sources and one that was previously detected as a supersoft X-ray source. The 9 new X-ray detections were the result of a survey of 41 symbiotic stars, and they increase the number of symbiotic stars known to be X-ray sources by approximately 30%. Swift/XRT detected all of the new X-ray sources at energies greater than 2 keV. Their X-ray spectra are consistent with thermal emission and fall naturally into three distinct groups. The first group contains those sources with a single, highly absorbed hard component, which we identify as probably coming from an accretion-disk boundary layer. The second group is composed of those sources with a single, soft X-ray spectral component, which likely arises in a region where low-velocity shocks produce X-ray emission, i.e. a colliding-wind region. The third group consists of those sources with both hard and soft X-ray spectral components. We also find that unlike in the optical, where rapid, stochastic brightness variations from the accretion disk typically are not seen, detectable UV flickering is a common property of symbiotic stars. Supporting our physical interpretation of the two X-ray spectral components, simultaneous Swift UV photometry shows that symbiotic stars with harder X-ray emission tend to have stronger UV flickering, which is usually associated with accretion through a disk. To place these new observations in the context of previous work on X-ray emission from symbiotic stars, we modified and extended the alpha/beta/gamma classification scheme for symbiotic-star X-ray spectra that was introduced by Muerset et al. based upon observations with the ROSAT satellite, to include a new sigma classification for sources with

  14. X-ray laser

    DOEpatents

    Nilsen, Joseph

    1991-01-01

    An X-ray laser (10) that lases between the K edges of carbon and oxygen, i.e. between 44 and 23 Angstroms, is provided. The laser comprises a silicon (12) and dysprosium (14) foil combination (16) that is driven by two beams (18, 20) of intense line focused (22, 24) optical laser radiation. Ground state nickel-like dysprosium ions (34) are resonantly photo-pumped to their upper X-ray laser state by line emission from hydrogen-like silicon ions (32). The novel X-ray laser should prove especially useful for the microscopy of biological specimens.

  15. Performance summary on a high power dense plasma focus x-ray lithography point source producing 70 nm line features in AlGaAs microcircuits

    NASA Astrophysics Data System (ADS)

    Petr, Rodney; Bykanov, Alexander; Freshman, Jay; Reilly, Dennis; Mangano, Joseph; Roche, Maureen; Dickenson, Jason; Burte, Mitchell; Heaton, John

    2004-08-01

    A high average power dense plasma focus (DPF), x-ray point source has been used to produce ˜70 nm line features in AlGaAs-based monolithic millimeter-wave integrated circuits (MMICs). The DPF source has produced up to 12 J per pulse of x-ray energy into 4π steradians at ˜1 keV effective wavelength in ˜2 Torr neon at pulse repetition rates up to 60 Hz, with an effective x-ray yield efficiency of ˜0.8%. Plasma temperature and electron concentration are estimated from the x-ray spectrum to be ˜170 eV and ˜5.1019 cm-3, respectively. The x-ray point source utilizes solid-state pulse power technology to extend the operating lifetime of electrodes and insulators in the DPF discharge. By eliminating current reversals in the DPF head, an anode electrode has demonstrated a lifetime of more than 5 million shots. The x-ray point source has also been operated continuously for 8 h run times at 27 Hz average pulse recurrent frequency. Measurements of shock waves produced by the plasma discharge indicate that overpressure pulses must be attenuated before a collimator can be integrated with the DPF point source.

  16. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  17. Observation of femtosecond X-ray interactions with matter using an X-ray–X-ray pump–probe scheme

    PubMed Central

    Inoue, Ichiro; Inubushi, Yuichi; Sato, Takahiro; Tono, Kensuke; Katayama, Tetsuo; Kameshima, Takashi; Ogawa, Kanade; Togashi, Tadashi; Owada, Shigeki; Amemiya, Yoshiyuki; Tanaka, Takashi; Hara, Toru

    2016-01-01

    Resolution in the X-ray structure determination of noncrystalline samples has been limited to several tens of nanometers, because deep X-ray irradiation required for enhanced resolution causes radiation damage to samples. However, theoretical studies predict that the femtosecond (fs) durations of X-ray free-electron laser (XFEL) pulses make it possible to record scattering signals before the initiation of X-ray damage processes; thus, an ultraintense X-ray beam can be used beyond the conventional limit of radiation dose. Here, we verify this scenario by directly observing femtosecond X-ray damage processes in diamond irradiated with extraordinarily intense (∼1019 W/cm2) XFEL pulses. An X-ray pump–probe diffraction scheme was developed in this study; tightly focused double–5-fs XFEL pulses with time separations ranging from sub-fs to 80 fs were used to excite (i.e., pump) the diamond and characterize (i.e., probe) the temporal changes of the crystalline structures through Bragg reflection. It was found that the pump and probe diffraction intensities remain almost constant for shorter time separations of the double pulse, whereas the probe diffraction intensities decreased after 20 fs following pump pulse irradiation due to the X-ray–induced atomic displacement. This result indicates that sub-10-fs XFEL pulses enable conductions of damageless structural determinations and supports the validity of the theoretical predictions of ultraintense X-ray–matter interactions. The X-ray pump–probe scheme demonstrated here would be effective for understanding ultraintense X-ray–matter interactions, which will greatly stimulate advanced XFEL applications, such as atomic structure determination of a single molecule and generation of exotic matters with high energy densities. PMID:26811449

  18. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  19. X ray spectra of X Per. [oso-8 observations

    NASA Technical Reports Server (NTRS)

    Becker, R. H.; Boldt, E. A.; Holt, S. S.; Pravdo, S. H.; Robinson-Saba, J.; Serlemitsos, P. J.; Swank, J. H.

    1978-01-01

    The cosmic X-ray spectroscopy experiment on OSO-8 observed X Per for twenty days during two observations in Feb. 1976 and Feb. 1977. The spectrum of X Per varies in phase with its 13.9 min period, hardening significantly at X-ray minimum. Unlike other X-ray binary pulsar spectra, X Per's spectra do not exhibit iron line emission or strong absorption features. The data show no evidence for a 22 hour periodicity in the X-ray intensity of X Per. These results indicate that the X-ray emission from X Per may be originating from a neutron star in a low density region far from the optically identified Be star.

  20. Accretion and Outflows in X-ray Binaries: What's Really Going on During X-ray Quiescence

    NASA Astrophysics Data System (ADS)

    MacDonald, Rachel K. D.; Bailyn, Charles D.; Buxton, Michelle

    2015-01-01

    X-ray binaries, consisting of a star and a stellar-mass black hole, are wonderful laboratories for studying accretion and outflows. They evolve on timescales quite accessible to us, unlike their supermassive cousins, and allow the possibility of gaining a deeper understanding of these two common astrophysical processes. Different wavelength regimes reveal different aspects of the systems: radio emission is largely generated by outflows and jets, X-ray emission by inner accretion flows, and optical/infrared (OIR) emission by the outer disk and companion star. The search for relationships between these different wavelengths is thus an area of active research, aiming to reveal deeper connections between accretion and outflows.Initial evidence for a strong, tight correlation between radio and X-ray emission has weakened as further observations and newly-discovered sources have been obtained. This has led to discussions of multiple tracks or clusters, or the possibility that no overall relation exists for the currently-known population of X-ray binaries. Our ability to distinguish among these options is hampered by a relative lack of observations at lower luminosities, and especially of truly X-ray quiescent (non-outbursting) systems. Although X-ray binaries spend the bulk of their existence in quiescence, few quiescent sources have been observed and multiple observations of individual sources are largely nonexistent. Here we discuss new observations of the lowest-luminosity quiescent X-ray binary, A0620-00, and the place this object occupies in investigations of the radio/X-ray plane. For the first time, we also incorporate simultaneous OIR data with the radio and X-ray data.In December 2013 we took simultaneous observations of A0620-00 in the X-ray (Chandra), the radio (EVLA), and the OIR (SMARTS 1.3m). These X-ray and radio data allowed us to investigate similarities among quiescent X-ray binaries, and changes over time for this individual object, in the radio/X-ray

  1. Four-quadrant gratings moiré fringe alignment measurement in proximity lithography.

    PubMed

    Zhu, Jiangping; Hu, Song; Yu, Junsheng; Zhou, Shaolin; Tang, Yan; Zhong, Min; Zhao, Lixin; Chen, Minyong; Li, Lanlan; He, Yu; Jiang, Wei

    2013-02-11

    This paper aims to deal with a four-quadrant gratings alignment method benefiting from phase demodulation for proximity lithography, which combines the advantages of interferometry with image processing. Both the mask alignment mark and the wafer alignment mark consist of four sets of gratings, which bring the convenience and simplification of realization for coarse alignment and fine alignment. Four sets of moiré fringes created by superposing the mask alignment mark and the wafer alignment mark are highly sensitive to the misalignment between them. And the misalignment can be easily determined through demodulating the phase of moiré fringe without any external reference. Especially, the period and phase distribution of moiré fringes are unaffected by the gap between the mask and the wafer, not excepting the wavelength of alignment illumination. Disturbance from the illumination can also be negligible, which enhances the technological adaptability. The experimental results bear out the feasibility and rationality of our designed approach.

  2. Future Hard X-ray and Gamma-Ray Missions

    NASA Astrophysics Data System (ADS)

    Krawczynski, Henric; Physics of the Cosmos (PCOS) Gamma Ray Science Interest Group (GammaSIG) Team

    2017-01-01

    With four major NASA and ESA hard X-ray and gamma-ray missions in orbit (Swift, NuSTAR, INTEGRAL, and Fermi) hard X-ray and gamma-ray astronomy is making major contributions to our understanding of the cosmos. In this talk, I will summarize the current and upcoming activities of the Physics of the Cosmos Gamma Ray Science Interest Group and highlight a few of the future hard X-ray and gamma-ray mission discussed by the community. HK thanks NASA for the support through the awards NNX14AD19G and NNX16AC42G and for PCOS travel support.

  3. Effects of X-Ray Dose On Rhizosphere Studies Using X-Ray Computed Tomography

    PubMed Central

    Zappala, Susan; Helliwell, Jonathan R.; Tracy, Saoirse R.; Mairhofer, Stefan; Sturrock, Craig J.; Pridmore, Tony; Bennett, Malcolm; Mooney, Sacha J.

    2013-01-01

    X-ray Computed Tomography (CT) is a non-destructive imaging technique originally designed for diagnostic medicine, which was adopted for rhizosphere and soil science applications in the early 1980s. X-ray CT enables researchers to simultaneously visualise and quantify the heterogeneous soil matrix of mineral grains, organic matter, air-filled pores and water-filled pores. Additionally, X-ray CT allows visualisation of plant roots in situ without the need for traditional invasive methods such as root washing. However, one routinely unreported aspect of X-ray CT is the potential effect of X-ray dose on the soil-borne microorganisms and plants in rhizosphere investigations. Here we aimed to i) highlight the need for more consistent reporting of X-ray CT parameters for dose to sample, ii) to provide an overview of previously reported impacts of X-rays on soil microorganisms and plant roots and iii) present new data investigating the response of plant roots and microbial communities to X-ray exposure. Fewer than 5% of the 126 publications included in the literature review contained sufficient information to calculate dose and only 2.4% of the publications explicitly state an estimate of dose received by each sample. We conducted a study involving rice roots growing in soil, observing no significant difference between the numbers of root tips, root volume and total root length in scanned versus unscanned samples. In parallel, a soil microbe experiment scanning samples over a total of 24 weeks observed no significant difference between the scanned and unscanned microbial biomass values. We conclude from the literature review and our own experiments that X-ray CT does not impact plant growth or soil microbial populations when employing a low level of dose (<30 Gy). However, the call for higher throughput X-ray CT means that doses that biological samples receive are likely to increase and thus should be closely monitored. PMID:23840640

  4. Department of Defense statement on the X-ray Lithography Program to the Research and Development Subcommittee of the House Armed Services Committee of 100th Congress, second session

    NASA Astrophysics Data System (ADS)

    Maynard, E. D., Jr.

    1988-03-01

    The Department has a broad and necessarily diverse program in semiconductor science and technology. The three principal goals of that effort are: Reduce the gap between commercial integrated circuit usage and its deployment in military systems, assure a healthy on-shore industrial base to support our defense needs, enhance the producibility of specialized military semiconductor products. The major effort to achieve the first of these objectives is the Very High Speed Integrated Circuits (VHSIC) Program which is nearing completion. The Microwave/millimeter wave Monolithic Integrated Circuit (MIMIC) program has just completed a study program to define the product mix needed to meet military system requirements for radar, electronic warfare, smart weapons and telecommunications. We are bringing together the system requirements of all DoD with the device fabrication and product delivery capabilities of industry in an Infrared Focal Plane Array (IRFPA) program. The goal of the Software Initiative is to enhance our warfighting capability through development of efficient software generation technology and products plus the creation of a technology infusion infrastructure to couple the technology and products to system applications. The X-Ray Lithography Program will begin to establish the industrial base which will be required to sustain U.S. leadership in the semiconductor industry for the late 1990s.

  5. Changes in the near edge X-ray absorption fine structure of hybrid organic-inorganic resists upon exposure.

    PubMed

    Fallica, Roberto; Watts, Benjamin; Roesner, Benedikt; Della Giustina, Gioia; Brigo, Laura; Brusatin, Giovanna; Ekinci, Yasin

    2018-06-14

    We report on the near edge X-ray absorption fine structure (NEXAFS) spectroscopy of hybrid organic-inorganic resists. These materials are nonchemically amplified systems based on Si, Zr, and Ti oxides, synthesized from organically modified precursors and transition metal alkoxides by a sol-gel route and designed for ultraviolet, extreme ultraviolet and electron beam lithography. The experiments were conducted using a scanning transmission X-ray microscope (STXM) which combines high spatial-resolution microscopy and NEXAFS spectroscopy. The absorption spectra were collected in the proximity of the carbon edge (~ 290 eV) before and after in situ exposure, enabling the measurement of a significant photo-induced degradation of the organic group (phenyl or methyl methacrylate, respectively), the degree of which depends on the configuration of the ligand. Photo-induced degradation was more efficient in the resist synthesized with pendant phenyl substituents than it was in the case of systems based on bridging phenyl groups. The degradation of the methyl methacrylate group was relatively efficient, with about half of the initial ligands dissociated upon exposure. Our data reveal that the such dissociation can produce different outcomes, depending on the structural configuration. While all the organic groups were expected to detach and desorb from the resist in their entirety, a sizeable amount of them remain and form undesired byproducts such as alkene chains. In the framework of the materials synthesis and engineering through specific building blocks, these results provide a deeper insight into the photochemistry of resists, in particular for extreme ultraviolet lithography. © 2018 IOP Publishing Ltd.

  6. Phase-sensitive X-ray imager

    DOEpatents

    Baker, Kevin Louis

    2013-01-08

    X-ray phase sensitive wave-front sensor techniques are detailed that are capable of measuring the entire two-dimensional x-ray electric field, both the amplitude and phase, with a single measurement. These Hartmann sensing and 2-D Shear interferometry wave-front sensors do not require a temporally coherent source and are therefore compatible with x-ray tubes and also with laser-produced or x-pinch x-ray sources.

  7. Toward Adaptive X-Ray Telescopes

    NASA Technical Reports Server (NTRS)

    O'Dell, Stephen L.; Atkins, Carolyn; Button, Tim W.; Cotroneo, Vincenzo; Davis, William N.; Doel, Peer; Feldman, Charlotte H.; Freeman, Mark D.; Gubarev, Mikhail V.; Kolodziejczak, Jeffrey J.; hide

    2011-01-01

    Future x-ray observatories will require high-resolution (less than 1 inch) optics with very-large-aperture (greater than 25 square meter) areas. Even with the next generation of heavy-lift launch vehicles, launch-mass constraints and aperture-area requirements will limit the surface areal density of the grazing-incidence mirrors to about 1 kilogram per square meter or less. Achieving sub-arcsecond x-ray imaging with such lightweight mirrors will require excellent mirror surfaces, precise and stable alignment, and exceptional stiffness or deformation compensation. Attaining and maintaining alignment and figure control will likely involve adaptive (in-space adjustable) x-ray optics. In contrast with infrared and visible astronomy, adaptive optics for x-ray astronomy is in its infancy. In the middle of the past decade, two efforts began to advance technologies for adaptive x-ray telescopes: The Generation-X (Gen-X) concept studies in the United States, and the Smart X-ray Optics (SXO) Basic Technology project in the United Kingdom. This paper discusses relevant technological issues and summarizes progress toward adaptive x-ray telescopes.

  8. Toward active x-ray telescopes

    NASA Astrophysics Data System (ADS)

    O'Dell, Stephen L.; Atkins, Carolyn; Button, Timothy W.; Cotroneo, Vincenzo; Davis, William N.; Doel, Peter; Feldman, Charlotte H.; Freeman, Mark D.; Gubarev, Mikhail V.; Kolodziejczak, Jeffery J.; Michette, Alan G.; Ramsey, Brian D.; Reid, Paul B.; Rodriguez Sanmartin, Daniel; Saha, Timo T.; Schwartz, Daniel A.; Trolier-McKinstry, Susan; Wilke, Rudeger H. T.; Willingale, Richard; Zhang, William W.

    2011-09-01

    Future x-ray observatories will require high-resolution (< 1") optics with very-large-aperture (> 25 m2) areas. Even with the next generation of heavy-lift launch vehicles, launch-mass constraints and aperture-area requirements will limit the areal density of the grazing-incidence mirrors to about 1 kg/m2 or less. Achieving sub-arcsecond x-ray imaging with such lightweight mirrors will require excellent mirror surfaces, precise and stable alignment, and exceptional stiffness or deformation compensation. Attaining and maintaining alignment and figure control will likely involve active (in-space adjustable) x-ray optics. In contrast with infrared and visible astronomy, active optics for x-ray astronomy is in its infancy. In the middle of the past decade, two efforts began to advance technologies for adaptive x-ray telescopes: The Smart X-ray Optics (SXO) Basic Technology project in the United Kingdom (UK) and the Generation-X (Gen-X) concept studies in the United States (US). This paper discusses relevant technological issues and summarizes progress toward active x-ray telescopes.

  9. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE PAGES

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun; ...

    2016-07-12

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  10. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  11. Robust X-ray angular correlations for the study of meso-structures

    DOE PAGES

    Lhermitte, Julien R.; Tian, Cheng; Stein, Aaron; ...

    2017-05-08

    As self-assembling nanomaterials become more sophisticated, it is becoming increasingly important to measure the structural order of finite-sized assemblies of nano-objects. These mesoscale clusters represent an acute challenge to conventional structural probes, owing to the range of implicated size scales (10 nm to several micrometres), the weak scattering signal and the dynamic nature of meso-clusters in native solution environments. The high X-ray flux and coherence of modern synchrotrons present an opportunity to extract structural information from these challenging systems, but conventional ensemble X-ray scattering averages out crucial information about local particle configurations. Conversely, a single meso-cluster scatters too weakly tomore » recover the full diffraction pattern. Using X-ray angular cross-correlation analysis, it is possible to combine multiple noisy measurements to obtain robust structural information. This paper explores the key theoretical limits and experimental challenges that constrain the application of these methods to probing structural order in real nanomaterials. A metric is presented to quantify the signal-to-noise ratio of angular correlations, and it is used to identify several experimental artifacts that arise. In particular, it is found that background scattering, data masking and inter-cluster interference profoundly affect the quality of correlation analyses. A robust workflow is demonstrated for mitigating these effects and extracting reliable angular correlations from realistic experimental data.« less

  12. Science Goals for an All-sky Viewing Observatory in X-rays

    NASA Astrophysics Data System (ADS)

    Remillard, R. A.; Levine, A. M.; Morgan, E. H.; Bradt, H. V.

    2003-03-01

    We describe a concept for a NASA SMEX Mission that will provide a comprehensive investigation of cosmic explosions. These range from the short flashes at cosmological distances in Gamma-ray bursts, to the moments of relativistic mass ejections in Galactic microquasars, to the panorama of outbursts used to identify the stellar-scale black holes in our Galaxy. With an equatorial launch, an array of 31 cameras can cover 97% of the sky with an average exposure efficiency of 65%. Coded mask cameras with Xe detectors (1.5-12 keV) are chosen for their ability to distinguish thermal and non-thermal processes, while providing high throughput and msec time resolution to capture the detailed evolution of bright events. This mission, with 1' position accuracy, would provide a long-term solution to the critical needs for monitoring services for Chandra and GLAST, with possible overlap into the time frame for Constellation-X. The sky coverage would create additional science opportunities beyond the X-ray missions: "eyes" for LIGO and partnerships for time-variability with LOFAR and dedicated programs at optical observatories. Compared to the RXTE ASM, AVOX offers improvements by a factor of 40 in instantaneous sky coverage and a factor of 10 in sensitivity to faint X-ray sources (i.e. to 0.8 mCrab at 3 sigma in 1 day).

  13. X-ray superbubbles

    NASA Technical Reports Server (NTRS)

    Cash, W.

    1983-01-01

    Four regions of the galaxy, the Cygnus Superbubble, the Eta Carina complex, the Orion/Eridanus complex, and the Gum Nebula, are discussed as examples of collective effects in the interstellar medium. All four regions share certain features, indicating a common structure. The selection effects which determine the observable X-ray properties of the superbubbles are discussed, and it is demonstrated that only a very few more in our Galaxy can be detected in X rays. X-ray observation of extragalactic superbubbles is shown to be possible but requires the capabilities of a large, high quality, AXAF class observatory.

  14. Topological X-Rays Revisited

    ERIC Educational Resources Information Center

    Lynch, Mark

    2012-01-01

    We continue our study of topological X-rays begun in Lynch ["Topological X-rays and MRI's," iJMEST 33(3) (2002), pp. 389-392]. We modify our definition of a topological magnetic resonance imaging and give an affirmative answer to the question posed there: Can we identify a closed set in a box by defining X-rays to probe the interior and without…

  15. X-ray Crystallography Facility

    NASA Technical Reports Server (NTRS)

    2000-01-01

    Edward Snell, a National Research Council research fellow at NASA's Marshall Space Flight Center (MSFC), prepares a protein crystal for analysis by x-ray crystallography as part of NASA's structural biology program. The small, individual crystals are bombarded with x-rays to produce diffraction patterns, a map of the intensity of the x-rays as they reflect through the crystal.

  16. Regular Nanoscale Protein Patterns via Directed Adsorption through Self-Assembled DNA Origami Masks.

    PubMed

    Ramakrishnan, Saminathan; Subramaniam, Sivaraman; Stewart, A Francis; Grundmeier, Guido; Keller, Adrian

    2016-11-16

    DNA origami has become a widely used method for synthesizing well-defined nanostructures with promising applications in various areas of nanotechnology, biophysics, and medicine. Recently, the possibility to transfer the shape of single DNA origami nanostructures into different materials via molecular lithography approaches has received growing interest due to the great structural control provided by the DNA origami technique. Here, we use ordered monolayers of DNA origami nanostructures with internal cavities on mica surfaces as molecular lithography masks for the fabrication of regular protein patterns over large surface areas. Exposure of the masked sample surface to negatively charged proteins results in the directed adsorption of the proteins onto the exposed surface areas in the holes of the mask. By controlling the buffer and adsorption conditions, the protein coverage of the exposed areas can be varied from single proteins to densely packed monolayers. To demonstrate the versatility of this approach, regular nanopatterns of four different proteins are fabricated: the single-strand annealing proteins Redβ and Sak, the iron-storage protein ferritin, and the blood protein bovine serum albumin (BSA). We furthermore demonstrate the desorption of the DNA origami mask after directed protein adsorption, which may enable the fabrication of hierarchical patterns composed of different protein species. Because selectivity in adsorption is achieved by electrostatic interactions between the proteins and the exposed surface areas, this approach may enable also the large-scale patterning of other charged molecular species or even nanoparticles.

  17. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  18. Development of polycapillary x-ray optics for x-ray spectroscopy

    NASA Astrophysics Data System (ADS)

    Adams, Bernhard W.; Attenkofer, Klaus; Bond, Justin L.; Craven, Christopher A.; Cremer, Till; O'Mahony, Aileen; Minot, Michael J.; Popecki, Mark A.

    2016-09-01

    Bundles of hollow glass capillaries can be tapered to produce quasi-focusing x-ray optics. These optics are known as Kumakhov lenses. These optics are interesting for lab-based sources because they can be used to collimate and concentrate x-rays originating from a point, such as a laser focus or an electron-beam focus in a microtube.

  19. X-ray Spectrometry.

    ERIC Educational Resources Information Center

    Markowicz, Andrzej A.; Van Grieken, Rene E.

    1984-01-01

    Provided is a selective literature survey of X-ray spectrometry from late 1981 to late 1983. Literature examined focuses on: excitation (photon and electron excitation and particle-induced X-ray emission; detection (wavelength-dispersive and energy-dispersive spectrometry); instrumentation and techniques; and on such quantitative analytical…

  20. Exploring the X-Ray Universe

    NASA Astrophysics Data System (ADS)

    Seward, Frederick D.; Charles, Philip A.

    1995-11-01

    Exploring the X-Ray Universe describes the view of the stars and galaxies that is obtained through X-ray telescopes. X-rays, which are invisible to human sight, are created in the cores of active galaxies, in cataclysmic stellar explosions, and in streams of gas expelled by the Sun and stars. The window on the heavens used by the X-ray astronomers shows the great drama of cosmic violence on the grandest scale.

    This account of X-ray astronomy incorporates the latest findings from several observatories operating in space. These include the Einstein Observatory operated by NASA, and the EXOSAT satellite of the European Space Agency. The book covers the entire field, with chapters on stars, supernova remnants, normal and active galaxies, clusters of galaxies, the diffuse X-ray background, and much more. The authors review basic principles, include the necessary historical background, and explain exactly what we know from X-ray observations of the Universe.

  1. X-ray imaging physics for nuclear medicine technologists. Part 1: Basic principles of x-ray production.

    PubMed

    Seibert, J Anthony

    2004-09-01

    The purpose is to review in a 4-part series: (i) the basic principles of x-ray production, (ii) x-ray interactions and data capture/conversion, (iii) acquisition/creation of the CT image, and (iv) operational details of a modern multislice CT scanner integrated with a PET scanner. Advances in PET technology have lead to widespread applications in diagnostic imaging and oncologic staging of disease. Combined PET/CT scanners provide the high-resolution anatomic imaging capability of CT with the metabolic and physiologic information by PET, to offer a significant increase in information content useful for the diagnostician and radiation oncologist, neurosurgeon, or other physician needing both anatomic detail and knowledge of disease extent. Nuclear medicine technologists at the forefront of PET should therefore have a good understanding of x-ray imaging physics and basic CT scanner operation, as covered by this 4-part series. After reading the first article on x-ray production, the nuclear medicine technologist will be familiar with (a) the physical characteristics of x-rays relative to other electromagnetic radiations, including gamma-rays in terms of energy, wavelength, and frequency; (b) methods of x-ray production and the characteristics of the output x-ray spectrum; (c) components necessary to produce x-rays, including the x-ray tube/x-ray generator and the parameters that control x-ray quality (energy) and quantity; (d) x-ray production limitations caused by heating and the impact on image acquisition and clinical throughput; and (e) a glossary of terms to assist in the understanding of this information.

  2. X-ray absorption spectroscopy: EXAFS (Extended X-ray Absorption Fine Structure) and XANES (X-ray Absorption Near Edge Structure)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alp, E.E.; Mini, S.M.; Ramanathan, M.

    1990-04-01

    The x-ray absorption spectroscopy (XAS) had been an essential tool to gather spectroscopic information about atomic energy level structure in the early decades of this century. It has also played an important role in the discovery and systematization of rare-earth elements. The discovery of synchrotron radiation in 1952, and later the availability of broadly tunable synchrotron based x-ray sources have revitalized this technique since the 1970's. The correct interpretation of the oscillatory structure in the x-ray absorption cross-section above the absorption edge by Sayers et. al. has transformed XAS from a spectroscopic tool to a structural technique. EXAFS (Extended X-raymore » Absorption Fine Structure) yields information about the interatomic distances, near neighbor coordination numbers, and lattice dynamics. An excellent description of the principles and data analysis techniques of EXAFS is given by Teo. XANES (X-ray Absorption Near Edge Structure), on the other hand, gives information about the valence state, energy bandwidth and bond angles. Today, there are about 50 experimental stations in various synchrotrons around the world dedicated to collecting x-ray absorption data from the bulk and surfaces of solids and liquids. In this chapter, we will give the basic principles of XAS, explain the information content of essentially two different aspects of the absorption process leading to EXAFS and XANES, and discuss the source and samples limitations.« less

  3. X-ray radiative transfer in protoplanetary disks. The role of dust and X-ray background fields

    NASA Astrophysics Data System (ADS)

    Rab, Ch.; Güdel, M.; Woitke, P.; Kamp, I.; Thi, W.-F.; Min, M.; Aresu, G.; Meijerink, R.

    2018-01-01

    Context. The X-ray luminosities of T Tauri stars are about two to four orders of magnitude higher than the luminosity of the contemporary Sun. As these stars are born in clusters, their disks are not only irradiated by their parent star but also by an X-ray background field produced by the cluster members. Aims: We aim to quantify the impact of X-ray background fields produced by young embedded clusters on the chemical structure of disks. Further, we want to investigate the importance of the dust for X-ray radiative transfer in disks. Methods: We present a new X-ray radiative transfer module for the radiation thermo-chemical disk code PRODIMO (PROtoplanetary DIsk MOdel), which includes X-ray scattering and absorption by both the gas and dust component. The X-ray dust opacities can be calculated for various dust compositions and dust-size distributions. For the X-ray radiative transfer we consider irradiation by the star and by X-ray background fields. To study the impact of X-rays on the chemical structure of disks we use the well established disk ionization tracers N2H+ and HCO+. Results: For evolved dust populations (e.g. grain growth), X-ray opacities are mostly dominated by the gas; only for photon energies E ≳ 5-10 keV do dust opacities become relevant. Consequently the local disk X-ray radiation field is only affected in dense regions close to the disk midplane. X-ray background fields can dominate the local X-ray disk ionization rate for disk radii r ≳ 20 au. However, the N2H+ and HCO+ column densities are only significantly affected in cases of low cosmic-ray ionization rates (≲10-19 s-1), or if the background flux is at least a factor of ten higher than the flux level of ≈10-5 erg cm-2 s-1 expected for clusters typical for the solar vicinity. Conclusions: Observable signatures of X-ray background fields in low-mass star-formation regions, like Taurus, are only expected for cluster members experiencing a strong X-ray background field (e.g. due to

  4. Advanced x-ray imaging spectrometer

    NASA Technical Reports Server (NTRS)

    Callas, John L. (Inventor); Soli, George A. (Inventor)

    1998-01-01

    An x-ray spectrometer that also provides images of an x-ray source. Coded aperture imaging techniques are used to provide high resolution images. Imaging position-sensitive x-ray sensors with good energy resolution are utilized to provide excellent spectroscopic performance. The system produces high resolution spectral images of the x-ray source which can be viewed in any one of a number of specific energy bands.

  5. X-Rays from Pluto

    NASA Image and Video Library

    2016-09-14

    The first detection of Pluto in X-rays has been made using NASA's Chandra X-ray Observatory in conjunction with observations from NASA's New Horizons spacecraft. As New Horizons approached Pluto in late 2014 and then flew by the planet during the summer of 2015, Chandra obtained data during four separate observations. During each observation, Chandra detected low-energy X-rays from the small planet. The main panel in this graphic is an optical image taken from New Horizons on its approach to Pluto, while the inset shows an image of Pluto in X-rays from Chandra. There is a significant difference in scale between the optical and X-ray images. New Horizons made a close flyby of Pluto but Chandra is located near the Earth, so the level of detail visible in the two images is very different. The Chandra image is 180,000 miles across at the distance of Pluto, but the planet is only 1,500 miles across. Pluto is detected in the X-ray image as a point source, showing the sharpest level of detail available for Chandra or any other X-ray observatory. This means that details over scales that are smaller than the X-ray source cannot be seen here. Detecting X-rays from Pluto is a somewhat surprising result given that Pluto - a cold, rocky world without a magnetic field - has no natural mechanism for emitting X-rays. However, scientists knew from previous observations of comets that the interaction between the gases surrounding such planetary bodies and the solar wind - the constant streams of charged particles from the sun that speed throughout the solar system -- can create X-rays. The researchers were particularly interested in learning more about the interaction between the gases in Pluto's atmosphere and the solar wind. The New Horizon spacecraft carries an instrument designed to measure that activity up-close -- Solar Wind Around Pluto (SWAP) -- and scientists examined that data and proposed that Pluto contains a very mild, close-in bowshock, where the solar wind first

  6. Innovative space x-ray telescopes

    NASA Astrophysics Data System (ADS)

    Hudec, R.; Inneman, A.; Pina, L.; Sveda, L.; Ticha, H.; Brozek, V.

    2017-11-01

    We report on the progress in innovative X-ray mirror development with focus on requirements of future X-ray astronomy space projects. Various future projects in X-ray astronomy and astrophysics will require large lightweight but highly accurate segments with multiple thin shells or foils. The large Wolter 1 grazing incidence multiple mirror arrays, the Kirkpatrick-Baez modules, as well as the large Lobster-Eye X-ray telescope modules in Schmidt arrangement may serve as examples. All these space projects will require high quality and light segmented shells (shaped, bent or flat foils) with high X-ray reflectivity and excellent mechanical stability.

  7. X-Ray Exam: Cervical Spine

    MedlinePlus

    ... through them and appear black. An X-ray technician takes the X-rays. Usually, three different pictures ... to tell her doctor and the X-ray technician. Procedure Although the procedure may take up to ...

  8. X-Rays, Pregnancy and You

    MedlinePlus

    ... and Procedures Medical Imaging Medical X-ray Imaging X-Rays, Pregnancy and You Share Tweet Linkedin Pin ... the decision with your doctor. What Kind of X-Rays Can Affect the Unborn Child? During most ...

  9. Deep Extragalactic X-Ray Surveys

    NASA Astrophysics Data System (ADS)

    Brandt, W. N.; Hasinger, G.

    2005-09-01

    Deep surveys of the cosmic X-ray background are reviewed in the context of observational progress enabled by the Chandra X-Ray Observatory and the X-Ray Multi-Mirror Mission-Newton. The sources found by deep surveys are described along with their redshift and luminosity distributions, and the effectiveness of such surveys at selecting active galactic nuclei (AGN) is assessed. Some key results from deep surveys are highlighted, including (a) measurements of AGN evolution and the growth of supermassive black holes, (b) constraints on the demography and physics of high-redshift AGN, (c) the X-ray AGN content of infrared and submillimeter galaxies, and (d) X-ray emission from distant starburst and normal galaxies. We also describe some outstanding problems and future prospects for deep extragalactic X-ray surveys.

  10. Rapid soft X-ray fluctuations in solar flares observed with the X-ray polychromator

    NASA Technical Reports Server (NTRS)

    Zarro, D. M.; Saba, J. L. R.; Strong, K. T.

    1986-01-01

    Three flares observed by the Soft X-Ray Polychromator on the Solar Maximum Mission were studied. Flare light curves from the Flat Crystal Spectrometer and Bent Crystal Spectrometer were examined for rapid signal variations. Each flare was characterized by an initial fast (less than 1 min) burst, observed by the Hard X-Ray Burst Spectrometer (HXRBS), followed by softer gradual X-ray emission lasting several minutes. From an autocorrelation function analysis, evidence was found for quasi-periodic fluctuations with rise and decay times of 10 s in the Ca XIX and Fe XXV light curves. These variations were of small amplitude (less than 20%), often coincided with hard X-ray emissions, and were prominent during the onset of the gradual phase after the initial hard X-ray burst. It is speculated that these fluctuations were caused by repeated energy injections in a coronal loop that had already been heated and filled with dense plasma associated with the initial hard X-ray burst.

  11. Monte Carlo study of x-ray cross talk in a variable resolution x-ray detector

    NASA Astrophysics Data System (ADS)

    Melnyk, Roman; DiBianca, Frank A.

    2003-06-01

    A variable resolution x-ray (VRX) detector provides a great increase in the spatial resolution of a CT scanner. An important factor that limits the spatial resolution of the detector is x-ray cross-talk. A theoretical study of the x-ray cross-talk is presented in this paper. In the study, two types of the x-ray cross-talk were considered: inter-cell and inter-arm cross-talk. Both types of the x-ray cross-talk were simulated, using the Monte Carlo method, as functions of the detector field of view (FOV). The simulation was repeated for lead and tungsten separators between detector cells. The inter-cell x-ray cross-talk was maximum at the 34-36 cm FOV, but it was low at small and the maximum FOVs. The inter-arm x-ray cross-talk was high at small and medium FOVs, but it was greatly reduced when variable width collimators were placed on the front surfaces of the detector. The inter-cell, but not inter-arm, x-ray cross-talk was lower for tungsten than for lead separators. From the results, x-ray cross-talk in a VRX detector can be minimized by imaging all objects between 24 cm and 40 cm in diameter with the 40 cm FOV, using tungsten separators, and placing variable width collimators in front of the detector.

  12. Protection of extreme ultraviolet lithography masks. II. Showerhead flow mitigation of nanoscale particulate contamination [Protection of EUV lithography masks II: Showerhead flow mitigation of nanoscale particulate contamination

    DOE PAGES

    Klebanoff, Leonard E.; Torczynski, John R.; Geller, Anthony S.; ...

    2015-03-27

    An analysis is presented of a method to protect the reticle (mask) in an extreme ultraviolet (EUV) mask inspection tool using a showerhead plenum to provide a continuous flow of clean gas over the surface of a reticle. The reticle is suspended in an inverted fashion (face down) within a stage/holder that moves back and forth over the showerhead plenum as the reticle is inspected. It is essential that no particles of 10-nm diameter or larger be deposited on the reticle during inspection. Particles can originate from multiple sources in the system, and mask protection from each source is explicitlymore » analyzed. The showerhead plate has an internal plenum with a solid conical wall isolating the aperture. The upper and lower surfaces of the plate are thin flat sheets of porous-metal material. These porous sheets form the top and bottom showerheads that supply the region between the showerhead plate and the reticle and the region between the conical aperture and the Optics Zone box with continuous flows of clean gas. The model studies show that the top showerhead provides robust reticle protection from particles of 10-nm diameter or larger originating from the Reticle Zone and from plenum surfaces contaminated by exposure to the Reticle Zone. Protection is achieved with negligible effect on EUV transmission. Furthermore, the bottom showerhead efficiently protects the reticle from nanoscale particles originating from the Optics Zone.« less

  13. Behavior of characteristic X-rays from a partial-transmission-type X-ray target.

    PubMed

    Raza, Hamid Saeed; Kim, Hyun Jin; Ha, Jun Mok; Cho, Sung Oh

    2013-10-01

    The angular distribution of characteristic X-rays using a partial-transmission tungsten target was analyzed. Twenty four tallies were modeled to cover a 360° envelope around the target. The Monte Carlo N-Particle (MCNP5) simulation results revealed that the characteristic X-ray flux is not always isotropic around the target. Rather, the flux mainly depends on the target thickness and the energy of the incident electron beam. A multi-energy photon generator is proposed to emit high-energy characteristic X-rays, where the target acts as a filter for the low-energy characteristic X-rays. Copyright © 2013 Elsevier Ltd. All rights reserved.

  14. Inter-satellites x-ray communication system

    NASA Astrophysics Data System (ADS)

    Mou, Huan; Li, Bao-quan

    2017-02-01

    An inter-satellite X-ray communication system is presented in this paper. X-ray has a strong penetrating power without almost attenuation for transmission in outer space when the energy of X-ray photons is more than 10KeV and the atmospheric pressure is lower than 10-1 Pa, so it is convincing of x-ray communication in inter-satellite communication and deep space exploration. Additionally, using X-ray photons as information carriers can be used in some communication applications that laser communication and radio frequency (RF) communication are not available, such as ionization blackout area communication. The inter-satellites X-ray communication system, including the grid modulated X-ray source, the high-sensitivity X-ray detector and the transmitting and receiving antenna, is described explicitly. As the X-ray transmitter, a vacuum-sealed miniature modulated X-ray source has been fabricated via the single-step brazing process in a vacuum furnace. Pulse modulation of X-rays, by means of controlling the voltage value of the grid electrode, is realized. Three focusing electrodes, meanwhile, are used to make the electron beam converge and finally 150μm focusing spot diameter is obtained. The X-ray detector based on silicon avalanche photodiodes (APDs) is chosen as the communication receiver on account of its high temporal resolution and non-vacuum operating environment. Furthermore, considering x-ray emission characteristic and communication distance of X-rays, the multilayer nested rotary parabolic optics is picked out as transmitting and receiving antenna. And as a new concept of the space communication, there will be more important scientific significance and application prospects, called "Next-Generation Communications".

  15. X-MIME: An Imaging X-ray Spectrometer for Detailed Study of Jupiter's Icy Moons and the Planet's X-ray Aurora

    NASA Technical Reports Server (NTRS)

    Elsner, R. F.; Ramsey, B. D.; Waite, J. H.; Rehak, P.; Johnson, R. E.; Cooper, J. F.; Swartz, D. A.

    2004-01-01

    Remote observations with the Chandra X-ray Observatory and the XMM-Newton Observatory have shown that the Jovian system is a source of x-rays with a rich and complicated structure. The planet's polar auroral zones and its disk are powerful sources of x-ray emission. Chandra observations revealed x-ray emission from the Io Plasma Torus and from the Galilean moons Io, Europa, and possibly Ganymede. The emission from these moons is certainly due to bombardment of their surfaces of highly energetic protons, oxygen and sulfur ions from the region near the Torus exciting atoms in their surfaces and leading to fluorescent x-ray emission lines. Although the x-ray emission from the Galilean moons is faint when observed from Earth orbit, an imaging x-ray spectrometer in orbit around these moons, operating at 200 eV and above with 150 eV energy resolution, would provide a detailed mapping (down to 40 m spatial resolution) of the elemental composition in their surfaces. Such maps would provide important constraints on formation and evolution scenarios for the surfaces of these moons. Here we describe the characteristics of X-MIME, an imaging x-ray spectrometer under going a feasibility study for the JIMO mission, with the ultimate goal of providing unprecedented x-ray studies of the elemental composition of the surfaces of Jupiter's icy moons and Io, as well as of Jupiter's auroral x-ray emission.

  16. Characterization of X-Ray Diffraction System with a Microfocus X-Ray Source and a Polycapillary Optic

    NASA Technical Reports Server (NTRS)

    Gubarev, Mikhail; Marshall, Joy K.; Ciszak, Ewa; Ponomarev, Igor

    2000-01-01

    We present here an optimized microfocus x-ray source and polycapillary optic system designed for diffraction of small protein crystals. The x-ray beam is formed by a 5.5mm focal length capillary collimator coupled with a 40 micron x-ray source operating at 46Watts. Measurements of the x-ray flux, the divergence and the spectral characteristics of the beam are presented, This optimized system provides a seven fold greater flux than our recently reported configuration [M. Gubarev, et al., J. of Applied Crystallography (2000) 33, in press]. We now make a comparison with a 5kWatts rotating anode generator (Rigaku) coupled with confocal multilayer focusing mirrors (Osmic, CMF12- 38Cu6). The microfocus x-ray source and polycapillary collimator system delivers 60% of the x-ray flux from the rotating anode system. Additional ways to improve our microfocus x-ray system, and thus increase the x-ray flux will be discussed.

  17. On the alignment and focusing of the Marshall Grazing Incidence X-ray Spectrometer (MaGIXS)

    NASA Astrophysics Data System (ADS)

    Champey, Patrick; Winebarger, Amy; Kobayashi, Ken; Savage, Sabrina; Cirtain, Jonathan; Cheimets, Peter; Hertz, Edward; Golub, Leon; Ramsey, Brian; McCracken, Jeff; Marquez, Vanessa; Allured, Ryan; Heilmann, Ralf K.; Schattenburg, Mark; Bruccoleri, Alexander

    2016-07-01

    The Marshall Grazing Incidence X-ray Spectrometer (MaGIXS) is a NASA sounding rocket instrument that is designed to observe soft X-ray emissions from 24 - 6.0 Å (0.5 - 2.0 keV energies) in the solar atmosphere. For the first time, high-temperature, low-emission plasma will be observed directly with 5 arcsecond spatial resolution and 22 mÅ spectral resolution. The unique optical design consists of a Wolter - I telescope and a 3-optic grazing- incidence spectrometer. The spectrometer utilizes a finite conjugate mirror pair and a blazed planar, varied line spaced grating, which is directly printed on a silicon substrate using e-beam lithography. The grating design is being finalized and the grating will be fabricated by the Massachusetts Institute of Technology (MIT) and Izentis LLC. Marshall Space Flight Center (MSFC) is producing the nickel replicated telescope and spectrometer mirrors using the same facilities and techniques as those developed for the ART-XC and FOXSI mirrors. The Smithsonian Astrophysical Observatory (SAO) will mount and align the optical sub-assemblies based on previous experience with similar instruments, such as the Hinode X-Ray Telescope (XRT). The telescope and spectrometer assembly will be aligned in visible light through the implementation of a theodolite and reference mirrors, in addition to the centroid detector assembly (CDA) - a device designed to align the AXAF-I nested mirrors. Focusing of the telescope and spectrometer will be achieved using the X-ray source in the Stray Light Facility (SLF) at MSFC. We present results from an alignment sensitivity analysis performed on the on the system and we also discuss the method for aligning and focusing MaGIXS.

  18. On the Alignment and Focusing of the Marshall Grazing Incidence X-ray Spectrometer (MaGIXS)

    NASA Technical Reports Server (NTRS)

    Champey, Patrick; Winebarger, Amy; Kobayashi, Ken; Savage, Sabrina; Cirtain, Jonathan; Cheimets, Peter; Hertz, Edward; Golub, Leon; Ramsey, Brian; McCracken, Jeff

    2016-01-01

    The Marshall Grazing Incidence X-ray Spectrometer (MaGIXS) is a NASA sounding rocket instrument that is designed to observe soft X-ray emissions from 24 - 6.0 A (0.5 - 2.0 keV energies) in the solar atmosphere. For the rst time, high-temperature, low-emission plasma will be observed directly with 5 arcsecond spatial resolution and 22 mA spectral resolution. The unique optical design consists of a Wolter - I telescope and a 3-optic grazing- incidence spectrometer. The spectrometer utilizes a nite conjugate mirror pair and a blazed planar, varied line spaced grating, which is directly printed on a silicon substrate using e-beam lithography. The grating design is being nalized and the grating will be fabricated by the Massachusetts Institute of Technology (MIT) and Izentis LLC. Marshall Space Flight Center (MSFC) is producing the nickel replicated telescope and spectrometer mirrors using the same facilities and techniques as those developed for the ART-XC and FOXSI mirrors. The Smithsonian Astrophysical Observatory (SAO) will mount and align the optical sub-assemblies based on previous experience with similar instruments, such as the Hinode X-Ray Telescope (XRT). The telescope and spectrometer assembly will be aligned in visible light through the implementation of a theodolite and reference mirrors, in addition to the centroid detector assembly (CDA) { a device designed to align the AXAF-I nested mirrors. Focusing of the telescope and spectrometer will be achieved using the X-ray source in the Stray Light Facility (SLF) at MSFC. We present results from an alignment sensitivity analysis performed on the on the system and we also discuss the method for aligning and focusing MaGIXS.

  19. Print-to-pattern dry film photoresist lithography

    NASA Astrophysics Data System (ADS)

    Garland, Shaun P.; Murphy, Terrence M., Jr.; Pan, Tingrui

    2014-05-01

    Here we present facile microfabrication processes, referred to as print-to-pattern dry film photoresist (DFP) lithography, that utilize the combined advantages of wax printing and DFP to produce micropatterned substrates with high resolution over a large surface area in a non-cleanroom setting. The print-to-pattern methods can be performed in an out-of-cleanroom environment making microfabrication much more accessible to minimally equipped laboratories. Two different approaches employing either wax photomasks or wax etchmasks from a solid ink desktop printer have been demonstrated that allow the DFP to be processed in a negative tone or positive tone fashion, respectively, with resolutions of 100 µm. The effect of wax melting on resolution and as a bonding material was also characterized. In addition, solid ink printers have the capacity to pattern large areas with high resolution, which was demonstrated by stacking DFP layers in a 50 mm × 50 mm woven pattern with 1 mm features. By using an office printer to generate the masking patterns, the mask designs can be easily altered in a graphic user interface to enable rapid prototyping.

  20. Atmospheric electron x-ray spectrometer

    NASA Technical Reports Server (NTRS)

    Feldman, Jason E. (Inventor); George, Thomas (Inventor); Wilcox, Jaroslava Z. (Inventor)

    2002-01-01

    The present invention comprises an apparatus for performing in-situ elemental analyses of surfaces. The invention comprises an atmospheric electron x-ray spectrometer with an electron column which generates, accelerates, and focuses electrons in a column which is isolated from ambient pressure by a:thin, electron transparent membrane. After passing through the membrane, the electrons impinge on the sample in atmosphere to generate characteristic x-rays. An x-ray detector, shaping amplifier, and multi-channel analyzer are used for x-ray detection and signal analysis. By comparing the resultant data to known x-ray spectral signatures, the elemental composition of the surface can be determined.