Sample records for xi euv emission

  1. Extreme Ultraviolet Emission Lines of Iron Fe XI-XIII

    NASA Astrophysics Data System (ADS)

    Lepson, Jaan; Beiersdorfer, P.; Brown, G. V.; Liedahl, D. A.; Brickhouse, N. S.; Dupree, A. K.

    2013-04-01

    The extreme ultraviolet (EUV) spectral region (ca. 20--300 Å) is rich in emission lines from low- to mid-Z ions, particularly from the middle charge states of iron. Many of these emission lines are important diagnostics for astrophysical plasmas, providing information on properties such as elemental abundance, temperature, density, and even magnetic field strength. In recent years, strides have been made to understand the complexity of the atomic levels of the ions that emit the lines that contribute to the richness of the EUV region. Laboratory measurements have been made to verify and benchmark the lines. Here, we present laboratory measurements of Fe XI, Fe XII, and Fe XIII between 40-140 Å. The measurements were made at the Lawrence Livermore electron beam ion trap (EBIT) facility, which has been optimized for laboratory astrophysics, and which allows us to select specific charge states of iron to help line identification. We also present new calculations by the Hebrew University - Lawrence Livermore Atomic Code (HULLAC), which we also utilized for line identification. We found that HULLAC does a creditable job of reproducing the forest of lines we observed in the EBIT spectra, although line positions are in need of adjustment, and line intensities often differed from those observed. We identify or confirm a number of new lines for these charge states. This work was supported by the NASA Solar and Heliospheric Program under Contract NNH10AN31I and the DOE General Plasma Science program. Work was performed in part under the auspices of the Department of Energy by Lawrence Livermore National Laboratory under Contract DEAC52-07NA27344.

  2. A Search for EUV Emission from the O4f Star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1996-01-01

    We obtained a 140 ks EUVE observation of the O4f star, zeta Puppis. Because of its low ISM column density and highly ionized stellar wind, a unique EUV window is accessible for viewing between 128 to 140 A, suggesting that this star may he the only O star observable with the EUVE. Although no SW spectrometer wavelength bin had a signal to noise greater than 3, a bin at 136 A had a signal to noise of 2.4. This bin is where models predict the brightest line due to OV emission should occur. We present several EUV line emission models. These models were constrained by fitting the ROSAT PSPC X-ray data and our EUVE data. If the OV emission is real, the best fits to the data suggest that there are discrepancies in our current understanding of EUV/X-ray production mechanisms. In particular, the emission measure of the EUV source is found to be much greater than the total wind emission measure, suggesting that the EUV shock must produce a very large density enhancement. In addition, the location of the EUV and X-ray shocks are found to be separated by approx. 0.3 stellar radii, but the EUV emission region is found to be approx. 400 times larger than the X-ray emission region. We also discuss the implications of a null detection and present relevant upper limits.

  3. Discovery of Strong EUV-induced Balmer Emission in the New WD+dM Binary EUVE J2013+40.0 (RE 2013+400)

    NASA Astrophysics Data System (ADS)

    Thorstensen, J. R.; Vennes, S.

    1993-12-01

    The binary system EUVE J2013+40.0 (= RE 2013+400) was discovered in the EUV-selected sample of white dwarfs identified in the course of the ROSAT Wide Field Camera (WFC) all-sky survey (Pounds et al. 1993, MNRAS, 260, 77). The intense extreme ultraviolet (EUV) emission from the hot white dwarf (DAO type) was also detected in the course of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (Bowyer et al. 1993, ApJ, submitted), and the subsequent optical identification campaign suggested the association of EUVE J2013+40.0 with the Feige 24 class of binary systems (see Vennes & Thorstensen, these proceedings). Such systems consist of a hot H-rich white dwarf (DA/DAO) and a red dwarf companion (dM) and are characterized by strong, narrow, variable Balmer emission. We obtained spectroscopy with 4 Angstroms resolution at the Michigan-Dartmouth-MIT Hiltner 2.4 m, covering the Hα and Hβ range. The Hα emission line velocity and equivalent widths varied with a period of 0.708 +/- 0.003 d; the velocity semiamplitude is 89 +/- 3 km s(-1) . The emission equivalent width reaches maximum strength 0.251 +/- 0.007 cycle after maximum emission-line velocity, that is, when the emission source reaches superior conjunction. This is just as expected if the emission arises from reprocessing of the EUV radiation incident upon the face of the dM star facing the white dwarf, as proposed for Feige 24 by Thorstensen et al. (1978, ApJ, 223, 260). EUVE J2013+40.0 is one of a handful of WD+dM binary systems in which the illumination effect is observed with unambiguous clarity. By comparing Feige 24 and EUVE J2013+40.0, and modelling the white dwarf EUV emission and red dwarf Balmer emission, we constrain the orbital inclinations. Additional spectroscopy of EUVE J2013+40.0 is being scheduled to determine the component masses. These are important input data for the study of the close binary systems which arise from common envelope evolution. This work is supported by a forthcoming NASA

  4. The Origin of the EUV Emission in Her X-1

    NASA Technical Reports Server (NTRS)

    Leahy, D. A.; Marshall, H.

    1999-01-01

    Her X-1 exhibits a strong orbital modulation of its EUV flux with a large decrease around time of eclipse of the neutron star, and a significant dip which appears at different orbital phases at different 35-day phases. We consider observations of Her X-1 in the EUVE by the Extreme Ultraviolet Explorer (EUVE), which includes data from 1995 near the end of the Short High state, and date from 1997 at the start of the Short High state. The observed EUV lightcurve has bright and faint phases. The bright phase can be explained as the low energy tail of the soft x-ray pulse. The faint phase emission has been modeled to understand its origin. We find: the x-ray heated surface of HZ Her is too cool to produce enough emission; the accretion disk does not explain the orbital modulation; however, reflection of x-rays off of HZ Her can produce the observed lightcurve with orbital eclipses. The dip can be explained by shadowing of the companion by the accretion disk. We discuss the constraints on the accretion disk geometry derived from the observed shadowing.

  5. On the Absence of EUV Emission from Comet C/2012 S1 (ISON)

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, W. Dean

    2016-01-01

    When the sungrazing comet C2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun's surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This null result is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. By comparing these properties with those of sungrazing comet C2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C2012 S1 (ISON) was at least a factor of four less than that of C2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.

  6. The EUV Emission in Comet-Solar Corona Interactions

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William Dean; Schrijver, Carolus J.; Brown, John C.; Battams, Karl; Saint-Hilaire, Pasal; Liu, Wei; Hudson, Hugh S.

    2011-01-01

    The Atmospheric Imaging Assembly (AlA) on the Solar Dynamics Observatory (SDO) viewed a comet as it passed through the solar corona on 2011 July 5. This was the first sighting of a comet by a EUV telescope. For 20 minutes, enhanced emission in several of the AlA wavelength bands marked the path of the comet. We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Water ice in the comet rapidly sublimates as it approaches the Sun. This water vapor is then photodissociated, primarily by Ly-alpha, by the solar radiation field to create atomic Hand O. Other molecules present in the comet also evaporate and dissociate to give atomic Fe and other metals. Subsequent ionization of these atoms can be achieved by a number of means, including photoionization, electron impact, and charge exchange with coronal protons and other highly-charged species. Finally, particles from the cometary atmosphere are thermalized to the background temperature of the corona. Each step could cause emission in the AlA bandpasses. We will report here on their relative contribution to the emission seen in the AlA telescopes.

  7. ON THE ABSENCE OF EUV EMISSION FROM COMET C/2012 S1 (ISON)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryans, Paul; Pesnell, W. Dean

    2016-05-10

    When the sungrazing comet C/2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun’s surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This “null result” is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. Bymore » comparing these properties with those of sungrazing comet C/2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C/2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C/2012 S1 (ISON) was at least a factor of four less than that of C/2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.« less

  8. A study of EUV emission from the O4f star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1995-01-01

    Our 20 ks observation did not allow us to carry out our primary objective, i.e., to test the limitations of deeply embedded EUV and X-ray sources. However, it did provide a very useful constraint in our analysis of a newly acquired high S/N ROSAT PSPC X-ray spectrum of Zeta Pup. In addition, modifications to our stellar wind opacity code have been preformed to investigate the sensitivity of the EUV opacity energy range to different photospheric model flux inputs and different wind structures. These analyses provided the justification for a 140 ks follow up EUVE Cycle III observation of this star. We have recently been informed that our requested observation has been accepted as a Type 1 target for Cycle III. The remainder of this report focuses on the following: (1) a brief background on the status of X-ray emission from OB stars; (2) a discussion on the importance of EUV observations; (3) a discussion of our scientific objectives; and (4) a summary of our technical approach for our Cycle III observation (including the predicted EUV counts for various lines.)

  9. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  10. Continued Analysis of EUVE Solar System Observations

    NASA Technical Reports Server (NTRS)

    Gladstone, G. Randall

    2001-01-01

    This is the final report for this project. We proposed to continue our work on extracting important results from the EUVE (Extreme UltraViolet Explorer) archive of lunar and jovian system observations. In particular, we planned to: (1) produce several monochromatic images of the Moon at the wavelengths of the brightest solar EUV emission lines; (2) search for evidence of soft X-ray emissions from the Moon and/or X-ray fluorescence at specific EUV wavelengths; (3) search for localized EUV and soft X-ray emissions associated with each of the Galilean satellites; (4) search for correlations between localized Io Plasma Torus (IPT) brightness and volcanic activity on Io; (5) search for soft X-ray emissions from Jupiter; and (6) determine the long term variability of He 58.4 nm emissions from Jupiter, and relate these to solar variability. However, the ADP review panel suggested that the work concentrate on the Jupiter/IPT observations, and provided half the requested funding. Thus we have performed no work on the first two tasks, and instead concentrated on the last three. In addition we used funds from this project to support reduction and analysis of EUVE observations of Venus. While this was not part of the original statement of work, it is entirely in keeping with extracting important results from EUVE solar system observations.

  11. SOHO/CDS Measurements of Coronal EUV Polarization above the Limb

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.; Oegerle, William R. (Technical Monitor)

    2002-01-01

    Attempts to measure polarization in coronal EUV emission above the solar limb have been made using the SOHO/CDS normal-incidence spectrometer which has a polarization sensitivity of about 50%, a property that causes variations in intensity response as a function of the spacecraft's roll angle for polarized light. Such observations were made on the disk and up to 0.22 solar radii above the solar limb in a number of EUV lines during two special roll-maneuvers of the SOHO spacecraft. Measurements of intensity gradients were made above a modestly active equatorial region in 1997 and above a relatively cool polar region in 2001. Observed emission lines include He I 584A, He II 304A, 0 IV 555+610A, 0 V 630A, Mg IX 368A, Mg X 610+625A, and Si XI 303A, formed at temperatures that evenly cover the range in logT from 4.1 to 6.2. Near the disk, measured intensities of all lines fall off exponentially at different rates that can be used to determine the density scale-heights of the emitting plasma, since this emission is dominated by collisional excitation with an Ne-squared dependence. Assuming hydrostatic equilibrium, the intensity gradient for each line can then be converted into a 'scale-height temperature', which is found to be closely related to the ionization temperature of each line over the wide range of lines and solar conditions observed. Beyond a certain distance, intensity gradients of the cooler lines switch over to a flatter exponential slope, suggesting that this radiation is dominated by resonance scattering which varies as Ne to the first power. Such radiation should also be linearly polarized in the plane containing the line-of-sight and the solar center, a signature that would strongly confirm this interpretation.

  12. Coordinated XTE/EUVE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, ASCA, and XTE observed the eclipsing binary Algol (Beta Per) from 1-7 Feb. 96. The coordinated observation covered approximately 2 binary orbits of the system, with a net exposure of approximately 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointing), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the Fe abundance in the Algol system.

  13. Coronal Abundances In Xi Boo A, Abundances in the Corona of FK Aqr and the Origin of Cosmic Ray

    NASA Technical Reports Server (NTRS)

    Drake, Jeremy; Oliversen, Ronald J. (Technical Monitor)

    2002-01-01

    Both Xi Boo A and FK Agr observations have been acquired, reduced and analysed. The results of the Xi Boo A study were published in ApJ (Drake, J.J., Kashyap, V., 2001, Up, 547, 428). Work is ongoing to determine the coronet metallicity of FK Aqr based on EUVE spectra and DO photometer data, using the techniques developed in the Drake & Kashyap paper. Preliminary synthetic spectra for FK Aqr have been developed, and further work to heap define the hot plasma emission measure distribution is underway. Progress on spectral analysis has been hampered following the post-doctoral scientist, Vinay Kashyap, taking up new position. A suitable replacement has been difficult to find, but new hire is expected shortly. However, good progress has been made on analysis of DO photometric variations in FK Age, with a publication now ready (Kashyap, V., Drake, J.J., Guedel, M., Audard, M.) for submission to a mainstream astrophysical journal.

  14. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  15. Simultaneous ASCA and EUVE Observations of Capella

    NASA Astrophysics Data System (ADS)

    Brickhouse, N. S.; Dupree, A. K.; Edgar, R. J.; Drake, S. A.; White, N. E.; Liedahl, D. A.; Singh, K. P.

    1997-05-01

    We present simultaneous observations taken in Mar 1996 of the bright stellar coronal source Capella (HD 34029) with the ASCA and EUVE satellites. Previous EUVE observations of Fe emission lines (Fe VIII --- XXIV, excluding XVII) revealed a narrow emission measure feature at 6 x 10(6) K, which has proven to be remarkably stable over several years (flux from Fe XVIII and XIX has not varied by more than 30%), while lines formed at higher temperatures have shown intensity variations up to factors of 4. Furthermore, extremely high signal-to-noise spectra obtained by summing all EUVE measurements show that the Fe/H abundance ratio is consistent with solar photospheric. (See Dupree et al. 1993, ApJ, 418, L41; Brickhouse, Raymond, & Smith 1995, ApJSupp, 97, 551; Brickhouse 1996, IAU Coll. 152, Astrophysics in the Extreme Ultraviolet, Bowyer & Malina, eds (Kluwer), 141.) Meanwhile, the ASCA data of Capella have proven notoriously difficult to analyze. The performance verification (PV) phase data suggested a somewhat subsolar Fe abundance, but models were in poor agreement with the data (chi (2red) ~ 6). (See Drake 1996, Conf. on Cosmic Abundances, U. Maryland). Since the emission lines observed by EUVE are formed at the same emitting temperatures as the X-ray spectrum (Capella is ``soft'' such that very little flux is observed above 2 keV), the emission measure distribution derived from EUVE lines should provide a direct prediction of the X-ray spectrum, with only the relative abundances of species other than Fe as free parameters. Like the PV data, the new ASCA spectrum is not well fit by any of the standard models. Applying the constraints imposed by EUVE does not make a major improvement in the fit --- multi-thermal, variable abundance models such as Raymond-Smith and MEKAL do not provide any acceptable fit (chi (2red) > 5). We discuss our efforts to understand the X-ray spectrum, including studies of the uncertainties in the atomic data and of the underlying assumptions

  16. Coordinated ASCA/EUVE/XTE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, Advanced Satellite for Cosmology and Astrophysics (ASCA), and X-ray Timing Explorer (XTE) observed the eclipsing binary Algol (Beta Per) from 1-7 Feb 1996. The coordinated observation covered approx. 2 binary orbits of the system, with a net exposure of approx. 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointings), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the abundance in the Algol system.

  17. SOHO/CDS Measurements of Coronal EUV Polarization above the Limb

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2002-01-01

    Attempts to measure polarization in coronal extreme ultraviolet (EUV) emission above the solar limb have been made using the SOHO/CDS normal-incidence spectrometer which has a polarization sensitivity of about 50%, a property that causes variations in intensity response as a function of the spacecraft's roll angle for polarized light. Such observations were made on the disk and up to 0.22 solar radii above the solar limb in a number of EUV lines during two special roll-maneuvers of the SOHO spacecraft. Measurements of intensity gradients were made above a modestly active equatorial region in 1997 and above a relatively cool polar region in 2001. Observed emission lines include He I 584 A, He II 304 A, O IV 555+610 A, O V 630 A, Mg IX 368 A, Mg X 610+625 A, and Si XI 303 A, formed at temperatures that evenly cover the range in log T from 4.1 to 6.2. Near the disk, measured intensities of all lines fall off exponentially at different rates that can be used to determine the density scale-heights of the emitting plasma, since this emission is dominated by collisional excitation with an Ne-squared dependence. Assuming hydrostatic equilibrium, the intensity gradient for each line can then be converted into a 'scale-height temperature', which is found to be closely related to the ionization temperature of each line over the wide range of lines and solar conditions observed. Thus the large-scale corona is remarkably uniform, even though clearly displaying a great deal of structure and non-uniformity on smaller spatial scales. Beyond a certain distance, intensity gradients of the cooler lines switch over to a flatter exponential slope, suggesting that this radiation is dominated by resonance scattering which varies as Ne to the first power. Such radiation should also be linearly polarized in the plane containing the line-of-sight and the solar center, a signature that would strongly confirm this interpretation.

  18. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  19. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  20. CME Expansion as the Driver of Metric Type II Shock Emission as Revealed by Self-consistent Analysis of High-Cadence EUV Images and Radio Spectrograms

    NASA Astrophysics Data System (ADS)

    Kouloumvakos, A.; Patsourakos, S.; Hillaris, A.; Vourlidas, A.; Preka-Papadema, P.; Moussas, X.; Caroubalos, C.; Tsitsipis, P.; Kontogeorgos, A.

    2014-06-01

    On 13 June 2010, an eruptive event occurred near the solar limb. It included a small filament eruption and the onset of a relatively narrow coronal mass ejection (CME) surrounded by an extreme ultraviolet (EUV) wave front recorded by the Solar Dynamics Observatory's (SDO) Atmospheric Imaging Assembly (AIA) at high cadence. The ejection was accompanied by a GOES M1.0 soft X-ray flare and a Type-II radio burst; high-resolution dynamic spectra of the latter were obtained by the Appareil de Routine pour le Traitement et l'Enregistrement Magnetique de l'Information Spectral (ARTEMIS IV) radio spectrograph. The combined observations enabled a study of the evolution of the ejecta and the EUV wave front and its relationship with the coronal shock manifesting itself as metric Type-II burst. By introducing a novel technique, which deduces a proxy of the EUV compression ratio from AIA imaging data and compares it with the compression ratio deduced from the band-split of the Type-II metric radio burst, we are able to infer the potential source locations of the radio emission of the shock on that AIA images. Our results indicate that the expansion of the CME ejecta is the source for both EUV and radio shock emissions. Early in the CME expansion phase, the Type-II burst seems to originate in the sheath region between the EUV bubble and the EUV shock front in both radial and lateral directions. This suggests that both the nose and the flanks of the expanding bubble could have driven the shock.

  1. EUVE and IR observations of the Polars HU Aqr and AR UMa

    NASA Astrophysics Data System (ADS)

    Howell, S.; Ciardi, D.

    1999-12-01

    Simultaneous EUVE and ground-based near-infrared J and K observations of the magnetic CV HU Aqr were performed. The observations occurred during a super-high state never before observed in HU Aqr. The average EUVE count-rate was 30-60 times higher than had been measured previously, allowing us to present the first ever EUV spectra of HU Aqr. The near-infrared observations show a corresponding flux increase of 2-3 times over previous J and K observations. However, the near-infrared eclipse minimum during this super-high state are the same as seen in previous observations, indicating that the eclipse in the near-infrared is total. We present a detailed comparison of the EUV and near-infrared emission of HU Aqr as a function of orbital phase and discuss the geometry and physical properties of the high energy and infrared emitting regions. AR UMa is the brightest EUV source yet observed with the EUVE satellite and is also the polar with the largest magnetic field, 250 MG. EUVE observations of the polar AR UMa have allowed, for the first time, EUV time-resolved spectral analysis and radial velocity measurements. We present EUV phase-resolved photometry and spectroscopy and show that the He 304 emission line is not produced on the heated face of the secondary star, but emanates from the inner illuminated regions of the coupling region and accretion stream. We comment on the overall structure of the accretion geometry as well. The authors acknowledge partial support of the research by NASA cooperative agreement NCC5-138 via an EUVE guest Observer mini-grant.

  2. Relationship between hard X-ray and EUV sources in solar flares

    NASA Technical Reports Server (NTRS)

    Kane, S. R.; Frost, K. J.; Donnelly, R. F.

    1979-01-01

    The high time resolution hard X-ray (not less than 15 keV) observations of medium and large impulsive solar flares made with the OSO 5 satellite are compared with the simultaneous ground-based observations of 10-1030 A EUV flux made via sudden frequency deviations (SFD) at Boulder. For most flares the agreement between the times of maxima of the impulsive hard X-ray and EUV emissions is found to be consistent with earlier studies (not less than 1 s). The rise and decay times of the EUV emission are larger than the corresponding times for X-rays not less than 30 keV. When OSO 5 hard X-ray measurements are combined with those made by OGO1, OGO 3, OGO 5, and TD 1A satellites, it is found that there is a nearly linear relationship between the energy fluxes of impulsive EUV emission and X-rays not less than 10 keV over a wide range of flare magnitudes. A model involving only a 'partial precipitation' of energetic electrons and consisting of both thick and thin target hard X-ray sources is examined.

  3. EUV emission spectra in collisions of highly charged tantalum ions with nitrogen and oxygen molecules

    NASA Astrophysics Data System (ADS)

    Tanuma, Hajime; Numadate, Naoki; Uchikura, Yoshiyuki; Shimada, Kento; Akutsu, Takuto; Long, Elaine; O'Sullivan, Gerry

    2017-10-01

    We have performed ion beam collision experiments using multiply charged tantalum ions and observed EUV (extreme ultra-violet) emission spectra in collisions of ions with molecular targets, N2 and O2. Broad UTAs (un-resolved transition arrays) from multiply charged Ta ions were observed, and the mean wavelengths of the UTAs shifted and became shorter at higher charge statea of Ta ions. These UTAs may be attributed to the 4f-5d and 4f-5g transitions. Not only the UTA emission from incident ions, but also the sharp emission lines from multiply charged fragment atomic ions were observed. Production of temporary highly charged molecular ions, their kinetic energy and fragmentation processes have been investigated with coincident detection technique. However, the observation of emission from the fragments might be for the first time. The formation mechanisms of the multiply charged fragment atomic ions from target molecules are discussed.

  4. Detailed Modeling of EUV Recombination and Contaminating Emissions Near 911 Å: A New Means of Dayside Ionospheric Sensing

    NASA Astrophysics Data System (ADS)

    Dymond, K.; Budzien, S. A.; Coker, C.; Nicholas, A. C.; Stephan, A. W.; Bishop, R. L.; Christensen, A. B.; Hecht, J. H.; Straus, P. R.

    2012-12-01

    The 911 Å emission is produced by radiative recombination of ionospheric O+ ions and electrons, which makes it useful for satellite remote sensing of the ionosphere during the daytime and at night. However, previous measurements of the 911 Å emission made by sounding rockets during the daytime, at altitudes less than 300 km, indicated that the emission was either very weak or non-existent. Furthermore, the daytime spectrum was shown to be contaminated by other EUV emissions produced by molecular nitrogen, thus suggesting that the 911 Å was useless as a dayside ionospheric diagnostic. Yet, satellite-based measurements made by the Low Resolution Airglow and Aurora Spectrograph (LORAAS) instrument launched aboard the Advanced Research and Global Observation Satellite (ARGOS), which operated between mid-May 1999 and April 2002 at an altitude of ~830 km, showed the emission to be present and strong enough to be accurately measured and inverted; those inversions were validated against ionosonde measurements and demonstrated the possibility of using the 911 Å emission for daytime ionospheric sensing. Recent measurements made by the Remote Atmospheric and Ionospheric Detection System (RAIDS) currently in operation aboard the International Space Station, at an altitude of 340 km, show that the daytime EUV spectrum is not as strongly contaminated as previously thought; the RAIDS measurements are shown to be in agreement with LORAAS measurements made between 1999-2002. A new analysis of the daytime and nighttime production of the 911 Å emission and the contaminating emissions that takes absorption by O, O2, and N2 into account shows that viewing geometry effects and absorption can explain these seemingly disparate observations. This analysis is shown to be in agreement with the RAIDS, LORAAS, and other recent observations. Simulations of the dayglow measurements are presented confirming that, although contaminated, the measurements can still provide an accurate measure

  5. Rapid increase of ozone concentrations in Xi'an, China: Anthropogenically or naturally?

    NASA Astrophysics Data System (ADS)

    Wu, J.; Li, G.; Junji, C.

    2017-12-01

    The air quality in the Guanzhong basin, China has deteriorated recently caused by growing industries, city expansions, and increasing transportation activity. We report here a substantial increasing trend of ozone (O3) concentrations in Xi'an, the largest city of the basin, and the average observed O3 concentration in the afternoon during summertime has increased by 39% from 2013 to 2016. There are two main possible reasons for the rapid O3 increase. Motor vehicle has been reported to increase by 35% in Xi'an, which enhances the O3 precursors emissions to facilitate the O3 formation. In addition, the surface solar radiation at the meteorological site in Xi'an has been observed to intensify by 30%, which increases the photolysis rates to expedite the O3 production. A persistent high O3 episode from 16 to 22 June 2016 in Xi'an has been simulated using the WRF-CHEM model to evaluate the contribution of the transportation emission and solar radiation enhancement on the O3 trend. The model generally performs reasonably well in simulating the temporal variation and spatial distribution of near-surface O3 and NO2 concentrations against measurements in Xi'an. Sensitivity studies have revealed that the enhancement of transportation emissions and the solar radiation explains about 70% of the O3 trend from 2013 to 2016. Considering that large amounts of biogenic emissions are released over the Qinling Mountains on the south of Xi'an, which can be delivered to Xi'an under favorable meteorological conditions, enhancing O3 formation. Therefore, future studies need to be performed to evaluate impacts of the solar radiation enhancement on the biogenic emissions and further the O3 formation in Xi'an.

  6. Mixing {Xi}--{Xi}' Effects and Static Properties of Heavy {Xi}'s

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aliev, T. M.; Ozpineci, A.; Zamiralov, V. S.

    It is shown the importance of mixing of heavy baryons {Xi}--{Xi}' with the new quantum numbers for analysis of its characteristics. The quark model of Ono is used as an example. Masses of new baryons as well as mixing angles of the states {Xi}--{Xi}' are obtained. The same reasoning is shown to be valid for the interpolating currents of these baryons in the framework of the QCD sum rules.

  7. The Obama - Xi Accord: A Need for Further Action

    NASA Astrophysics Data System (ADS)

    Tribett, W. R.; Hope, A. P.; Canty, T. P.; Salawitch, R. J.

    2015-12-01

    Presidents Barrack Obama of the United States and Jinping Xi of China recently announced a bilateral framework to reduce the total carbon emissions of their respective countries. The U.S. agreed to reduce annual carbon emissions such that by 2025, emissions would be 27% below 2005 levels. China agreed to achieve peak carbon emissions around 2030 coupled with a best effort to peak early. Here we analyze the implications of the Obama-Xi accord for total global carbon emissions (GCE) out to year 2060, using projections of population, economic growth, and carbon intensity for the rest of the world as well as various assumptions regarding how emissions from the U.S. and China will evolve after the timeframe of the Obama-Xi accord. Our GCE projections will be compared to those of the four Representative Concentration Pathway (RCP) emission scenarios used in the IPCC Fifth Assessment Report (AR5). The Obama-Xi accord is shown to be a meaningful first step: if followed, the actual GCE will likely fall below RCP 8.5 between now and 2060. The U.S., China, and rest of the world presently emit 4.5, 2.0, and 1.1 tonne of carbon per person per year (tpy), respectively. We show that if the world's nations adopt a strategy of "Contraction and Convergence", such that per capita emission for each country reaches 1.0 tpy by 2060, actual GCE will approach that of RCP 4.5 by year 2060. Such action may be needed to reduce the risk of the most dire global warming forecasts within IPCC AR5.

  8. Prospective EUV observations of hot DA white dwarfs with the EUV Explorer

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Malina, Roger F.; Bowyer, Stuart

    1987-01-01

    The Extreme Ultraviolet Explorer (EUVE) will perform a high sensitivity EUV all-sky survey. A major category of sources which will be detected with the EUVE instruments consists of hot white dwarfs. Detailed preliminary studies of synthetic EUV observations of white dwarfs have been carried out using the predicted EUVE instrumental response functions. Using available information regarding space densities of white dwarfs and the distribution of neutral hydrogen in the interstellar medium, the numbers of DA white dwarfs which will be detectable in the different EUV bandpasses have been estimated.

  9. Study of extreme-ultraviolet emission and properties of a coronal streamer from PROBA2/SWAP, HINODE/EIS and Mauna Loa Mk4 observations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goryaev, F.; Slemzin, V.; Vainshtein, L.

    2014-02-01

    Wide-field extreme-ultraviolet (EUV) telescopes imaging in spectral bands sensitive to 1 MK plasma on the Sun often observe extended, ray-like coronal structures stretching radially from active regions to distances of 1.5-2 R {sub ☉}, which represent the EUV counterparts of white-light streamers. To explain this phenomenon, we investigated the properties of a streamer observed on 2010 October 20 and 21, by the PROBA2/SWAP EUV telescope together with the Hinode/EIS (HOP 165) and the Mauna Loa Mk4 white-light coronagraph. In the SWAP 174 Å band comprising the Fe IX-Fe XI lines, the streamer was detected to a distance of 2 Rmore » {sub ☉}. We assume that the EUV emission is dominated by collisional excitation and resonant scattering of monochromatic radiation coming from the underlying corona. Below 1.2 R {sub ☉}, the plasma density and temperature were derived from the Hinode/EIS data by a line-ratio method. Plasma conditions in the streamer and in the background corona above 1.2 R {sub ☉} from the disk center were determined by forward-modeling the emission that best fit the observational data in both EUV and white light. It was found that the plasma in the streamer above 1.2 R {sub ☉} is nearly isothermal, with a temperature of T = 1.43 ± 0.08 MK. The hydrostatic scale-height temperature determined from the evaluated density distribution was significantly higher (1.72 ± 0.08 MK), which suggests the existence of outward plasma flow along the streamer. We conclude that, inside the streamer, collisional excitation provided more than 90% of the observed EUV emission, whereas, in the background corona, the contribution of resonance scattering became comparable with that of collisions at R ≳ 2 R {sub ☉}.« less

  10. Measurements of density dependent intensity ratios of extreme ultraviolet line emission from Fe X, XI, and XII

    NASA Astrophysics Data System (ADS)

    Shimizu, Erina; Ali, Safdar; Tsuda, Takashi; Sakaue, Hiroyuki A.; Kato, Daiji; Murakami, Izumi; Hara, Hirohisa; Watanabe, Tetsuya; Nakamura, Nobuyuki

    2017-05-01

    We report high-resolution density dependent intensity ratio measurements for middle charge states of iron in the extreme ultraviolet (EUV) spectral wavelength range of 160-200 Å. The measurements were performed at the Tokyo EBIT laboratory by employing a flat-field grazing incidence spectrometer installed on a low energy compact electron beam ion trap. The intensity ratios for several line pairs stemming from Fe X, Fe XI and Fe XII were extracted from spectra collected at the electron beam energies of 340 and 400 eV by varying the beam current between 7.5 and 12 mA at each energy. In addition, the effective electron densities were obtained experimentally by imaging the electron beam profile and ion cloud size with a pinhole camera and visible spectrometer, respectively. In this paper, the experimental results are compared with previous data from the literature and with the present calculations performed using a collisional-radiative model. Our experimental results show a rather good agreement with the calculations and previous reported results.

  11. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    NASA Astrophysics Data System (ADS)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  12. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  13. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    NASA Technical Reports Server (NTRS)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  14. Coronal Diagnostics of Intermediate Activity Star XI Boo A

    NASA Technical Reports Server (NTRS)

    Drake, Jeremy

    2005-01-01

    The analysis of Xi Boo A proved difficult to adapt to our line-by-line approach because of the strong wings of the RGS instrumental profile, as has been detailed in earlier reports. While progress was also delayed because of problems in using SAS v4, we succeeded in the past year or so to bring the analysis to conclusion. Abundances have been derived using both EPIC and RGS data, confirming earlier EUVE findings of a mild solar-like FIP effect, though with some evidence of a turn-up in abundances of elements with higher FIP. Plasma densities appear normal for a moderately active stellar corona. Xi Boo A nicely bridges the gap between the very active stars and stars like the Sun, and it indeed does appear that these are the stars in which the solar-like FIP effects begins to change to the "inverse FIP" type of effect seen in the very active stars. Probing this divide was the main goal of the proposal. These results are in the process of being prepared for publication, though we have not decided the target journal as yet.

  15. Temporal variations of solar EUV, UV, and 10,830-A radiations

    NASA Technical Reports Server (NTRS)

    Donnelly, R. F.; Hinteregger, H. E.; Heath, D. F.

    1986-01-01

    The temporal characteristics of the full-disk chromospheric EUV fluxes agree well with those of the ground-based measurements of the chromospheric He I absorption line at 10,830 A and differ systematically from those of the coronal EUV and 10.7-cm flux. The ratio of the flux increase during the rise of solar cycle 21 to that during solar rotation variations is uniformly high for the chromospheric EUV and corroborating 10,830-A fluxes, highest for the transition region and 'cool' coronal EUV fluxes (T less than 2 x 10 to the 6th K), and lowest for the 'hot' coronal EUV and 10.7-cm flux. The rise and decay rates of episodes of major activity progress from those for the hot coronal EUV lines and the 10.7-cm flux to slower values for the chromospheric H Lyman alpha line, 10,830-A line, and photospheric 2050-A UV flux. It is suggested that active region remnants contribute significantly to the solar cycle increase and during the decay of episodes of major activity. The ratio of power in 13-day periodicity to that for 27 days in high (1/3) for the photospheric UV flux, medium (1/6) for the chromospheric EUV and 10,830-A fluxes, and small to negligible for the hot coronal EUV fluxes. These ratios are used to estimate the dependence of active region emission on the solar central meridian distance for chromospheric and coronal EUV flux.

  16. Spherical EUV and Plasma Spectrometer (seps) -a Monitor to Measure the Plasma and EUV Environment in Space

    NASA Astrophysics Data System (ADS)

    Brunner, Raimund; Schmidtke, Gerhard; Konz, Werner; Pfeffer, Wilfried

    A low-cost monitor to measure the EUV and plasma environment in space is presented. The device consists of three (or more) isolated spheres, a metallic sphere, one or more highly trans-parent Inner Grids and Outer Grids. Each one is being connected to a sensitive floating elec-trometer. By setting different potentials to the grids as well as to the sphere and varying one or more of their voltages, measurements of spectral solar EUV irradiance (15-200 nm), of local plasma parameters such as electron and ion densities, electron energies and temperatures as well as ion compositions and debris events can be derived from the current recordings. This detector does not require any (solar) pointing device. The primary goal is to study the impact of solar activity events (e.g. CMEs) as well as subsequent reactions of the ionospheric/thermospheric systems (including space weather occurences). The capability of SEPS for measuring EUV pho-ton fluxes as well as plasma parameters in the energy range from 0 to +/-70 eV is demonstrated by laboratory measurements as performed in the IPM laboratory, at BESSY-PTB electron syn-chrotron in Berlin and at ESA/ESTEC plasma chamber. Based on the laboratory recording of plasma recombination EUV emission the sensor is suitable to detect also auroral and airglow radiations. -The state of the art in the development of this device is reported.

  17. Inter-annual variability of wintertime PM2.5 chemical composition in Xi'an, China: Evidences of changing source emissions.

    PubMed

    Xu, Hongmei; Cao, Junji; Chow, Judith C; Huang, R-J; Shen, Zhenxing; Chen, L W Antony; Ho, Kin Fai; Watson, John G

    2016-03-01

    Chemical characteristics of PM2.5 in Xi'an in wintertime of 2006, 2008, and 2010 were investigated. Markers of OC2, EC1, and NO3(-)/SO4(2-) ratio were calculated to investigate the changes in PM2.5 emission sources over the 5-year period. Positive matrix factorization (PMF) model was used to identify and quantify the main sources of PM2.5 and their contributions. The results showed that coal combustion, motor vehicular emissions, fugitive dust, and secondary inorganic aerosol accounted for more than 80% of PM2.5 mass. The importance of these major sources to the PM2.5 mass varied yearly: coal combustion was the largest contributor (31.2% ± 5.2%), followed by secondary inorganic aerosol (20.9% ± 5.2%) and motor vehicular emissions (19.3% ± 4.8%) in 2006; the order was still coal combustion emissions (27.6% ± 3.4%), secondary inorganic aerosol (23.2% ± 6.9%), and motor vehicular emissions (20.9% ± 4.6%) in 2008; while coal combustion emission further decreased (24.1% ± 3.1%) with fugitive dust (19.4% ± 5.5%) increasing in 2010. The changes in PM2.5 chemical compositions and source contributions can be attributed to the social and economic developments in Xi'an, China, including energy structure adjustment, energy consumption, the expansion of civil vehicles, and the increase of urban construction activities. Copyright © 2015 Elsevier B.V. All rights reserved.

  18. Measuring Solar Doppler Velocities in the He II 30.38 nm Emission Using the EUV Variability Experiment (EVE)

    NASA Technical Reports Server (NTRS)

    Chamberlin, Phillip Clyde

    2016-01-01

    The EUV Variability Experiment (EVE) onboard the Solar Dynamics Observatory has provided unprecedented measurements of the solar EUV irradiance at high temporal cadence with good spectral resolution and range since May 2010. The main purpose of EVE was to connect the Sun to the Earth by providing measurements of the EUV irradianceas a driver for space weather and Living With a Star studies, but after launch the instrument has demonstrated the significance of its measurements in contributing to studies looking at the sources of solar variability for pure solar physics purposes. This paper expands upon previous findings that EVE can in fact measure wavelength shifts during solar eruptive events and therefore provide Doppler velocities for plasma at all temperatures throughout the solar atmosphere from the chromosphere to hot flaring temperatures. This process is not straightforward as EVE was not designed or optimized for these types of measurements. In this paper we describe the many detailed instrumental characterizations needed to eliminate the optical effects in order to provide an absolute baseline for the Doppler shift studies. An example is given of a solar eruption on 7 September 2011 (SOL2011-09-07), associated with an X1.2 flare, where EVE Doppler analysis shows plasma ejected from the Sun in the He II 30.38 nm emission at a velocity of almost 120 km s(exp -1) along the line-of-sight.

  19. Low temperature plasmas induced in SF6 by extreme ultraviolet (EUV) pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Skrzeczanowski, W.; Czwartos, J.; Kostecki, J.; Fiedorowicz, H.; Wachulak, P.; Fok, T.

    2018-06-01

    In this work, a comparative study of extreme ultraviolet (EUV) induced low temperature SF6-based plasmas, created using two different irradiation systems, was performed. Both systems utilized laser-produced plasma (LPP) EUV sources. The essential difference between the systems concerned the formation of the driving EUV beam. The first one contained an efficient ellipsoidal EUV collector allowing for focusing of the EUV radiation at a large distance from the LPP source. The spectrum of focused radiation was limited to the long-wavelength part of the total LPP emission, λ > 8 nm, due to the reflective properties of the collector. The second system did not contain any EUV collector. The gas to be ionized was injected in the vicinity of the LPP, at a distance of the order of 10 mm. In both systems, energies of the driving photons were high enough for dissociative ionization of the SF6 molecules and ionization of atoms or even singly charged ions. Plasmas, created due to these processes, were investigated by spectral measurements in the EUV, ultraviolet (UV), and visible (VIS) spectral ranges. These low temperature plasmas were employed for preliminary experiments concerning surface treatment. The formation of pronounced nanostructures on the silicon surface after plasma treatment was demonstrated.

  20. Well-defined EUV wave associated with a CME-driven shock

    NASA Astrophysics Data System (ADS)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  1. Observation of EUVL mask using coherent EUV scatterometry microscope with high-harmonic-generation EUV source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-07-01

    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.

  2. Myosins XI-K, XI-1, and XI-2 are required for development of pavement cells, trichomes, and stigmatic papillae in Arabidopsis

    PubMed Central

    2012-01-01

    Background The positioning and dynamics of vesicles and organelles, and thus the growth of plant cells, is mediated by the acto-myosin system. In Arabidopsis there are 13 class XI myosins which mediate vesicle and organelle transport in different cell types. So far the involvement of five class XI myosins in cell expansion during the shoot and root development has been shown, three of which, XI-1, XI-2, and XI-K, are essential for organelle transport. Results Simultaneous depletion of Arabidopsis class XI myosins XI-K, XI-1, and XI-2 in double and triple mutant plants affected the growth of several types of epidermal cells. The size and shape of trichomes, leaf pavement cells and the elongation of the stigmatic papillae of double and triple mutant plants were affected to different extent. Reduced cell size led to significant size reduction of shoot organs in the case of triple mutant, affecting bolt formation, flowering time and fertility. Phenotype analysis revealed that the reduced fertility of triple mutant plants was caused by delayed or insufficient development of pistils. Conclusions We conclude that the class XI myosins XI-K, XI-1 and XI-2 have partially redundant roles in the growth of shoot epidermis. Myosin XI-K plays more important role whereas myosins XI-1 and XI-2 have minor roles in the determination of size and shape of epidermal cells, because the absence of these two myosins is compensated by XI-K. Co-operation between myosins XI-K and XI-2 appears to play an important role in these processes. PMID:22672737

  3. Center-to-Limb Variability of Hot Coronal EUV Emissions During Solar Flares

    NASA Astrophysics Data System (ADS)

    Thiemann, E. M. B.; Chamberlin, P. C.; Eparvier, F. G.; Epp, L.

    2018-02-01

    It is generally accepted that densities of quiet-Sun and active region plasma are sufficiently low to justify the optically thin approximation, and this is commonly used in the analysis of line emissions from plasma in the solar corona. However, the densities of solar flare loops are substantially higher, compromising the optically thin approximation. This study begins with a radiative transfer model that uses typical solar flare densities and geometries to show that hot coronal emission lines are not generally optically thin. Furthermore, the model demonstrates that the observed line intensity should exhibit center-to-limb variability (CTLV), with flares observed near the limb being dimmer than those occurring near disk center. The model predictions are validated with an analysis of over 200 flares observed by the EUV Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO), which uses six lines, with peak formation temperatures between 8.9 and 15.8 MK, to show that limb flares are systematically dimmer than disk-center flares. The data are then used to show that the electron column density along the line of sight typically increases by 1.76 × 10^{19} cm^{-2} for limb flares over the disk-center flare value. It is shown that the CTLV of hot coronal emissions reduces the amount of ionizing radiation propagating into the solar system, and it changes the relative intensities of lines and bands commonly used for spectral analysis.

  4. Quality control of EUVE databases

    NASA Technical Reports Server (NTRS)

    John, L. M.; Drake, J.

    1992-01-01

    The publicly accessible databases for the Extreme Ultraviolet Explorer include: the EUVE Archive mailserver; the CEA ftp site; the EUVE Guest Observer Mailserver; and the Astronomical Data System node. The EUVE Performance Assurance team is responsible for verifying that these public EUVE databases are working properly, and that the public availability of EUVE data contained therein does not infringe any data rights which may have been assigned. In this poster, we describe the Quality Assurance (QA) procedures we have developed from the approach of QA as a service organization, thus reflecting the overall EUVE philosophy of Quality Assurance integrated into normal operating procedures, rather than imposed as an external, post facto, control mechanism.

  5. Performance of one hundred watt HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  6. EUVS Sounding Rocket Payload

    NASA Technical Reports Server (NTRS)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  7. The Geminga Pulsar: Soft X-Ray Variability and an EUVE Observation

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Martin, Christopher; Marshall, Herman L.; Oliversen, Ronald (Technical Monitor)

    2001-01-01

    We observed the Geminga pulsar with the EUVE satellite, detecting pulsed emission in the Deep Survey imager. Joint spectral fits of the EUVE flux with ROSAT PSPC data are consistent with thermal plus power-law models in which the thermal component makes the dominant contribution to the soft X-ray flux seen by EUVE and ROSAT. The data are consistent with blackbody emission of T = (4 - 6) x 10(exp 5) K over most of the surface of the star at the measured parallax distance of 160 pc. Although model atmospheres are more realistic, and can fit the data with effective temperatures a factor of 2 lower, current data would not discriminate between these and blackbody models. We also find evidence for variability of Geminga's soft X-ray pulse shape. Narrow dips in the light curve that were present in 1991 had largely disappeared in 1993/1994, causing the pulsed fraction to decline from 32% to 18%. If the dips are attributed to cyclotron resonance scattering by an e1 plasma on closed magnetic field lines, then the process that resupplies that plasma must be variable.

  8. The Geminga Pulsar: Soft X-Ray Variability and an EUVE Observation

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Martin, Christopher; Marshall, Herman L.

    1996-01-01

    We observed the Geminga pulsar with the EUVE satellite, detecting pulsed emission in the Deep Survey imager. Joint spectral fits of the EUVE flux with ROSAT PSPC data are consistent with thermal plus power-law models in which the thermal component makes the dominant contribution to the soft X-ray flux seen by EUVE and ROSAT. The data are consistent with blackbody emission of T = (4-6) x 10(exp 5) K over most of the surface of the star at the measured parallax distance of 160 pc. Although model atmospheres are more realistic, and can fit the data with effective temperatures a factor of 2 lower, current data would not discriminate between these and blackbody models. We also find evidence for variability of Geminga's soft X-ray pulse shape. Narrow dips in the light curve that were present in 1991 had largely disappeared in 1993/1994, causing the pulsed fraction to decline from 32% to 18%. If the dips are attributed to cyclotron resonance scattering by an e(+/-) plasma on closed magnetic field lines, then the process that resupplies that plasma must be variable.

  9. The quiescent and flaring EUV spectrum of Algol and its relationship to other active coronae. EUV spectroscopy of bright hyades coronae: 71 Tauri and Theta 1 Tauri

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1994-01-01

    This program involves analysis and interpretation of EUVE spectrometer observations of the active stars Algol (beta Per) and 71 Tauri. The EUVE satellite spectrometers observed the prototype eclipsing binary Algol over nearly 1.5 orbital periods. Effective exposure times were 100 ksec and 89 ksec in the short wave (70-180 A) and medium wave (140-370 A) channels. High temperature (up to 20 MK) Fe XVI-XXIV emission lines are clearly detected in the overall spectrum. In addition, a quiescent continuum is present which increases towards shorter wavelengths. Using synthesized spectra of optically thin line and continuum emission folded through the instrumental response, we have examined constraints on the (Fe/H) coronal abundance in Algol. We find that the coronal Fe is underabundant by factors that approximately equal 2-4 relative to solar photospheric values, unless an unreasonably large quantity of coronal plasma at T greater than 30 MK is present in the quiescent spectrum. The latter possibility is, however, inconsistent with available X-ray data. Lightcurves of the high temperature EUV lines compared to line emission at He II 304 A show considerable differences, with much deeper minima present in the He II line during both primary and secondary eclipses. Toward the end of the observation a moderate flare lasting approximately 6 hours was detected in the high temperature Fe emission lines. The 71 Tau observation, for about the same exposure time, revealed only a handful of weak emission lines; however, the strongest lines were also those of Fe XXIII/XX, suggesting a hot coronal plasma. No obvious flaring or other variation was present in the 71 Tau Deep Survey lightcurve.

  10. An EUV Study of the Eclipsing M-Dwarf Binary System YY GEM

    NASA Technical Reports Server (NTRS)

    Drake, Jeremy

    2000-01-01

    EUVE, SW, MW and LW spectra have been reduced and line fluxes measured. The Deep Survey data has been analyzed and light curves have been derived. The spectra around the HE II 304 region show some evidence of emission from the bright A companion star, Castor. Preliminary results for the metallicity of the corona of YY Gem were derived from the EUVE spectra and photometry and were presented at the AAS HEAD meeting; results are being finalized for publication in a referred journal.

  11. Investigation of the solar UV/EUV heating effect on the Jovian radiation belt by GMRT-IRTF observation

    NASA Astrophysics Data System (ADS)

    Kita, H.; Misawa, H.; Bhardwaj, A.; Tsuchiya, F.; Tao, C.; Uno, T.; Kondo, T.; Morioka, A.

    2012-12-01

    Jupiter's synchrotron radiation (JSR) is the emission from relativistic electrons, and it is the most effective probe for remote sensing of Jupiter's radiation belt from the Earth. Recent intensive observations of JSR revealed short term variations of JSR with the time scale of days to weeks. Brice and McDonough (1973) proposed a scenario for the short term variations; i.e, the solar UV/EUV heating for Jupiter's upper atmosphere causes enhancement of total flux density. The purpose of this study is to investigate whether sufficient solar UV/EUV heating in Jupiter's upper atmosphere can actually causes variation in the JSR total flux and brightness distribution. Previous JSR observations using the Giant Metrewave Radio Telescope (GMRT) suggested important characteristics of short term variations; relatively low energy particles are accelerated by some acceleration processes which might be driven by solar UV/EUV heating and/or Jupiter's own magnetic activities. In order to evaluate the effect of solar UV/EUV heating on JSR variations, we made coordinated observations using the GMRT and NASA Infra-Red Telescope Facility (IRTF). By using IRTF, we can estimate the temperature of Jupiter's upper atmosphere from spectroscopic observation of H_3^+ infrared emission. Hence, we can evaluate the relationship between variations in Jupiter's upper atmosphere initiated by the solar UV/EUV heating and its linkage with the JSR. The GMRT observations were made during Nov. 6-17, 2011 at the frequency of 235/610MHz. The H_3^+ 3.953 micron line was observed using the IRTF during Nov. 7-12, 2011. During the observation period, the solar UV/EUV flux variations expected on Jupiter showed monotonic increase. A preliminary analysis of GMRT 610MHz band showed a radio flux variation similar to that in the solar UV/EUV. Radio images showed that the emission intensity increased at the outer region and the position of equatorial peak emission moved in the outward direction. If radial diffusion

  12. TIMED/GUVI Observations of Aurora, Ionosphere, Thermosphere and Solar EUV Variations

    NASA Astrophysics Data System (ADS)

    Zhang, Y.; Paxton, L. J.; Schaefer, R. K.

    2017-12-01

    The FUV (100-200 nm) emissions from the ionosphere and thermosphere carry rich information of the density and composition of the IT system, aurora and solar EUV flux. The key emissions include atomic hydrogen line (121.6nm), atomic oxygen lines (e.g. 130.4, 135.6, 164.1 nm), atomic nitrogen lines (e.g. 120.0, 149.3, 174.3 nm), molecular nitrogen bands (LBH and VK bands) and nitric oxide ɛ bands. TIMED/GUVI data cover the nearly full FUV range and generate many space weather products (ionosphere, thermosphere, aurora and solar EUV) that extend the products from other missions (such as NASA GOLD and ICON) and help to solve some of MIT (Magnetosphere-Ionosphere-Thermosphere) science problems and serve as validation data sources for models.

  13. Mass formulas for {Xi}{sub c} and {Xi}{sub b} baryons

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aliev, T. M.; Zamiralov, V. S.; Ozpineci, A.

    The importance of taking into account the mixing of the heavy cascade baryons {Xi} and {Xi}' that have new quantum numbers in analyzing their properties is shown. The Ono quark model is considered by way of example. The masses of the new baryons and the {Xi}-{Xi}' mixing angles are obtained. The same approach is applied to the interpolating currents of these baryons within QCD sum rules.

  14. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    NASA Astrophysics Data System (ADS)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  15. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  16. EUV spectroscopy of highly charged high Z ions in the Large Helical Device plasmas

    NASA Astrophysics Data System (ADS)

    Suzuki, C.; Koike, F.; Murakami, I.; Tamura, N.; Sudo, S.; Sakaue, H. A.; Nakamura, N.; Morita, S.; Goto, M.; Kato, D.; Nakano, T.; Higashiguchi, T.; Harte, C. S.; OʼSullivan, G.

    2014-11-01

    We present recent results on the extreme ultraviolet (EUV) spectroscopy of highly charged high Z ions in plasmas produced in the Large Helical Device (LHD) at the National Institute for Fusion Science. Tungsten, bismuth and lanthanide elements have recently been studied in the LHD in terms of their importance in fusion research and EUV light source development. In relatively low temperature plasmas, quasicontinuum emissions from open 4d or 4f subshell ions are predominant in the EUV region, while the spectra tend to be dominated by discrete lines from open 4s or 4p subshell ions in higher temperature plasmas. Comparative analyses using theoretical calculations and charge-separated spectra observed in an electron beam ion trap have been performed to achieve better agreement with the spectra measured in the LHD. As a result, databases on Z dependence of EUV spectra in plasmas have been widely extended.

  17. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    NASA Astrophysics Data System (ADS)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  18. The EUVE Proposal Database

    NASA Astrophysics Data System (ADS)

    Christian, C. A.; Olson, E. C.

    1993-01-01

    The proposal database and scheduling system for the Extreme Ultraviolet Explorer is described. The proposal database has been implemented to take input for approved observations selected by the EUVE Peer Review Panel and output target information suitable for the scheduling system to digest. The scheduling system is a hybrid of the SPIKE program and EUVE software which checks spacecraft constraints, produces a proposed schedule and selects spacecraft orientations with optimal configurations for acquiring star trackers, etc. This system is used to schedule the In Orbit Calibration activities that took place this summer, following the EUVE launch in early June 1992. The strategy we have implemented has implications for the selection of approved targets, which have impacted the Peer Review process. In addition, we will discuss how the proposal database, founded on Sybase, controls the processing of EUVE Guest Observer data.

  19. High-Resolution EUV Spectroscopy of White Dwarfs

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  20. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  1. Universal EUV in-band intensity detector

    DOEpatents

    Berger, Kurt W.

    2004-08-24

    Extreme ultraviolet light is detected using a universal in-band detector for detecting extreme ultraviolet radiation that includes: (a) an EUV sensitive photodiode having a diode active area that generates a current responsive to EUV radiation; (b) one or more mirrors that reflects EUV radiation having a defined wavelength(s) to the diode active area; and (c) a mask defining a pinhole that is positioned above the diode active area, wherein EUV radiation passing through the pinhole is restricted substantially to illuminating the diode active area.

  2. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  3. Effect of the Solar UV/EUV Heating on the Intensity and Spatial Distribution of Jupiter's Synchrotron Radiation

    NASA Astrophysics Data System (ADS)

    Kita, Hajime; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2012-10-01

    Jupiter's synchrotron radiation (JSR) is the emission from relativistic electrons, and it is the most effective probe for remote sensing of Jupiter's radiation belt from the Earth. Recent observations reveal short term variations of JSR with the time scale of days to weeks. Brice and McDonough (1973) proposed that the solar UV/EUV heating for Jupiter's upper atmosphere causes enhancement of total flux density. If such a process occurs at Jupiter, it is also expected that diurnal wind system produces dawn-dusk asymmetry of the JSR brightness distribution. Preceding studies confirmed that the short term variations in total flux density correspond to the solar UV/EUV. However, the effect of solar UV/EUV heating on the brightness distribution has not been confirmed. Hence, the purpose of this study is to confirm the solar UV/EUV heating effect on total flux density and brightness distribution. We made radio imaging analysis using the National Radio Astronomy Observatory (NRAO) archived data of the Very Large Array (VLA) obtained in 2000, and following results were shown. 1, Total flux density varied corresponding to the solar UV/EUV. 2, Dawn side emission was brighter than dusk side emission almost every day. 3, Variations of the dawn-dusk asymmetry did not correspond to the solar UV/EUV. In order to explain the second result, we estimate the diurnal wind velocity from the observed dawn-dusk ratio by using the model brightness distribution of JSR. Estimated neutral wind velocity is 46+/-11 m/s, which reasonably corresponds to the numerical simulation of Jupiter's upper atmosphere. In order to explain the third result, we examined the effect of the global convection electric field driven by tailward outflow of plasma in Jupiter's magnetosphere. As the result, it is suggested that typical fluctuation of the convection electric field strength was enough to cause the observed variations of the dawn-dusk asymmetry.

  4. Mars Thermospheric Temperature Sensitivity to Solar EUV Forcing from the MAVEN EUV Monitor

    NASA Astrophysics Data System (ADS)

    Thiemann, Ed; Eparvier, Francis; Andersson, Laila; Pilinski, Marcin; Chamberlin, Phillip; Fowler, Christopher; MAVEN Extreme Ultraviolet Monitor Team, MAVEN Langmuir Probe and Waves Team

    2017-10-01

    Solar extreme ultraviolet (EUV) radiation is the primary heat source for the Mars thermosphere, and the primary source of long-term temperature variability. The Mars obliquity, dust cycle, tides and waves also drive thermospheric temperature variability; and it is important to quantify the role of each in order to understand processes in the upper atmosphere today and, ultimately, the evolution of Mars climate over time. Although EUV radiation is the dominant heating mechanism, accurately measuring the thermospheric temperature sensitivity to EUV forcing has remained elusive, in part, because Mars thermospheric temperature varies dramatically with latitude and local time (LT), ranging from 150K on the nightside to 300K on the dayside. It follows that studies of thermospheric variability must control for location.Instruments onboard the Mars Atmosphere and Volatile EvolutioN (MAVEN) orbiter have begun to characterize thermospheric temperature sensitivity to EUV forcing. Bougher et al. [2017] used measurements from the Imaging Ultraviolet Spectrograph (IUVS) and the Neutral Gas and Ion Mass Spectrometer (NGIMS) to characterize solar activity trends in the thermosphere with some success. However, aside from restricting measurements to solar zenith angles (SZAs) below 75 degrees, they were unable to control for latitude and LT because repeat-track observations from either instrument were limited or unavailable.The MAVEN EUV Monitor (EUVM) has recently demonstrated the capability to measure thermospheric density from 100 to 200 km with solar occultations of its 17-22 nm channel. These new density measurements are ideal for tracking the long-term thermospheric temperature variability because they are inherently constrained to either 06:00 or 18:00 LT, and the orbit has precessed to include a range of ecliptic latitudes, a number of which have been revisited multiple times over 2.5 years. In this study we present, for the first-time, measurements of thermospheric

  5. EUV emission, filament activation and magnetic fields in a slow-rise flare

    NASA Technical Reports Server (NTRS)

    Rust, D. M.; Nakagawa, Y.; Neupert, W. M.

    1975-01-01

    Results are reported for observations and analysis of synoptic data on a 1B flare that occurred on January 19, 1972. The observations include large-scale H-alpha movies of the flare and pre-flare developments, OSO-7 satellite data on soft X-ray and EUV developments, magnetograms, and hard X-ray observations. Theoretical force-free magnetic field configurations are compared with structures seen in the soft X-ray, EUV, and H-alpha images, and the evolution of the flare is described. The energy available for the flare is estimated from the change of magnetic field inferred from the H-alpha filtergrams and from force-free field calculations. It is suggested that the flare originated in a twisted filament where it was compressed by emerging fields, and it is shown that the flare started below the corona and appeared to derive its energy from the magnetic fields in or near the filament.

  6. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  7. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  8. High speed reflectometer for EUV mask-blanks

    NASA Astrophysics Data System (ADS)

    Wies, Christian; Lebert, Rainer; Jagle, Bernhard; Juschkin, L.; Sobel, F.; Seitz, H.; Walter, Ronny; Laubis, C.; Scholze, F.; Biel, W.; Steffens, O.

    2005-06-01

    AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproducibility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1x1 mm2, 2000 spectral channels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are accumulated in about 20 s, providing statistical reproducibility below 0.2% RMS. The total uncertainty is below 0.5% absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by reference to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.

  9. Principal investigators data package for Project Initiation Conference (PIC): EUVS sounding rocket no. 36.117CL. Target: Venus

    NASA Technical Reports Server (NTRS)

    Stern, S. Alan

    1993-01-01

    The region of the UV between 500 and 1200 A is a rich one for the study of planetary and astrophysical targets. EUV atmospheric spectroscopy opens up an important window on ion and neutral nitrogen, oxygen, and noble gas emissions. In this document we describe the specific scientific background and motivations for this Venus EUV rocket observation along with experiment design and mission parameters.

  10. Update on EUV radiometry at PTB

    NASA Astrophysics Data System (ADS)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  11. Mechanisms of EUV exposure: electrons and holes

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ackerman, Christian; Flynn, Tracy; Denbeaux, Greg; Brainard, Robert L.

    2017-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry. Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.

  12. A 1kW EUV source for lithography based on FEL emission in a compact storage ring

    NASA Astrophysics Data System (ADS)

    Feser, Michael; Ruth, Ron; Loewen, Rod

    2017-10-01

    EUV has long been hailed as the next generation lithography technology. Its adoption into high volume manufacturing (HVM), however, has been delayed several technology nodes due to technical issues, many of which can be attributed to the EUV source performance. Today's EUV lithography scanners are powered by laser produce plasma (LPP) sources. They have issues with power scaling beyond 300 W, reliability and contamination. Free Electron Lasers (FELs) have been considered as an alternative EUV source. Advantages of accelerator based sources are the maturity of the accelerator technology, lack of debris/contamination, and ability to provide high power. Industry turned away from this technology because of the requirement to feed up to 10 scanners from one linear FEL to make it economically feasible, the large footprint, and generation of radioactive byproducts. All of these issues are overcome in the presented concept using a compact storage ring with steady-state FEL lasing action. At 1 kW output power, comparable cost and footprint to an LPP source, this source is ideally suited for use on a single scanner and promises reliable, contamination free operation. FEL action in the storage ring is sustained by operating the FEL well below the saturation regime and preserving the equilibrium low emittance and energy distribution of the ring.

  13. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  14. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    PubMed

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  15. Simultaneous retrieval of the solar EUV flux and neutral thermospheric O, O2, N2, and temperature from twilight airglow

    NASA Technical Reports Server (NTRS)

    Fennelly, J. A.; Torr, D. G.; Richards, P. G.; Torr, M. R.

    1994-01-01

    We present a method to retrieve neutral thermospheric composition and the solar EUV flux from ground-based twilight optical measurements of the O(+) ((exp 2)P) 7320 A and O((exp 1)D) 6300 A airglow emissions. The parameters retrieved are the neutral temperature, the O, O2, N2 density profiles, and a scaling factor for the solar EUV flux spectrum. The temperature, solar EUV flux scaling factor, and atomic oxygen density are first retrieved from the 7320-A emission, which are then used with the 6300-A emission to retrieve the O2 and N2 densities. The retrieval techniques have been verified by computer simulations. We have shown that the retrieval technique is able to statistically retrieve values, between 200 and 400 km, within an average error of 3.1 + or - 0.6% for thermospheric temperature, 3.3 + or - 2.0% for atomic oxygen, 2.3 + or - 1.3% for molecular oxygen, and 2.4 + or - 1.3% for molecular nitrogen. The solar EUV flux scaling factor was found to have a retrieval error of 5.1 + or - 2.3%. All the above errors have a confidence level of 95%. The purpose of this paper is to prove the viability and usefulness of the retrieval technique by demonstrating the ability to retrieve known quantities under a realistic simulation of the measurement process, excluding systematic effects.

  16. Ionospheric Change and Solar EUV Irradiance

    NASA Astrophysics Data System (ADS)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  17. Analytical techniques for mechanistic characterization of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  18. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers

    NASA Astrophysics Data System (ADS)

    Larivière, Stéphane; Wilson, Christopher J.; Kutrzeba Kotowska, Bogumila; Versluijs, Janko; Decoster, Stefan; Mao, Ming; van der Veen, Marleen H.; Jourdan, Nicolas; El-Mekki, Zaid; Heylen, Nancy; Kesters, Els; Verdonck, Patrick; Béral, Christophe; Van den Heuvel, Dieter; De Bisschop, Peter; Bekaert, Joost; Blanco, Victor; Ciofi, Ivan; Wan, Danny; Briggs, Basoene; Mallik, Arindam; Hendrickx, Eric; Kim, Ryoung-han; McIntyre, Greg; Ronse, Kurt; Bömmels, Jürgen; Tőkei, Zsolt; Mocuta, Dan

    2018-03-01

    The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore's law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations. Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers. In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.

  19. High speed reflectometer for EUV mask-blanks

    NASA Astrophysics Data System (ADS)

    Wies, C.; Lebert, R.; Jaegle, B.; Juschkin, L.; Sobel, F.; Seitz, H.; Walter, R.; Laubis, C.; Scholze, F.; Biel, W.; Steffens, O.

    2005-05-01

    AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproduci-bility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1×1 mm2, 2000 spectral chan-nels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are ac-cumulated in about 20 s, providing statistical reproducibility below 0.2 % RMS. The total uncertainty is below 0.5 % absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by refe-rence to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.

  20. Solar EUV irradiance for space weather applications

    NASA Astrophysics Data System (ADS)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  1. Novel EUV mask black border suppressing EUV and DUV OoB light reflection

    NASA Astrophysics Data System (ADS)

    Ito, Shin; Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Maruyama, Shingo; Watanabe, Genta; Yoshida, Itaru; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-05-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel BB called `Hybrid Black Border' (HBB) has been developed to eliminate EUV and DUV OOB light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern, defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the corners of the die. A CD uniformity budget including

  2. Nanoplasmonic generation of ultrashort EUV pulses

    NASA Astrophysics Data System (ADS)

    Choi, Joonhee; Lee, Dong-Hyub; Han, Seunghwoi; Park, In-Yong; Kim, Seungchul; Kim, Seung-Woo

    2012-10-01

    Ultrashort extreme-ultraviolet (EUV) light pulses are an important tool for time-resolved pump-probe spectroscopy to investigate the ultrafast dynamics of electrons in atoms and molecules. Among several methods available to generate ultrashort EUV light pulses, the nonlinear frequency upconversion process of high-harmonic generation (HHG) draws attention as it is capable of producing coherent EUV pulses with precise control of burst timing with respect to the driving near-infrared (NIR) femtosecond laser. In this report, we present and discuss our recent experimental data obtained by the plasmon-driven HHG method that generate EUV radiation by means of plasmonic nano-focusing of NIR femtosecond pulses. For experiment, metallic waveguides having a tapered hole of funnel shape inside were fabricated by adopting the focused-ion-beam process on a micro-cantilever substrate. The plasmonic field formed within the funnelwaveguides being coupled with the incident femtosecond pulse permitted intensity enhancement by a factor of ~350, which creates a hot spot of sub-wavelength size with intensities strong enough for HHG. Experimental results showed that with injection of noble gases into the funnel-waveguides, EUV radiation is generated up to wavelengths of 32 nm and 29.6 nm from Ar and Ne gas atoms, respectively. Further, it was observed that lower-order EUV harmonics are cut off in the HHG spectra by the tiny exit aperture of the funnel-waveguide.

  3. Surface roughness control by extreme ultraviolet (EUV) radiation

    NASA Astrophysics Data System (ADS)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  4. EUVE/XTE orbit decay study

    NASA Technical Reports Server (NTRS)

    Richon, K.; Hashmall, J.; Lambertson, M.; Phillips, T.

    1988-01-01

    The Explorer Platform (EP) program currently comprises two missions, the Extreme Ultraviolet Explorer (EUVE) and the X-ray Timing Explorer (XTE), each of which consists of a scientific payload mounted to the EP. The EP has no orbit maintenance capability. The EP with the EUVE payload will be launched first. At the end of the EUVE mission, the spacecraft will be serviced by the Space Transportation System (STS), and the EUVE instrument will be exchanged for the XTE. The XTE mission will continue until reentry or reservicing by the STS. Because the missions will be using the EP sequentially, the orbit requirements are unusually constrained by orbit decay rates. The initial altitude must be selected so that, by the end of the EUVE mission (2.5 years), the spacecraft will have decayed to an altitude within the STS capabilities. In addition, the payload exchange must occur at an altitude that ensures meeting the minimum XTE mission lifetime (3 years) because no STS reboost will be available. Studies were performed using the Goddard Mission Analysis System to estimate the effects of mass, cross-sectional area, and solar flux on the fulfillment of mission requirements. In addition to results from these studies, conclusions are presented as to the accuracy of the Marshall Space Flight Center solar flux predictions.

  5. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  6. Observation of the $$\\Xi_b^0$$ Baryon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aaltonen, T.; /Helsinki Inst. of Phys.; Alvarez Gonzalez, B.

    The observation of the bottom, strange baryon {Xi}{sup 0}{sub b} through the decay chain {Xi}{sup 0}{sub b} {yields} {Xi}{sup +}{sub c} {pi}{sup -}, where {Xi}{sup +}{sub c} {yields} {Xi}{sup -} {pi}{sup +} {pi}{sup +}, {Xi}{sup -} {yields} {Lambda} {yields} p {pi}{sup -}, is reported using data corresponding to an integrated luminosity of 4.2 ft{sup -1} from p{anti p} collisions at {radical}{ovr s} = 1.96 TeV recorded with the Collider Detector at Fermilab. A signal of 25.3{sup +5.6}{sub -5.4} candidates is observed whose probability of arising from a background fluctuation is 3.6 x 10{sup -12}, corresponding to 6.8 Gaussian standard deviations.more » The {Xi}{sup 0}{sub b} mass is measured to be 5787.8 {+-} 5.0(stat) {+-} 1.3(syst) MeV/c{sup 2}. In addition, the {Xi}{sup -}{sub b} is observed through the process {Xi}{sup -}{sub b} {yields} {Xi}{sup 0}{sub c} {pi}{sup -}, where {Xi}{sup 0}{sub c} {yields} {Xi}{sup -} {pi}{sup +}, {Xi}{sup -} {yields} {Lambda} {pi}{sup -}, and {Lambda} {yields} p {pi}{sup -}.« less

  7. EUVE observations of the Moon

    NASA Technical Reports Server (NTRS)

    Gladstone, G. R.; Mcdonald, J. S.; Boyd, W. T.

    1993-01-01

    During its all-sky survey, the Extreme Ultraviolet Explorer (EUVE) satellite observed the Moon several times at first and last quarters, and once near the Dec. 10, 1992 lunar eclipse. We present a preliminary reduction and analysis of this data, in the form of EUV images of the Moon and derived albedos.

  8. Xi0 and anti-Xi0 Polarization Measurements at 800-GeV/c

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abouzaid, E.; Alavi-Harati, A.; Alexopoulos, T.

    The polarization of {Xi}{sup 0} and {bar {Xi}}{sup 0} hyperons produced by 800 GeV/c protons on a BeO target at a fixed targeting angle of 4.8 mrad is measured by the KTeV experiment at Fermilab. The result of 9.7% for {Xi}{sup 0} polarization shows no significant energy dependence when compared to a result obtained at 400 GeV/c production energy and at twice the targeting angle. The polarization of the {Xi}{sup 0} is measured for the first time and found to be consistent with zero. They also examine the dependence of polarization on production p{sub t}.

  9. Modeling of the EUV and X-Ray Emission Spectra Induced by the Solar Winds Ions in the Heliosphere

    NASA Technical Reports Server (NTRS)

    Kharchenko, Vasili

    2005-01-01

    We have carried out investigation of the EUV and X-ray emission spectra induced in interaction between the Solar Wind (SW) and interstellar neutral gas. The spectra of most important SW ions have been computed for the charge-exchange mechanism of X-ray emission using new accurate spectroscopic data from recent laboratory measurements and theoretical calculations. Total spectra have been constructed as a sum of spectra induced in the charge-exchange collisions by individual O(exp q+), C(exp q+), N(exp q+), Ne(exp q+), Mg (exp q+) and Fe(exp q+) ions. Calculations have been performed for X-ray emission from the heliospheric hydrogen and helium gas. X-ray maps of the heliosphere have been computed. The power density of X-ray sources in the heliospheric ecliptic plane is shown for the H gas and for the He gas. Distances from the Sun (0,0) are given in AU. The helium cone is clear seen in the X-ray map of the charge-exchange emission induced by the solar wind. X-ray emission spectra detected by the Chandra X-ray telescope from the "dark" side of Moon has been identified as a X-ray background emission induced by the solar wind from the geocorona. Spectra and intensities of this charge-exchange X-rays have been compared with the heliospheric component of the X-ray background. Observations and modeling of the SW spectra induced from the geocorona indicate a strong presence of emission lines of highly charged oxygen ions. Anisotropy in distribution of heliospheric X-rays has been predicted and calculated for the regions of the fast and slow solar winds.

  10. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  11. EUVE GO Survey: High Levels of User Satisfaction

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.

    2000-12-01

    This paper describes the results of a detailed customer survey of Guest Observers (GOs) for NASA's Extreme Ultraviolet Explorer (EUVE) astronomy satellite observatory. The purpose of the research survey was to (1) measure the levels of GO customer satisfaction with respect to EUVE observing services, and (2) compare the observing experiences of EUVE GOs with their experiences using other satellite observatories. This survey was conducted as a business research project -- part of the author's graduate work as an MBA candidate. A total sample of 38 respondents, from a working population of 101 "active" EUVE GOs, participated in this survey. The results, which provided a profile of the "typical" EUVE GO, showed in a statistically significant fashion that these GOs were more than satisfied with the available EUVE observing services. In fact, the sample GOs generally rated their EUVE observing experiences to be better than average as compared to their experiences as GOs on other missions. These relatively high satisfaction results are particularly pleasing to the EUVE Project which, given its significantly reduced staffing environment at U.C. Berkeley, has continued to do more with less. This paper outlines the overall survey process: the relevant background and previous research, the survey design and methodology, and the final results and their interpretation. The paper also points out some general limitations and weaknesses of the study, along with some recommended actions for the EUVE Project and for NASA in general. This work was funded by NASA/UCB Cooperative Agreement NCC5-138.

  12. High-resolution measurements in the EUV on NSTX

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Bitter, M.; Lepson, J. K.; Gu, M.-F.

    2005-10-01

    The extreme ultraviolet (EUV) wavelength band is rich in lines useful as plasma diagnostics. This fact is being used by the Chandra and XMM-Newton satellites for studying stellar coronae and galactic nuclei. We have installed a new grating spectrometer on the NSTX tokamak that allows us to study emission lines in the EUV with similar spectral resolution. We have observed the K-shell lines of heliumlike and hydrogenlike boron, carbon, and oxygen. Moreover, we have measured the L-shell spectra of neonlike Ar, Fe, and Ni. All elements except argon were intrinsic to NSTX plasmas. Many of these spectra are of great interest to astrophysics. Our measurements provide line lists and calibrate density-sensitive line ratios in a density regime not accessible by other laboratory sources. Moreover, we were able to measure the temperature dependence of several iron lines needed to address puzzling results from stellar flare plasmas. This work was performed under the auspices of the U.S. DOE by UC-LLNL under contract W-7405-Eng-48 and by PPPL under contract DE-AC02-76CHO3073.

  13. Arabidopsis Myosins XI1, XI2, and XIK Are Crucial for Gravity-Induced Bending of Inflorescence Stems

    PubMed Central

    Talts, Kristiina; Ilau, Birger; Ojangu, Eve-Ly; Tanner, Krista; Peremyslov, Valera V.; Dolja, Valerian V.; Truve, Erkki; Paves, Heiti

    2016-01-01

    Myosins and actin filaments in the actomyosin system act in concert in regulating cell structure and dynamics and are also assumed to contribute to plant gravitropic response. To investigate the role of the actomyosin system in the inflorescence stem gravitropism, we used single and multiple mutants affecting each of the 17 Arabidopsis myosins of class VIII and XI. We show that class XI but not class VIII myosins are required for stem gravitropism. Simultaneous loss of function of myosins XI1, XI2, and XIK leads to impaired gravitropic bending that is correlated with altered growth, stiffness, and insufficient sedimentation of gravity sensing amyloplasts in stem endodermal cells. The gravitropic defect of the corresponding triple mutant xi1 xi2 xik could be rescued by stable expression of the functional XIK:YFP in the mutant background, indicating a role of class XI myosins in this process. Altogether, our results emphasize the critical contributions of myosins XI in stem gravitropism of Arabidopsis. PMID:28066484

  14. EUV laser produced and induced plasmas for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  15. Undercover EUV Solar Jets Observed by the Interface Region Imaging Spectrograph

    NASA Astrophysics Data System (ADS)

    Chen, N.-H.; Innes, D. E.

    2016-12-01

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si IV spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg II line profiles produced by the jets spires: reversed and non-reversed. Mg II lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (108 erg cm-2 s-1) toward the corona and the downward component is less than 3%.

  16. EUVE observations of Algol: Detection of a continuum and implications for the coronal (Fe/H) abundance

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.; Lemen, James R.; Schmitt, Jurgen H. M. M.; Pye, John P.

    1995-01-01

    We report results from the first extreme ultraviolet spectrum of the prototypical eclipsing binary Algol (beta Per), obtained with the spectrometers on the Extreme Ultraviolet Explorer (EUVE). The Algol spectrum in the 80-350 A range is dominated by emission lines of Fe XVI-XXIV, and the He II 304 A line. The Fe emission is characteristic of high-temperature plasma at temperatures up to at least log T approximately 7.3 K. We have successfully modeled the observed quiescent spectrum using a continuous emission measure distribution with the bulk of the emitting material at log T greater than 6.5. We are able to adequately fit both the coronal lines and continuum data with a cosmic abundance plasma, but only if Algol's quiescent corona is dominated by material at log T greater than 7.5, which is physically ruled out by prior X-ray observations of the quiescent Algol spectrum. Since the coronal (Fe/H) abundance is the principal determinant of the line-to-continuum ratio in the EUV, allowing the abundance to be a free parameter results in models with a range of best-fit abundances approximately = 15%-40% of solar photospheric (Fe/H). Since Algol's photospheric (Fe/H) appears to be near-solar, the anomalous EUV line-to-continuum ratio could either be the result of element segregation in the coronal formation process, or other, less likely mechanisms that may enhance the continuum with respect to the lines.

  17. Modeling 13.3nm Fe XXIII Flare Emissions Using the GOES-R EXIS Instrument

    NASA Astrophysics Data System (ADS)

    Rook, H.; Thiemann, E.

    2017-12-01

    The solar EUV spectrum is dominated by atomic transitions in ionized atoms in the solar atmosphere. As solar flares evolve, plasma temperatures and densities change, influencing abundances of various ions, changing intensities of different EUV wavelengths observed from the sun. Quantifying solar flare spectral irradiance is important for constraining models of Earth's atmosphere, improving communications quality, and controlling satellite navigation. However, high time cadence measurements of flare irradiance across the entire EUV spectrum were not available prior to the launch of SDO. The EVE MEGS-A instrument aboard SDO collected 0.1nm EUV spectrum data from 2010 until 2014, when the instrument failed. No current or future instrument is capable of similar high resolution and time cadence EUV observation. This necessitates a full EUV spectrum model to study EUV phenomena at Earth. It has been recently demonstrated that one hot flare EUV line, such as the 13.3nm Fe XXIII line, can be used to model cooler flare EUV line emissions, filling the role of MEGS-A. Since unblended measurements of Fe XXIII are typically unavailable, a proxy for the Fe XXIII line must be found. In this study, we construct two models of this line, first using the GOES 0.1-0.8nm soft x-ray (SXR) channel as the Fe XXIII proxy, and second using a physics-based model dependent on GOES emission measure and temperature data. We determine that the more sophisticated physics-based model shows better agreement with Fe XXIII measurements, although the simple proxy model also performs well. We also conclude that the high correlation between Fe XXIII emissions and the GOES 0.1-0.8nm band is because both emissions tend to peak near the GOES emission measure peak despite large differences in their contribution functions.

  18. Particle protection capability of SEMI-compliant EUV-pod carriers

    NASA Astrophysics Data System (ADS)

    Huang, George; He, Long; Lystad, John; Kielbaso, Tom; Montgomery, Cecilia; Goodwin, Frank

    2010-04-01

    With the projected rollout of pre-production extreme ultraviolet lithography (EUVL) scanners in 2010, EUVL pilot line production will become a reality in wafer fabrication companies. Among EUVL infrastructure items that must be ready, EUV mask carriers remain critical. To keep non-pellicle EUV masks free from particle contamination, an EUV pod concept has been extensively studied. Early prototypes demonstrated nearly particle-free results at a 53 nm PSL equivalent inspection sensitivity during EUVL mask robotic handling, shipment, vacuum pump-purge, and storage. After the passage of SEMI E152, which specifies the EUV pod mechanical interfaces, standards-compliant EUV pod prototypes, including a production version inner pod and prototype outer pod, were built and tested. Their particle protection capability results are reported in this paper. A state-of-the-art blank defect inspection tool was used to quantify their defect protection capability during mask robotic handling, shipment, and storage tests. To ensure the availability of an EUV pod for 2010 pilot production, the progress and preliminary test results of pre-production EUV outer pods are reported as well.

  19. Availability of underlayer application to EUV process

    NASA Astrophysics Data System (ADS)

    Kosugi, Hitoshi; Fonseca, Carlos; Iwao, Fumiko; Marumoto, Hiroshi; Kim, Hyun-Woo; Cho, Kyoungyong; Park, Cheol-Hong; Park, Chang-Min; Na, Hai-Sub; Koh, Cha-Won; Cho, Hanku

    2011-04-01

    EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.

  20. Impact on air quality of measures to reduce CO2 emissions from road traffic in Basel, Rotterdam, Xi'an and Suzhou

    NASA Astrophysics Data System (ADS)

    Keuken, M. P.; Jonkers, S.; Verhagen, H. L. M.; Perez, L.; Trüeb, S.; Okkerse, W.-J.; Liu, J.; Pan, X. C.; Zheng, L.; Wang, H.; Xu, R.; Sabel, C. E.

    2014-12-01

    Two traffic scenarios to reduce CO2 emissions from road traffic in two European cities (Basel and Rotterdam) and two Chinese cities (Xi'an and Suzhou) were evaluated in terms of their impact on air quality. The two scenarios, one modelling a reduction of private vehicle kilometres driven by 10% on urban streets and the other modelling the introduction of 50% electric-powered private vehicle kilometres on urban streets, were both compared to a scenario following “business-as-usual”: 2020-BAU. The annual average concentrations of NO2, PM2.5, PM10 and elemental carbon (EC) were modelled separately in busy street canyons, near urban motorways and in the remainder of the urban area. It was concluded that traffic-related CO2 emissions in 2020-BAU could be expected to remain at the levels of 2010 in Basel and Rotterdam, while in Xi'an and Suzhou to increase 30-50% due to growth in the traffic volume. Traffic-related CO2 emissions may be reduced by up to 5% and 25%, respectively using the first and second scenarios. Air pollution in the Chinese cities is a factor 3 to 5 higher than in the European cities in 2010 and 2020-BAU. The impact of both CO2 reduction scenarios on air quality in 2020-BAU is limited. In Europe, due to implementation of stringent emission standards in all sectors, air quality is expected to improve at both the urban background and near busy road traffic. In China, the regional background is expected to improve for EC, stabilize for PM2.5 and PM10, and decrease for NO2. The urban background follows this regional trend, while near busy road traffic, air pollution will remain elevated due to the considerable growth in traffic volume. A major constraint for modelling air quality in China is access to the input data required and lack of measurements at ground level for validation.

  1. Line analysis of EUV Spectra from Molybdenum and Tungsten Injected with Impurity Pellets in LHD

    NASA Astrophysics Data System (ADS)

    Chowdhuri, Malay Bikas; Morita, Shigeru; Goto, Motoshi; Nishimura, Hiroaki; Nagai, Keiji; Fujioka, Shinsuke

    Spectroscopic data on high-Z materials for impurity diagnostics are important due to its possible use as a plasma facing component in the next generation fusion device. For this purpose molybdenum and tungsten are injected by an impurity pellet injector into the large helical device (LHD) plasmas. Emissions from such highly ionized elements mostly fall in extreme ultraviolet (EUV) and soft X-ray ranges. The EUV spectra in a range of 20-500 Å are recorded using a flat-field EUV spectrometer. The observed emissions are identified with the help of its temporal evolution and detailed analysis is done with electron temperature profiles. At high central electron temperature (˜2.2 keV) molybdenum appears as an Al-, Mg- and Na-like ionization stages. Typical examples of identified transitions are Mo XXXI 190.46 Å (3 s2 1S-3s3p 3P) and Mo XXXII 176.63 Å (3s 2S-3p 2P). For tungsten, on the other hand, three well-separated bands appear in wavelength range of 24-80 Å. The transitions around 33 Å have been tentatively identified with the help of calculated values. Most of the isolated lines on the top of pseudo-continuum bands around 50 and 60 Å are identified, and the wavelengths are compared with previous experimental studies and also with calculated values.

  2. The Origin of the EUV Late Phase: A Case Study of the C8.8 Flare on 2010 May 5

    NASA Technical Reports Server (NTRS)

    Hock, R. A.; Woods, T. N.; Klimchuk, J. A.; Eparvier, F. G.; Jones, A. R.

    2012-01-01

    Since the launch of NASA's Solar Dynamics Observatory on 2010 February 11, the Extreme ultraviolet Variability Experiment (EVE) has observed numerous flares. One interesting feature observed by EVE is that a subset of flares exhibit an additional enhancement of the 2-3 million K emission several hours after the flares soft X-ray emission. From the Atmospheric Imaging Assembly (AIA) images, we observe that this secondary emission, dubbed the EUV late phase, occurs in the same active region as the flare but not in the same coronal loops. Here, we examine the C8.8 flare that occurred on 2010 May 5 as a case study of EUV late phase flares. In addition to presenting detailed observations from both AIA and EVE, we develop a physical model of this flare and test it using the Enthalpy Based Thermal Evolution of Loops (EBTEL) model.

  3. EUV Spectroscopy of High-redshift X-ray Objects

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.

    2010-03-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGNs for example, will have their maxima redshifted into the EUV waveband ( 90-912 Å/0.1-0.01 keV). Consequently, a wealth of spectral diagnostics, provided by, for example, the Fe L-shell complex ( 60-6 Å/0.2-2.0 keV) and the O VII/VIII lines ( 20 Å/0.5 keV), will be lost to X-ray instruments operating at traditional ( 0.5-10 keV) and higher X-ray energies. There are precedents in other wavebands. For example, HST evolutionary studies will become largely the province of JWST. Despite the successes of EUVE, the ROSAT WFC, and the Chandra LETG, the EUV continues to be unappreciated and under-utilized, partly because of a preconception that absorption by neutral galactic Hydrogen in the ISM prevents any useful extragalactic measurements at all EUV wavelengths and, until recently, by a lack of a suitable enabling technology. Thus, if future planned X-ray missions (e.g., IXO, Gen-X) are optimized again for traditional X-ray energies, their performance (effective area, resolving power) will be cut off at ultrasoft X-ray energies or at best be radically reduced in the EUV. This opens up a critical gap in performance located right at short EUV wavelengths, where the critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nano-laminate fabrication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on

  4. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miyawaki, Shun; Nozawa, Satoshi; Iwai, Kazumasa

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only themore » radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.« less

  5. EUV Cross-Calibration Strategies for the GOES-R SUVI

    NASA Astrophysics Data System (ADS)

    Darnel, Jonathan; Seaton, Daniel

    2016-10-01

    The challenges of maintaining calibration for solar EUV instrumentation is well-known. The lack of standard calibration sources and the fact that most solar EUV telescopes are incapable of utilizing bright astronomical EUV sources for calibration make knowledge of instrument performance quite difficult. In the recent past, calibration rocket underflights have helped establish a calibration baseline. The EVE instrument on SDO for a time provided well-calibrated, high spectral resolution solar spectra for a broad range of the EUV, but has suffered a loss of coverage at the shorter wavelengths. NOAA's Solar UltraViolet Imager (SUVI), a solar EUV imager with similarities to SDO/AIA, will provide solar imagery over nearly an entire solar cycle. In order to maintain the scientific value of the SUVI's dataset, novel approaches to calibration are necessary. Here we demonstrate a suite of methods to cross-calibrate SUVI against other solar EUV instruments through the use of proxy solar spectra.

  6. First environmental data from the EUV engineering test stand

    NASA Astrophysics Data System (ADS)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  7. Exclusive photoproduction of the cascade (Xi) hyperon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    John Price; Bernard Nefkens; Justin Ducote

    2004-09-01

    We report on the first measurement of exclusive {Xi}{sup -}(1321) hyperon photoproduction in {gamma}p {yields} K{sup +}K{sup +}{Xi}{sup -} for 3.2 < E{sub {gamma}} < 3.9 GeV. The final state is identified by the missing mass in p({gamma}, K{sup +}K{sup +})X measured with the CLAS detector at Jefferson Laboratory. We have detected a significant number of the ground-state {Xi}{sup -}(132)1/2{sup +}, and have estimated the total cross section for its production. We have also observed the first excited state {Xi}{sup -}(1530)3/2{sup +}. Photoproduction provides a copious source of {Xi}'s. We discuss the possibilities of a search for the recently proposedmore » {Xi}{sub 5}{sup --} and {Xi}{sub 5}{sup +} pentaquarks.« less

  8. EUV observation from the Earth-orbiting satellite, EXCEED

    NASA Astrophysics Data System (ADS)

    Yoshioka, K.; Murakami, G.; Yoshikawa, I.; Ueno, M.; Uemizu, K.; Yamazaki, A.

    2010-01-01

    An Earth-orbiting small satellite “EXtreme ultraviolet spectrosCope for ExosphEric Dynamics” (EXCEED) which will be launched in 2012 is under development. The mission will carry out spectroscopic and imaging observation of EUV (Extreme Ultraviolet: 60-145 nm) emissions from tenuous plasmas around the planets (Venus, Mars, Mercury, and Jupiter). It is essential for EUV observation to put on an observing site outside the Earth’s atmosphere to avoid the absorption. It is also essential that the detection efficiency must be very high in order to catch the faint signals from those targets. In this mission, we employ cesium iodide coated microchannel plate as a 2 dimensional photon counting devise which shows 1.5-50 times higher quantum detection efficiency comparing with the bared one. We coat the surface of the grating and entrance mirror with silicon carbides by the chemical vapor deposition method in order to archive the high diffraction efficiency and reflectivity. The whole spectrometer is shielded by the 2 mm thick stainless steel to prevent the contamination caused by the high energy electrons from the inner radiation belt. In this paper, we will introduce the mission overview, its instrument, and their performance.

  9. It's Time For A New EUV Mission

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wood, K. S.; Barstow, M. A.; Cruddace, R. G.

    2010-01-01

    The J-PEX high-resolution EUV spectrometer has made a breakthrough in capability with an effective area of 7 cm2 (220-245 Å) and resolving power of 4000, which exceed EUVE by factors of 7 and 20 respectively, and cover a range beyond the 170-Å cutoff of the Chandra LETG. The EUV includes critical spectral features containing diagnostic information often not available at other wavelengths (e.g., He II Ly series), and the bulk of radiation from million degree plasmas is emitted in the EUV. Such plasmas are ubiquitous, and examples include the atmospheres of white dwarfs; accretion phenomena in young stars, CVs and AGN; stellar coronae; and the ISM of our own galaxy and of others. However, sensitive EUV spectroscopy of high resolving power is required to resolve source spectral lines and edges unambiguously, to identify features produced by the intervening ISM, and to measure line profiles and Doppler shifts. This allows exploitation of the full range of plasma diagnostic techniques developed in laboratory and solar physics. J-PEX has flown twice on NASA sounding rockets. In 2001 we observed the isolated white dwarf G191-B2B and detected both ISM and photospheric lines. In 2008 we successfully observed the binary white dwarf Feige 24, but observation time is severely limited with sounding rockets. NASA has approved no new EUV mission, but it is time for one. Here we describe the scientific case for high-resolution EUV spectroscopy, summarize the technology that makes such measurements practical, and present a concept for a 3-month orbital mission, in which J-PEX is modified for a low-cost orbital mission to acquire sensitive high-resolution spectra for 30 white dwarfs, making an important contribution to the study of white dwarf evolution and hence the chemical balance of the Galaxy, and to the understanding of structure in the LISM.

  10. Light {xi} hypernuclei in four-body cluster models

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiyama, E.; Yamamoto, Y.; Motoba, T.

    Detailed structure calculations in {sub {xi}{sup -}}{sup 12}Be, {sub {xi}{sup -}}{sup 5}H, {sub {xi}{sup -}}{sup 9}Li, {sub {xi}{sup -}}{sup 7}H, and {sub {xi}{sup -}}{sup 10}Li are performed within the framework of the microscopic two-, three-, and four-body cluster models using the Gaussian expansion method. We adopted effective {xi}N interactions derived from the Nijmegen interaction models, which give rise to substantially attractive {xi}-nucleus potentials in accordance with the experimental indications. {sub {xi}{sup -}}{sup 7}H and {sub {xi}{sup -}}{sup 10}Li are predicted to have bound states. we propose to observe the bound states in future (K{sup -},K{sup +}) experiments using {sup 7}Limore » and {sup 10}B targets in addition to the standard {sup 12}C target. The experimental confirmation of these states will provide information on the spin- and isospin-averaged {xi}N interaction.« less

  11. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, N.-H.; Innes, D. E.

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT tomore » 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.« less

  12. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  13. Design requirements for a stand alone EUV interferometer

    NASA Astrophysics Data System (ADS)

    Michallon, Ph.; Constancias, C.; Lagrange, A.; Dalzotto, B.

    2008-03-01

    EUV lithography is expected to be inserted for the 32/22 nm nodes with possible extension below. EUV resist availability remains one of the main issues to be resolved. There is an urgent need to provide suitable tools to accelerate resist development and to achieve resolution, LER and sensitivity specifications simultaneously. An interferometer lithography tool offers advantages regarding conventional EUV exposure tool. It allows the evaluation of resists, free from the deficiencies of optics and mask which are limiting the achieved resolution. Traditionally, a dedicated beam line from a synchrotron, with limited access, is used as a light source in EUV interference lithography. This paper identifies the technology locks to develop a stand alone EUV interferometer using a compact EUV source. It will describe the theoretical solutions adopted and especially look at the feasibility according to available technologies. EUV sources available on the market have been evaluated in terms of power level, source size, spatial coherency, dose uniformity, accuracy, stability and reproducibility. According to the EUV source characteristics, several optic designs were studied (simple or double gratings). For each of these solutions, the source and collimation optic specifications have been determined. To reduce the exposure time, a new grating technology will also be presented allowing to significantly increasing the transmission system efficiency. The optical grating designs were studied to allow multi-pitch resolution print on the same exposure without any focus adjustment. Finally micro mechanical system supporting the gratings was studied integrating the issues due to vacuum environment, alignment capability, motion precision, automation and metrology to ensure the needed placement control between gratings and wafer. A similar study was carried out for the collimation-optics mechanical support which depends on the source characteristics.

  14. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  15. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  16. Reconstruction of Solar EUV Flux 1740-2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, L.

    2015-12-01

    Solar Extreme Ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo ionization of molecular Oxygen. Solar heating of the ionosphere creates thermal winds which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and sets with the Sun and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us the deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the 'Magnetic Crusade' of the 1830s and less reliable, but still usable, data are available for portions of the hundred years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F10.7 flux and the sunspot number, and find that the reconstructed EUV flux reproduces the F10.7 flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant 'solar magnetic ground state'.

  17. Improvements in resist performance towards EUV HVM

    NASA Astrophysics Data System (ADS)

    Yildirim, Oktay; Buitrago, Elizabeth; Hoefnagels, Rik; Meeuwissen, Marieke; Wuister, Sander; Rispens, Gijsbert; van Oosten, Anton; Derks, Paul; Finders, Jo; Vockenhuber, Michaela; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

  18. Searches for the pentaquark states {xi}(1860){sup 0} and {xi}(1860){sup --} in neutron-carbon interactions via the EXCHARM experiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleev, A. N.; Amaglobeli, N. S.; Balandin, V. P.

    Results of searches for the pentaquark states {xi}(1860){sup 0} and {xi}(1860){sup --} in neutron-carbon interactions at an average neutron energy of about 51 GeV via the EXCHARM experiment are presented. No such states are found in the {xi}{sup -}{pi}{sup +} and {xi}{sup -}{pi}{sup -} effective-mass spectra examined in this study. Upper limits on the cross sections for {xi}(1860){sup 0} and {xi}(1860){sup --} production are estimated.

  19. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  20. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  1. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  2. Carbon contamination topography analysis of EUV masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Y.-J.; Yankulin, L.; Thomas, P.

    2010-03-12

    The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine possible contamination topography. Lithographic simulations were also performed and the results compared with the experimental data.

  3. The Extreme-ultraviolet Emission from Sun-grazing Comets

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, William D.

    2012-01-01

    The Atmospheric Imaging Assembly (AIA) on the Solar Dynamics Observatory has observed two Sun-grazing comets as they passed through the solar atmosphere. Both passages resulted in a measurable enhancement of extreme-ultraviolet (EUV) radiance in several of the AIA bandpasses.We explain this EUV emission by considering the evolution of the cometary atmosphere as it interacts with the ambient solar atmosphere. Molecules in the comet rapidly sublimate as it approaches the Sun. They are then photodissociated by the solar radiation field to create atomic species. Subsequent ionization of these atoms produces a higher abundance of ions than normally present in the corona and results in EUV emission in the wavelength ranges of the AIA telescope passbands.

  4. Observation of the {Xi}{sub b}{sup 0} Baryon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aaltonen, T.; Brucken, E.; Devoto, F.

    The observation of the bottom, strange baryon {Xi}{sub b}{sup 0} through the decay chain {Xi}{sub b}{sup 0}{yields}{Xi}{sub c}{sup +}{pi}{sup -}, where {Xi}{sub c}{sup +}{yields}{Xi}{sup -}{pi}{sup +}{pi}{sup +}, {Xi}{sup -}{yields}{Lambda}{pi}{sup -}, and {Lambda}{yields}p{pi}{sup -}, is reported by using data corresponding to an integrated luminosity of 4.2 fb{sup -1} from pp collisions at {radical}(s)=1.96 TeV recorded with the Collider Detector at Fermilab. A signal of 25.3{sub -5.4}{sup +5.6} candidates is observed whose probability of arising from a background fluctuation is 3.6x10{sup -12}, corresponding to 6.8 Gaussian standard deviations. The {Xi}{sub b}{sup 0} mass is measured to be 5787.8{+-}5.0(stat){+-}1.3(syst) MeV/c{sup 2}. In addition,more » the {Xi}{sub b}{sup -} baryon is observed through the process {Xi}{sub b}{sup -}{yields}{Xi}{sub c}{sup 0}{pi}{sup -}, where {Xi}{sub c}{sup 0}{yields}{Xi}{sup -}{pi}{sup +}, {Xi}{sup -}{yields}{Lambda}{pi}{sup -}, and {Lambda}{yields}p{pi}{sup -}.« less

  5. Molecular cloning and biochemical characterization of rabbit factor XI.

    PubMed Central

    Sinha, Dipali; Marcinkiewicz, Mariola; Gailani, David; Walsh, Peter N

    2002-01-01

    Human factor XI, a plasma glycoprotein required for normal haemostasis, is a homodimer (160 kDa) formed by a single interchain disulphide bond linking the Cys-321 of each Apple 4 domain. Bovine, porcine and murine factor XI are also disulphide-linked homodimers. Rabbit factor XI, however, is an 80 kDa polypeptide on non-reducing SDS/PAGE, suggesting that rabbit factor XI exists and functions physiologically either as a monomer, as does prekallikrein, a structural homologue to factor XI, or as a non-covalent homodimer. We have investigated the structure and function of rabbit factor XI to gain insight into the relation between homodimeric structure and factor XI function. Characterization of the cDNA sequence of rabbit factor XI and its amino acid translation revealed that in the rabbit protein a His residue replaces the Cys-321 that forms the interchain disulphide linkage in human factor XI, explaining why rabbit factor XI is a monomer in non-reducing SDS/PAGE. On size-exclusion chromatography, however, purified plasma rabbit factor XI, like the human protein and unlike prekallikrein, eluted as a dimer, demonstrating that rabbit factor XI circulates as a non-covalent dimer. In functional assays rabbit factor XI and human factor XI behaved similarly. Both monomeric and dimeric factor XI were detected in extracts of cells expressing rabbit factor XI. We conclude that the failure of rabbit factor XI to form a covalent homodimer due to the replacement of Cys-321 with His does not impair its functional activity because it exists in plasma as a non-covalent homodimer and homodimerization is an intracellular process. PMID:12084014

  6. Exploring the readiness of EUV photo materials for patterning advanced technology nodes

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Vesters, Yannick; Shehzad, Atif; Vandenberghe, Geert; Foubert, Philippe; Beral, Christophe; Van Den Heuvel, Dieter; Mao, Ming; Lazzarino, Fred

    2017-03-01

    Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.

  7. EUV tools: hydrogen gas purification and recovery strategies

    NASA Astrophysics Data System (ADS)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  8. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  9. AWARE - The Automated EUV Wave Analysis and REduction algorithm

    NASA Astrophysics Data System (ADS)

    Ireland, J.; Inglis; A. R.; Shih, A. Y.; Christe, S.; Mumford, S.; Hayes, L. A.; Thompson, B. J.

    2016-10-01

    Extreme ultraviolet (EUV) waves are large-scale propagating disturbances observed in the solar corona, frequently associated with coronal mass ejections and flares. Since their discovery over two hundred papers discussing their properties, causes and physics have been published. However, their fundamental nature and the physics of their interactions with other solar phenomena are still not understood. To further the understanding of EUV waves, and their relation to other solar phenomena, we have constructed the Automated Wave Analysis and REduction (AWARE) algorithm for the detection of EUV waves over the full Sun. The AWARE algorithm is based on a novel image processing approach to isolating the bright wavefront of the EUV as it propagates across the corona. AWARE detects the presence of a wavefront, and measures the distance, velocity and acceleration of that wavefront across the Sun. Results from AWARE are compared to results from other algorithms for some well known EUV wave events. Suggestions are also give for further refinements to the basic algorithm presented here.

  10. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  11. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  12. EUV mirror based absolute incident flux detector

    DOEpatents

    Berger, Kurt W.

    2004-03-23

    A device for the in-situ monitoring of EUV radiation flux includes an integrated reflective multilayer stack. This device operates on the principle that a finite amount of in-band EUV radiation is transmitted through the entire multilayer stack. This device offers improvements over existing vacuum photo-detector devices since its calibration does not change with surface contamination.

  13. EUV and X-ray spectroheliograph study

    NASA Technical Reports Server (NTRS)

    Knox, E. D.; Pastor, R. A.; Salamon, A. L.; Sterk, A. A.

    1975-01-01

    The results of a program directed toward the definition of an EUV and X-ray spectroheliograph which has significant performance and operational improvements over the OSO-7 instrument are documented. The program investigated methods of implementing selected changes and incorporated the results of the study into a set of drawings which defines the new instrument. The EUV detector performance degradation observed during the OSO-7 mission was investigated and the most probable cause of the degradation identified.

  14. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    NASA Astrophysics Data System (ADS)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  15. EUV spectroscopy of high-redshift x-ray objects

    NASA Astrophysics Data System (ADS)

    Kowalski, M. P.; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.; Barstow, M. A.

    2010-07-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGN for example, will be redshifted into the EUV waveband. Consequently, a wealth of critical spectral diagnostics, provided by, for example, the Fe L-shell complex and the O VII/VIII lines, will be lost to future planned X-ray missions (e.g., IXO, Gen-X) if operated at traditional X-ray energies. This opens up a critical gap in performance located at short EUV wavelengths, where critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nanolaminate replication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on next-generation instrument designs. We conclude with a discussion of a breakthrough technology, nanolaminate replication, which enables such instruments.

  16. Measurement of the Spin of the $$\\Xi(1530)$$ Resonance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aubert, B.; Bona, M.; Karyotakis, Y.

    The properties of the {Xi}(1530) resonance are investigated in the {Lambda}{sub c}{sup +} {yields} {Xi}{sup -}{pi}{sup +}K{sup +} decay process. The data sample was collected with the BABAR detector at the SLAC PEP-II asymmetric-energy e{sup +}e{sup -} collider operating at center of mass energies 10.58 and 10.54 GeV. The corresponding integrated luminosity is approximately 230 fb{sup -1}. The spin of the {Xi}(1530) is established to be 3/2. The existence of an S-wave amplitude in the {Xi}{sup -}{pi}{sup +} system is inferred, and its interference with the {Xi}(1530)0 amplitude provides the first clear demonstration of the Breit-Wigner phase motion expected formore » the {Xi}(1530). The P{sub 1}(cos {theta}{sub {Xi}{sup -}}) Legendre polynomial moment indicates the presence of a significant S-wave amplitude for {Xi}{sup -}{pi}{sup +} mass values above 1.6 GeV/c{sup 2}, and a dip in the mass distribution at approximately 1.7 GeV/c{sup 2} is interpreted as due to coherent addition of a {Xi}(1690){sup 0} contribution to this amplitude. This would imply J{sup P} = 1/2{sup -} for the {Xi}(1690). Attempts at fitting the {Xi}(1530){sup 0} lineshape yield unsatisfactory results, and this failure is attributed to interference effects associated with the amplitudes describing the K{sup +}{pi}{sup +} and/or {Xi}{sup -}K{sup +} systems.« less

  17. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  18. Method of fabricating reflection-mode EUV diffraction elements

    DOEpatents

    Naulleau, Patrick P.

    2002-01-01

    Techniques for fabricating a well-controlled, quantized-level, engineered surface that serves as substrates for EUV reflection multilayer overcomes problems associated with the fabrication of reflective EUV diffraction elements. The technique when employed to fabricate an EUV diffraction element that includes the steps of: (a) forming an etch stack comprising alternating layers of first and second materials on a substrate surface where the two material can provide relative etch selectivity; (b) creating a relief profile in the etch stack wherein the relief profile has a defined contour; and (c) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. For a typical EUV multilayer, if the features on the substrate are larger than 50 nm, the multilayer will be conformal to the substrate. Thus, the phase imparted to the reflected wavefront will closely match that geometrically set by the surface height profile.

  19. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  20. PECULIAR STATIONARY EUV WAVE FRONTS IN THE ERUPTION ON 2011 MAY 11

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra, R.; Fulara, A.; Chen, P. F.

    We present and interpret the observations of extreme ultraviolet (EUV) waves associated with a filament eruption on 2011 May 11. The filament eruption also produces a small B-class two ribbon flare and a coronal mass ejection. The event is observed by the Solar Dynamic Observatory with high spatio-temporal resolution data recorded by the Atmospheric Imaging Assembly. As the filament erupts, we observe two types of EUV waves (slow and fast) propagating outwards. The faster EUV wave has a propagation velocity of ∼500 km s{sup −1} and the slower EUV wave has an initial velocity of ∼120 km s{sup −1}. Wemore » report, for the first time, that not only does the slower EUV wave stop at a magnetic separatrix to form bright stationary fronts, but also the faster EUV wave transits a magnetic separatrix, leaving another stationary EUV front behind.« less

  1. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  2. High reflectance coatings for space applications in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Gum, Jeffrey S.; Osantowski, John F.; Fleetwood, Charles M.

    1993-01-01

    Advances in optical coating and materials technology have made possible the development of instruments with substantially improved efficiency and made possible to consider more complex optical designs in the EUV. The importance of recent developments in chemical vapor deposited silicon carbide (CVD-SiC), SiC films and multilayer coatings is discussed in the context of EUV instrumentation design. The EUV performance of these coatings as well as some strengths and problem areas for their use in space will be addressed.

  3. EUV spectroscopy in astrophysics: The role of compact objects

    NASA Astrophysics Data System (ADS)

    Wood, K. S.; Kowalski, M. P.; Cruddace, R. G.; Barstow, M. A.

    2006-01-01

    The bulk of radiation from million-degree plasmas is emitted at EUV wavelengths. Such plasmas are ubiquitous in astrophysics, and examples include the atmospheres of white dwarfs, accretion phenomena in cataclysmic variables (CVs) and some active galactic nuclei (AGN), the coronae of active stars, and the interstellar medium (ISM) of our own galaxy as well as of others. Internally, white dwarfs are formally analogous to neutron stars, being stellar configurations where the thermal contribution to support is secondary. Both stellar types have various intrinsic and environmental parameters. Comparison of such analogous systems using scaled parameters can be fruitful. Source class characterization is mature enough that such analogies can be used to compare theoretical ideas across a wide dynamic range in parameters, one example being theories of quasiperiodic oscillations. However, the white dwarf side of this program is limited by the available photometry and spectroscopy at EUV wavelengths, where there exist critical spectral features that contain diagnostic information often not available at other wavelengths. Moreover, interstellar absorption makes EUV observations challenging. Results from an observation of the hot white dwarf G191-B2B are presented to demonstrate the promise of high-resolution EUV spectroscopy. Two types of CVs, exemplified by AM Her and EX Hya, are used to illustrate blending of spectroscopy and timing measurements. Dynamical timescales and envisioned performance parameters of next-generation EUV satellites (effective area >20 cm 2, spectral resolution >10,000) make possible a new level of source modeling. The importance of the EUV cannot be overlooked given that observations are continually being pushed to cosmological distances, where the spectral energy distributions of X-ray bright AGNs, for example, will have their maxima redshifted into the EUV. Sometimes wrongly dismissed for limitations of small bandwidth or local view from optical

  4. Cleaning process for EUV optical substrates

    DOEpatents

    Weber, Frank J.; Spiller, Eberhard A.

    1999-01-01

    A cleaning process for surfaces with very demanding cleanliness requirements, such as extreme-ultraviolet (EUV) optical substrates. Proper cleaning of optical substrates prior to applying reflective coatings thereon is very critical in the fabrication of the reflective optics used in EUV lithographic systems, for example. The cleaning process involves ultrasonic cleaning in acetone, methanol, and a pH neutral soap, such as FL-70, followed by rinsing in de-ionized water and drying with dry filtered nitrogen in conjunction with a spin-rinse.

  5. First measurements of J/{psi} decays into {sigma}{sup +}{sigma}{sup -} and {xi}{sup 0}{xi}{sup 0}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ablikim, M.; Bai, J. Z.; Bai, Y.

    Based on 58x10{sup 6} J/{psi} events collected with the BESII detector at the Beijing Electron-Positron Collider, the baryon pair processes J/{psi}{yields}{sigma}{sup +}{sigma}{sup -} and J/{psi}{yields}{xi}{sup 0}{xi}{sup 0} are observed for the first time. The branching fractions are measured to be B(J/{psi}{yields}{sigma}{sup +}{sigma}{sup -})=(1.50{+-}0.10{+-}0.22)x10{sup -3} and B(J/{psi}{yields}{xi}{sup 0}{xi}{sup 0})=(1.20{+-}0.12{+-}0.21)x10{sup -3}, where the first errors are statistical and the second ones are systematic.

  6. Degradation-Free Spectrometers for Solar EUV Measurements: A Progress Report

    NASA Astrophysics Data System (ADS)

    Wieman, S. R.; Judge, D. L.; Didkovsky, L. V.

    2009-12-01

    Solar EUV observations will be made using two new degradation-free EUV spectrometers on a sounding rocket flight scheduled for Summer 2010. The two instruments, a rare gas photoionization-based Optics-Free Spectrometer (OFS) and a Dual Grating Spectrometer (DGS), are filter-free and optics-free. OFS can measure the solar EUV spectrum with a spectral resolution comparable to that of grating-based EUV spectrometers. The DGS is designed to provide solar irradiance at Lyman-alpha and He II to overlap EUV observations from SOHO/SEM and SDO/EVE. Electronic and mechanical designs for the flight prototype instruments and results of tests performed with the instruments in the laboratory are reported. The spectrometers are being developed and demonstrated as part of the Degradation Free Spectrometers (DFS) project under NASA’s Low Cost Access to Space (LCAS) program and are supported by NASA Grant NNX08BA12G.

  7. Measurement of the spin of the {xi}(1530) resonance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aubert, B.; Bona, M.; Karyotakis, Y.

    The properties of the {xi}(1530) resonance are investigated in the {lambda}{sub c}{sup +}{yields}{xi}{sup -}{pi}{sup +}K{sup +} decay process. The data sample was collected with the BABAR detector at the SLAC PEP-II asymmetric-energy e{sup +}e{sup -} collider operating at center-of-mass energies 10.58 and 10.54 GeV. The corresponding integrated luminosity is approximately 230 fb{sup -1}. The spin of the {xi}(1530) is established to be 3/2. The existence of an S-wave amplitude in the {xi}{sup -}{pi}{sup +} system is inferred, and its interference with the {xi}(1530){sup 0} amplitude provides the first clear demonstration of the Breit-Wigner phase motion expected for the {xi}(1530). Themore » P{sub 1}(cos{theta}{sub {xi}}{sub {sup -}}) Legendre polynomial moment indicates the presence of a significant S-wave amplitude for {xi}{sup -}{pi}{sup +} mass values above 1.6 GeV/c{sup 2}, and a dip in the mass distribution at approximately 1.7 GeV/c{sup 2} is interpreted as due to the coherent addition of a {xi}(1690){sup 0} contribution to this amplitude. This would imply J{sup P}=1/2{sup -} for the {xi}(1690). Attempts at fitting the {xi}(1530){sup 0} line shape yield unsatisfactory results, and this failure is attributed to interference effects associated with the amplitudes describing the K{sup +}{pi}{sup +} and/or {xi}{sup -}K{sup +} systems.« less

  8. OBSERVATIONS OF THERMAL FLARE PLASMA WITH THE EUV VARIABILITY EXPERIMENT

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Harry P.; Doschek, George A.; Mariska, John T.

    2013-06-20

    One of the defining characteristics of a solar flare is the impulsive formation of very high temperature plasma. The properties of the thermal emission are not well understood, however, and the analysis of solar flare observations is often predicated on the assumption that the flare plasma is isothermal. The EUV Variability Experiment (EVE) on the Solar Dynamics Observatory provides spectrally resolved observations of emission lines that span a wide range of temperatures (e.g., Fe XV-Fe XXIV) and allow for thermal flare plasma to be studied in detail. In this paper we describe a method for computing the differential emission measuremore » distribution in a flare using EVE observations and apply it to several representative events. We find that in all phases of the flare the differential emission measure distribution is broad. Comparisons of EVE spectra with calculations based on parameters derived from the Geostationary Operational Environmental Satellites soft X-ray fluxes indicate that the isothermal approximation is generally a poor representation of the thermal structure of a flare.« less

  9. Search for CP Violation in Hyperon Decay: $$\\Xi^- / \\bar{\\Xi}^+$$ and $$\\Lambda / \\bar{\\Lambda}$$ (in French)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leros, Nicolas

    2001-06-01

    The HyperCP(EB71) experiment, performed at the Fermi National Accelerator Laboratory in the United States, provides a primary search for direct OP violation in the decays ofmore » $$\\Xi^-/\\bar{\\Xi}^+$$ and $$\\Lambda/ \\bar{\\Lambda}$$ hyperons....« less

  10. Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Kim, Jinsu; Lowe, Jeff; Dattilo, Davide; Koh, Soowan; Choi, Jun Yeol; Dietze, Uwe; Shoki, Tsutomu; Kim, Byung Gook; Jeon, Chan-Uk

    2015-10-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation.

  11. Protection efficiency of a standard compliant EUV reticle handling solution

    NASA Astrophysics Data System (ADS)

    He, Long; Lystad, John; Wurm, Stefan; Orvek, Kevin; Sohn, Jaewoong; Ma, Andy; Kearney, Patrick; Kolbow, Steve; Halbmaier, David

    2009-03-01

    For successful implementation of extreme ultraviolet lithography (EUVL) technology for late cycle insertion at 32 nm half-pitch (hp) and full introduction for 22 nm hp high volume production, the mask development infrastructure must be in place by 2010. The central element of the mask infrastructure is contamination-free reticle handling and protection. Today, the industry has already developed and balloted an EUV pod standard for shipping, transporting, transferring, and storing EUV masks. We have previously demonstrated that the EUV pod reticle handling method represents the best approach in meeting EUVL high volume production requirements, based on then state-of-the-art inspection capability at ~53nm polystyrene latex (PSL) equivalent sensitivity. In this paper, we will present our latest data to show defect-free reticle handling is achievable down to 40 nm particle sizes, using the same EUV pod carriers as in the previous study and the recently established world's most advanced defect inspection capability of ~40 nm SiO2 equivalent sensitivity. The EUV pod is a worthy solution to meet EUVL pilot line and pre-production exposure tool development requirements. We will also discuss the technical challenges facing the industry in refining the EUV pod solution to meet 22 nm hp EUVL production requirements and beyond.

  12. Solar EUV Irradiance Measurements by the Auto-Calibrating EUV Spectrometers (SolACES) Aboard the International Space Station (ISS)

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Nikutowski, B.; Jacobi, C.; Brunner, R.; Erhardt, C.; Knecht, S.; Scherle, J.; Schlagenhauf, J.

    2014-05-01

    SolACES is part of the ESA SOLAR ISS mission that started aboard the shuttle mission STS-122 on 7 February 2008. The instrument has recorded solar extreme ultraviolet (EUV) irradiance from 16 to 150 nm during the extended solar activity minimum and the beginning solar cycle 24 with rising solar activity and increasingly changing spectral composition. The SOLAR mission has been extended from a period of 18 months to > 8 years until the end of 2016. SolACES is operating three grazing incidence planar grating spectrometers and two three-current ionization chambers. The latter ones are considered as primary radiometric detector standards. Re-filling the ionization chambers with three different gases repeatedly and using overlapping band-pass filters, the absolute EUV fluxes are derived in these spectral intervals. This way the serious problem of continuing efficiency changes in space-borne instrumentation is overcome during the mission. Evaluating the three currents of the ionization chambers, the overlapping spectral ranges of the spectrometers and of the filters plus inter-comparing the results from the EUV photon absorption in the gases with different absorption cross sections, there are manifold instrumental possibilities to cross-check the results providing a high degree of reliability to the spectral irradiance derived. During the mission a very strong up-and-down variability of the spectrometric efficiency by orders of magnitude is observed. One of the effects involved is channeltron degradation. However, there are still open questions on other effects contributing to these changes. A survey of the measurements carried out and first results of the solar spectral irradiance (SSI) data are presented. Inter-comparison with EUV data from other space missions shows good agreement such that the international effort has started to elaborate a complete set of EUV-SSI data taking into account all data available from 2008 to 2013.

  13. Source contributions of fine particulate matter during one winter haze episodes in Xi'an, China

    NASA Astrophysics Data System (ADS)

    Yang, X.; Wu, Q.

    2017-12-01

    Long-term exposure to high levels of fine particulate matter (PM2.5) is found to be associated with adverse effects on human health, ecological environment and climate change. Identification the major source regions of fine particulate matter are essential to proposing proper joint prevention and control strategies for heavy haze mitigation. In this work, the Comprehensive Air Quality Model with extensions (CAMx) together with the Particulate Source Apportionment Technology (PSAT) and the Weather Research and Forecast Model (WRF), have been applied to analyze the major source regions of PM2.5 in Xi'an during the heavy haze episodes in winter (29, December, 2016 - 5 January 2017), and the framework of the model system is shown in Fig. 1. Firstly, according to the model evaluation of the daily PM2.5 concentrations for the two months, the model has well performance, and the fraction of predictions within a factor of 2 of the observations (FAC2) is 84%, while the correlation coefficient (R) is 0.80 in Xi'an. By using the PSAT in CAMx model, a detailed source region contribution matrix is derived for all points within the Xi'an region and its six surrounding areas, and long-range regional transport. The results show that the local emission in Xi'an is the mainly sources at downtown area, which contributing 72.9% as shown in Fig.2, and the contribution rate of transportations between adjacent areas depends on wind direction. Meanwhile, three different suburban areas selected for detailed analysis in fine particles sources. Comparing to downtown area, the sources of suburban areas are more multiply, and the transportations make the contribution 40%-82%. In the suburban areas, regional inflows play an important role in the fine particles concentrations, indicating a strong need for regional joint emission control efforts. The results enhance the quantitative understanding of the PM2.5 source regions and provide a basis for policymaking to advance the control of pollution

  14. Magnetic Flux Emergence and the Initiation of Filament Eruptions and CMEs as Observed by the EUV Imaging Telescope on SOHO

    NASA Astrophysics Data System (ADS)

    Neupert, W. M.

    2005-05-01

    Solar observations over more than twenty years (e.g., Gaizauskas and Svestka, 1987, summarizing the "Flare Build-up Study", Feynman and Martin, 1995, and more recently, Wang and Sheeley, 1999) have demonstrated that emergence of new magnetic flux in the vicinity of quiescent filament fields frequently leads to the eruption of those filaments, given polarity orientations favorable for magnetic reconnection. Concurrently, models of the interaction of such magnetic flux configurations have been developed to explain the initiation of flares (e.g., Priest and Forbes, 2002) and coronal mass ejections (Chen et al., 2002). We have used observations made in the 195 Angstrom (Fe XII) band by the EUV imaging Telescope (EIT) on SOHO to identify instances of emerging flux, indicated by new EUV emission, and subsequent eruption of a quiescent filament in a search for coronal changes that might appear as a result of merging magnetic fields. Limiting our study to quiescent filaments distant from active regions, we have identified events in which a slow increase in filament height begins shortly (a few hours) after first appearance of an EUV emission source either within or beside the filament channel. For long filaments, the apex of the rising filament appears to lie above the developing EUV source, implying that the field supporting the filament is locally interacting with the emerging field. Transient EUV features at onset of the eruptive phase include low-lying loops over the neutral line and, more rarely, localized sources apparently associated with the rising filament. No evidence of reconfiguring of an overlying corona (only faintly detected by the EIT) prior to CME initiation has been found. Our results support the hypothesis that at least in some instances the emergence of new magnetic field leads to a loss of filament equilibrium and a coronal mass ejection. This work is supported by NASA Intergovernmental Transfer W-10118 to NOAA's Space Environment Center. SOHO is a

  15. Group 16SrXI phytoplasma strains, including subgroup 16SrXI-B and a new subgroup, 16SrXI-D, are associated with sugar cane white leaf.

    PubMed

    Zhang, Rong-Yue; Li, Wen-Feng; Huang, Ying-Kun; Wang, Xiao-Yan; Shan, Hong-Li; Luo, Zhi-Ming; Yin, Jiong

    2016-01-01

    Sugar cane white leaf (SCWL) is a serious disease caused by phytoplasmas. In this study, we performed nested PCR with phytoplasma universal primer pairs (P1/P7 and R16F2n/R16R2) for the 16S rRNA gene to detect SCWL phytoplasmas in 31 SCWL samples collected from Baoshan and Lincang, Yunnan, China. We cloned and sequenced the nested PCR products, revealing that the 16S rRNA gene sequences from 31 SCWL samples were all 1247 bp in length and shared more than 99 % nucleotide sequence similarity with the 16S rRNA gene sequences of SCWL phytoplasmas from various countries. Based on the reported 16S rRNA gene sequence data from SCWL isolates of various countries, we conducted phylogenetic and virtual RFLP analysis. In the resulting phylogenetic tree, all SCWL isolates clustered into two branches, with the Lincang and Baoshan SCWL phytoplasma isolates belonging to different branches. The virtual RFLP patterns show that phytoplasmas of the Lincang branch belong to subgroup 16SrXI-B. However, the virtual RFLP patterns revealed by HaeIII digestion of phytoplasmas of the Baoshan branch differed from those of subgroup 16SrXI-B. According to the results of phylogenetic and virtual RFLP analysis, we propose that the phytoplasmas of the Baoshan branch represent a new subgroup, 16SrXI-D. These findings suggest that SCWL is caused by phytoplasmas from group 16SrXI, including subgroup 16SrXI-B and a new subgroup, 16SrXI-D.

  16. First Observation of the Cabibbo-suppressed Decays Xi+(c) ---> Sigma+ pi- pi+ and Xi+(c) ---> Sigma- pi+ pi+ and Measurement of their Branching Ratios

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vazquez-Jauregui, E.; /San Luis Potosi U.; Engelfried, J.

    The authors report the first observation of two Cabibbo-suppressed decay modes, {Xi}{sub c}{sup +} {yields} {Sigma}{sup +}{pi}{sup -}{pi}{sup +} and {Xi}{sub c}{sup +} {yields} {Sigma}{sup -} {pi}{sup +}{pi}{sup +}. They observe 56 {+-} 13 over a background of 21, and 23 {+-} 7 over a background of 12 events, respectively, for the signals. The data were accumulated using the SELEX spectrometer during the 1996-1997 fixed target run at Fermilab, chiefly from a 600 GeV/c {Sigma}{sup -} beam. The branching ratios of the decays relative to the Cabibbo-favored {Xi}{sub c}{sup +} {yields} {Xi}{sup -}{pi}{sup +}{pi}{sup +} are measured to be B({Xi}{submore » c}{sup +} {yields} {Sigma}{sup +}{pi}{sup -}{pi}{sup +})/B({xi}{sub c}{sup +} {yields} {Xi}{sup -} {pi}{sup +}{pi}{sup +}) = 0.50 {+-} 0.20, and B({Xi}{sub c}{sup +} {yields} {Sigma}{sup -}{pi}{sup +}{pi}{sup +})/B({Xi}{sub c}{sup +} {yields} {Xi}{sup -}{pi}{sup +}{pi}{sup +}) = 0.23 {+-} 0.11, respectively. They also report branching ratios for the same decay modes of the {Lambda}{sub c}{sup +} relative to {Lambda}{sub c}{sup +} {yields} pK{sup -}{pi}{sup +}.« less

  17. Thermal energy creation and transport and X-ray/EUV emission in a thermodynamic MHD CME simulation

    NASA Astrophysics Data System (ADS)

    Reeves, K.; Mikic, Z.; Torok, T.; Linker, J.; Murphy, N. A.

    2017-12-01

    We model a CME using the PSI 3D numerical MHD code that includes coronal heating, thermal conduction and radiative cooling in the energy equation. The magnetic flux distribution at 1 Rs is produced by a localized subsurface dipole superimposed on a global dipole field, mimicking the presence of an active region within the global corona. We introduce transverse electric fields near the neutral line in the active region to form a flux rope, then a converging flow is imposed that causes the eruption. We follow the formation and evolution of the current sheet and find that instabilities set in soon after the reconnection commences. We simulate XRT and AIA EUV emission and find that the instabilities manifest as bright features emanating from the reconnection region. We examine the quantities responsible for plasma heating and cooling during the eruption, including thermal conduction, radiation, adiabatic compression and expansion, coronal heating and ohmic heating due to dissipation of currents. We find that the adiabatic compression plays an important role in heating the plasma around the current sheet, especially in the later stages of the eruption when the instabilities are present. Thermal conduction also plays an important role in the transport of thermal energy away from the current sheet region throughout the reconnection process.

  18. Light-cone distribution amplitudes of {xi} and their applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu Yonglu; Huang Mingqiu

    We present the light-cone distribution amplitudes of the {xi} baryons up to twist six on the basis of QCD conformal partial wave expansion to the leading order conformal spin accuracy. The nonperturbative parameters relevant to the DAs are determined in the framework of the QCD sum rule. The light-cone QCD sum rule approach is used to investigate both the electromagnetic form factors of {xi} and the exclusive semileptonic decay of {xi}{sub c} as applications. Our estimations on the magnetic moments are {mu}{sub {xi}{sup 0}}=-(1.92{+-}0.34){mu}{sub N} and {mu}{sub {xi}{sup -}}=-(1.19{+-}0.03){mu}{sub N}. The decay width of the process {xi}{sub c}{yields}{xi}e{sup +}{nu}{sub e}more » is evaluated to be {gamma}=8.73x10{sup -14} GeV, which is in accordance with the experimental measurements and other theoretical approaches.« less

  19. Design and pitch scaling for affordable node transition and EUV insertion scenario

    NASA Astrophysics Data System (ADS)

    Kim, Ryoung-han; Ryckaert, Julien; Raghavan, Praveen; Sherazi, Yasser; Debacker, Peter; Trivkovic, Darko; Gillijns, Werner; Tan, Ling Ee; Drissi, Youssef; Blanco, Victor; Bekaert, Joost; Mao, Ming; Larivière, Stephane; McIntyre, Greg

    2017-04-01

    imec's DTCO and EUV achievement toward imec 7nm (iN7) technology node which is industry 5nm node equivalent is reported with a focus on cost and scaling. Patterning-aware design methodology supports both iArF multiple patterning and EUV under one compliant design rule. FinFET device with contacted poly pitch of 42nm and metal pitch of 32nm with 7.5-track, 6.5-track, and 6-track standard cell library are explored. Scaling boosters are used to provide additional scaling and die cost benefit while lessening pitch shrink burden, and it makes EUV insertion more affordable. EUV pattern fidelity is optimized through OPC, SMO, M3D, mask sizing and SRAF. Processed wafers were characterized and edge-placement-error (EPE) variability is validated for EUV insertion. Scale-ability and cost of ownership of EUV patterning in aligned with iN7 standard cell design, integration and patterning specification are discussed.

  20. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    NASA Astrophysics Data System (ADS)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  1. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  2. Development of a EUV Test Facility at the Marshall Space Flight Center

    NASA Technical Reports Server (NTRS)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  3. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  4. Production and Decay of {xi}{sub c}{sup 0} at BABAR

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aubert, B.; Barate, R.; Boutigny, D.

    Using 116.1 fb{sup -1} of data collected by the BABAR detector, we present an analysis of {xi}{sub c}{sup 0} production in B decays and from the cc continuum, with the {xi}{sub c}{sup 0} decaying into {omega}{sup -}K{sup +} and {xi}{sup -}{pi}{sup +} final states. We measure the ratio of branching fractions B({xi}{sub c}{sup 0}{yields}{omega}{sup -}K{sup +})/B({xi}{sub c}{sup 0}{yields}{xi}{sup -}{pi}{sup +}) to be 0.294{+-}0.018{+-}0.016, where the first uncertainty is statistical and the second is systematic. The {xi}{sub c}{sup 0} momentum spectrum is measured on and 40 MeV below the {upsilon}(4S) resonance. From these spectra the branching fraction product B(B{yields}{xi}{sub c}{sup 0}X)xB({xi}{submore » c}{sup 0}{yields}{xi}{sup -}{pi}{sup +}) is measured to be (2.11{+-}0.19{+-}0.25)x10{sup -4}, and the cross-section product {sigma}(e{sup +}e{sup -}{yields}{xi}{sub c}{sup 0}X)xB({xi}{sub c}{sup 0}{yields}{xi}{sup -}{pi}{sup +}) from the continuum is measured to be (388{+-}39{+-}41) fb at a center-of-mass energy of 10.58 GeV.« less

  5. RESOLVING THE {xi} BOO BINARY WITH CHANDRA, AND REVEALING THE SPECTRAL TYPE DEPENDENCE OF THE CORONAL 'FIP EFFECT'

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wood, Brian E.; Linsky, Jeffrey L., E-mail: brian.wood@nrl.navy.mi, E-mail: jlinsky@jila.colorado.ed

    On 2008 May 2, Chandra observed the X-ray spectrum of {xi} Boo (G8 V+K4 V), resolving the binary for the first time in X-rays and allowing the coronae of the two stars to be studied separately. With the contributions of {xi} Boo A and B to the system's total X-ray emission now observationally established (88.5% and 11.5%, respectively), consideration of mass loss measurements for GK dwarfs of various activity levels (including one for {xi} Boo) leads to the surprising conclusion that {xi} Boo B may dominate the wind from the binary, with {xi} Boo A's wind being very weak despitemore » its active corona. Emission measure (EM) distributions and coronal abundances are computed for both stars and compared with Chandra measurements of other moderately active stars with G8-K5 spectral types, all of which exhibit a narrow peak in EM near log T = 6.6, indicating that the coronal heating process in these stars has a strong preference for this temperature. As is the case for the Sun and many other stars, our sample of stars shows coronal abundance anomalies dependent on the first ionization potential (FIP) of the element. We see no dependence of the degree of 'FIP effect' on activity, but there is a dependence on spectral type, a correlation that becomes more convincing when moderately active main-sequence stars with a broader range of spectral types are considered. This clear dependence of coronal abundances on spectral type weakens if the stellar sample is allowed to be contaminated by evolved stars, interacting binaries, or extremely active stars with log L{sub X} >29, explaining why this correlation has not been recognized in the past.« less

  6. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    NASA Astrophysics Data System (ADS)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  7. EUV Coronal Waves: Atmospheric and Heliospheric Connections and Energetics

    NASA Astrophysics Data System (ADS)

    Patsourakos, S.

    2015-12-01

    Since their discovery in late 90's by EIT on SOHO, the study EUV coronal waves has been a fascinating andfrequently strongly debated research area. While it seems as ifan overall consensus has been reached about the nurture and nature of this phenomenon,there are still several important questions regarding EUV waves. By focusing on the most recentobservations, we will hereby present our current understanding about the nurture and nature of EUV waves,discuss their connections with other atmospheric and heliospheric phenomena (e.g.,flares and CMEs, Moreton waves, coronal shocks, coronal oscillations, SEP events) and finallyassess their possible energetic contribution to the overall budget of relatederuptive phenomena.

  8. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  9. The Nature of the Flaring EUVE Companion to HD 43162

    NASA Technical Reports Server (NTRS)

    Kulkarni, Shrinivas R.

    2005-01-01

    The purpose of our program was to observe and characterize the companion to HD 43162, EUVE J0614-2354, which (serendipitously) experienced an enormous flare event during our EUVE observation of HD 43162, one of the nearby solar analogs that we observed during our survey of this population. Our observation was carried out and the data have been received and reduced. We are able to identify EUVE J0614-2354 in both the X-ray (EPIC MOS + PN) and the UV (OM) data, which provides a sub-arcsecond position for this source. Our findings are consistent with the analysis of Christian et al. (2003a,b), who identify EUVE J0614-2354 with a coronally-active M-dwarf star at distance d = 15 plus or minus 5pc. The X-ray spectrum from the EPIC data are also consistent with this identification.

  10. The Development of a New Model of Solar EUV Irradiance Variability

    NASA Technical Reports Server (NTRS)

    Warren, Harry; Wagner, William J. (Technical Monitor)

    2002-01-01

    The goal of this research project is the development of a new model of solar EUV (Extreme Ultraviolet) irradiance variability. The model is based on combining differential emission measure distributions derived from spatially and spectrally resolved observations of active regions, coronal holes, and the quiet Sun with full-disk solar images. An initial version of this model was developed with earlier funding from NASA. The new version of the model developed with this research grant will incorporate observations from SoHO as well as updated compilations of atomic data. These improvements will make the model calculations much more accurate.

  11. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  12. Topside Ionospheric Response to Solar EUV Variability

    NASA Astrophysics Data System (ADS)

    Anderson, P. C.; Hawkins, J.

    2015-12-01

    We present an analysis of 23 years of thermal plasma measurements in the topside ionosphere from several DMSP spacecraft at ~800 km. The solar cycle variations of the daily averaged densities, temperatures, and H+/O+ ratios show a strong relationship to the solar EUV as described by the E10.7 solar EUV proxy with cross-correlation coefficients (CCCs) with the density greater than 0.85. The H+/O+ varies dramatically from solar maximum when it is O+ dominated to solar minimum when it is H+ dominated. These ionospheric parameters also vary strongly with season, particularly at latitudes well away from the equator where the solar zenith angle (SZA) varies greatly with season. There are strong 27-day solar rotation periodicities in the density, associated with the periodicities in the solar EUV as measured by the TIMED SEE and SDO EVE instruments, with CCCs at times greater than 0.9 at selected wavelengths. Empirical Orthogonal Function (EOF) analysis captures over 95% of the variation in the density over the 23 years in the first two principle components. The first principle component (PC1) is clearly associated with the solar EUV showing a 0.91 CCC with the E10.7 proxy while the PC1 EOFs remain relatively constant with latitude indicating that the solar EUV effects are relatively independent of latitude. The second principle component (PC2) is clearly associated with the SZA variation, showing strong correlations with the SZA and the concomitant density variations at latitudes away from the equator and with the PC2 EOFs having magnitudes near zero at the equator and maximum at high latitude. The magnitude of the variation of the response of the topside ionosphere to solar EUV variability is shown to be closely related to the composition. This is interpreted as the result of the effect of composition on the scale height in the topside ionosphere and the "pivot effect" in which the variation in density near the F2 peak is expected to be amplified by a factor of e at an

  13. Increasing EUV source efficiency via recycling of radiation power

    NASA Astrophysics Data System (ADS)

    Hassanein, Ahmed; Sizyuk, Valeryi; Sizyuk, Tatyana; Johnson, Kenneth C.

    2018-03-01

    EUV source power is critical for advanced lithography, for achieving economical throughput performance and also for minimizing stochastic patterning effects. Power conversion efficiency can be increased by recycling plasma-scattered laser radiation and other out-of-band radiation back to the plasma via retroreflective optics. Radiation both within and outside of the collector light path can potentially be recycled. For recycling within the collector path, the system uses a diffractive collection mirror that concomitantly filters all laser and out-of-band radiation out of the EUV output. In this paper we review the optical design concept for power recycling and present preliminary plasma-physics simulation results showing a potential gain of 60% in EUV conversion efficiency.

  14. Performance of 100-W HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  15. Ptychographic imaging with partially coherent plasma EUV sources

    NASA Astrophysics Data System (ADS)

    Bußmann, Jan; Odstrčil, Michal; Teramoto, Yusuke; Juschkin, Larissa

    2017-12-01

    We report on high-resolution lens-less imaging experiments based on ptychographic scanning coherent diffractive imaging (CDI) method employing compact plasma sources developed for extreme ultraviolet (EUV) lithography applications. Two kinds of discharge sources were used in our experiments: a hollow-cathode-triggered pinch plasma source operated with oxygen and for the first time a laser-assisted discharge EUV source with a liquid tin target. Ptychographic reconstructions of different samples were achieved by applying constraint relaxation to the algorithm. Our ptychography algorithms can handle low spatial coherence and broadband illumination as well as compensate for the residual background due to plasma radiation in the visible spectral range. Image resolution down to 100 nm is demonstrated even for sparse objects, and it is limited presently by the sample structure contrast and the available coherent photon flux. We could extract material properties by the reconstruction of the complex exit-wave field, gaining additional information compared to electron microscopy or CDI with longer-wavelength high harmonic laser sources. Our results show that compact plasma-based EUV light sources of only partial spatial and temporal coherence can be effectively used for lens-less imaging applications. The reported methods may be applied in combination with reflectometry and scatterometry for high-resolution EUV metrology.

  16. OH+ emission from cometary knots in planetary nebulae

    NASA Astrophysics Data System (ADS)

    Priestley, F. D.; Barlow, M. J.

    2018-05-01

    We model the molecular emission from cometary knots in planetary nebulae (PNe) using a combination of photoionization and photodissociation region (PDR) codes, for a range of central star properties and gas densities. Without the inclusion of ionizing extreme ultraviolet (EUV) radiation, our models require central star temperatures T* to be near the upper limit of the range investigated in order to match observed H2 and OH+ surface brightnesses consistent with observations - with the addition of EUV flux, our models reproduce observed OH+ surface brightnesses for T* ≥ 100 kK. For T* < 80 kK, the predicted OH+ surface brightness is much lower, consistent with the non-detection of this molecule in PNe with such central star temperatures. Our predicted level of H2 emission is somewhat weaker than commonly observed in PNe, which may be resolved by the inclusion of shock heating or fluorescence due to UV photons. Some of our models also predict ArH+ and HeH+ rotational line emission above detection thresholds, despite neither molecule having been detected in PNe, although the inclusion of photodissociation by EUV photons, which is neglected by our models, would be expected to reduce their detectability.

  17. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  18. Laser plasma cryogenic target on translating substrate for generation of continuously repetitive EUV and soft X-ray pulses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Amano, Sho

    2014-06-15

    To generate continuously repetitive EUV and soft X-ray pulses with various wavelengths from laser-produced plasmas, a one-dimensionally translating substrate system with a closed He gas cryostat that can continuously supply various cryogenic targets for ∼10 Hz laser pulses has been developed. The system was successfully operated at a lowest temperature of 15 K and at a maximum up-down speed of 12 mm/s. Solid Ar, Kr, and Xe layers were formed, and their growth rates and the laser crater sizes on them were studied. By optimization of the operational parameters in accordance with our design rule, it was shown that stablemore » output power was achieved continuously from the plasma emission at frequencies of 1–10 Hz. The average soft X-ray and EUV powers obtained were 19 mW at 3.2 nm, 33 mW at 10.0 nm, and 66 mW at 10.8 nm, with 10% bandwidths, from the Ar, Kr, and Xe solid targets, respectively, with a laser power of 1 W. We will be able to achieve higher frequencies using a high beam quality laser that produces smaller craters, and can expect higher powers. Although only Ar, Kr, and Xe gases were tested in this study, the target system achieved a temperature of 15 K and can thus solidify almost all target gases, apart from H and He, and can continuously supply the solid target. The use of various target materials will enable expansion of the EUV and soft X-ray emission wavelength range.« less

  19. Serendipitous EUV sources detected during the first year of the Extreme Ultraviolet Explorer right angle program

    NASA Technical Reports Server (NTRS)

    Mcdonald, K.; Craig, N.; Sirk, M. M.; Drake, J. J.; Fruscione, A.; Vallerga, J. V.; Malina, R. F.

    1994-01-01

    We report the detection of 114 extreme ultraviolet (EUV; 58 - 740 A) sources, of which 99 are new serendipitous sources, based on observations made with the imaging telescopes on board the Extreme Ultraviolet Explorer (EUVE) during the Right Angle Program (RAP). These data were obtained using the survey scanners and the Deep Survey instrument during the first year of the spectroscopic guest observer phase of the mission, from January 1993 to January 1994. The data set consists of 162 discrete pointings whose exposure times are typically two orders of magnitude longer than the average exposure times during the EUVE all-sky survey. Based on these results, we can expect that EUVE will serendipitously detect approximately 100 new EUV sources per year, or about one new EUV source per 10 sq deg, during the guest observer phase of the EUVE mission. New EUVE sources of note include one B star and three extragalactic objects. The B star (HR 2875, EUVE J0729 - 38.7) is detected in both the Lexan/B (approximately 100 A) and Al/Ti/C (approximately 200 A) bandpasses, and the detection is shown not to be a result of UV leaks. We suggest that we are detecting EUV and/or soft x rays from a companion to the B star. Three sources, EUVE J2132+10.1, EUVE J2343-14.9, and EUVE J2359-30.6 are identified as the active galactic nuclei MKN 1513, MS2340.9-1511, and 1H2354-315, respectively.

  20. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  1. Novel EUV mask black border and its impact on wafer imaging

    NASA Astrophysics Data System (ADS)

    Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Watanabe, Genta; Ito, Shin; Yoshida, Itaru; Maruyama, Shingo; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-03-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.

  2. EUV observations of the active sun from the Harvard experiment on ATM. [Apollo Telescope Mount

    NASA Technical Reports Server (NTRS)

    Noyes, R. W.; Foukal, P. V.; Reeves, E. M.; Schmahl, E. J.; Timothy, J. G.; Vernazza, J. E.; Withbroe, G. L.; Huber, M. C. E.

    1975-01-01

    Some extreme UV observations of solar active regions made with a scanning spectroheliometer are described. Spectroheliograms constructed from digital data using a computer-driven cathode-ray tube display show clearly how the appearance of an active region changes as a function of temperature. Flare studies indicate that the impulsive rise in EUV emission occurs essentially simultaneously at all levels from the transition zone to the corona. Observations of sunspots reveal a very intense emission in transition zone lines. A matrix of Mg x rasters covering the entire sun reveals several hundred bright points having dimensions of 30 arc seconds or less. Other observations include coronal holes and prominences.

  3. EUV lithography: NXE platform performance overview

    NASA Astrophysics Data System (ADS)

    Peeters, Rudy; Lok, Sjoerd; Mallman, Joerg; van Noordenburg, Martijn; Harned, Noreen; Kuerz, Peter; Lowisch, Martin; van Setten, Eelco; Schiffelers, Guido; Pirati, Alberto; Stoeldraijer, Judon; Brandt, David; Farrar, Nigel; Fomenkov, Igor; Boom, Herman; Meiling, Hans; Kool, Ron

    2014-04-01

    The first NXE3300B systems have been qualified and shipped to customers. The NXE:3300B is ASML's third generation EUV system and has an NA of 0.33. It succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. Good overlay and imaging performance has been shown on the NXE:3300B system in line with 22nm device requirements. Full wafer CDU performance of <1.5nm for 22nm dense and iso lines at a dose of ~16mJ/cm2 has been achieved. Matched machine overlay (NXE to immersion) of around 3.5nm has been demonstrated on multiple systems. Dense lines have been exposed down to 13nm half pitch, and contact holes down to 17nm half pitch. 10nm node Metal-1 layers have been exposed with a DOF of 120nm, and using single spacer assisted double patterning flow a resolution of 9nm has been achieved. Source power is the major challenge to overcome in order to achieve cost-effectiveness in EUV and enable introduction into High Volume Manufacturing. With the development of the MOPA+prepulse operation of the source, steps in power have been made, and with automated control the sources have been prepared to be used in a preproduction fab environment. Flexible pupil formation is under development for the NXE:3300B which will extend the usage of the system in HVM, and the resolution for the full system performance can be extended to 16nm. Further improvements in defectivity performance have been made, while in parallel full-scale pellicles are being developed. In this paper we will discuss the current NXE:3300B performance, its future enhancements and the recent progress in EUV source performance.

  4. Integrated approach to improving local CD uniformity in EUV patterning

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  5. Extreme Ultraviolet Emission Spectrum of CO_2 Induced by Electron Impact at 200 eV

    NASA Technical Reports Server (NTRS)

    Kanik, I.; Ajello, J. M.; James, G. K.

    1993-01-01

    We present the extreme ultraviolet (EUV) emission spectrum of CO_2 induced by electronimpact at 200 eV. There are 36 spectral features which are identified with a resolution of 0.5 nmover the wavelength range of 40 to 125 nm. Absolute emission cross sections were obtained for eachof these features. The EUV emission spectrum induced by electron impact consist of atomicmultiplets of CI,II and OI,II,III as well as CO and CO^+ molecular band systems produced bydissociative excitation. The CI (119.4 nm) multiplet is the strongest feature of CI with a peak crosssection of 3.61 x 10^(-19) cm^2 at 200 eV. The strongest feature of OI in the EUV spectrum is theOI (99.0 nm) multiplet with a peak cross section of 3.59 x 10^(-19) cm^2 at 200 eV.

  6. Plasma-based EUV light source

    DOEpatents

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  7. Xi-cam: Flexible High Throughput Data Processing for GISAXS

    NASA Astrophysics Data System (ADS)

    Pandolfi, Ronald; Kumar, Dinesh; Venkatakrishnan, Singanallur; Sarje, Abinav; Krishnan, Hari; Pellouchoud, Lenson; Ren, Fang; Fournier, Amanda; Jiang, Zhang; Tassone, Christopher; Mehta, Apurva; Sethian, James; Hexemer, Alexander

    With increasing capabilities and data demand for GISAXS beamlines, supporting software is under development to handle larger data rates, volumes, and processing needs. We aim to provide a flexible and extensible approach to GISAXS data treatment as a solution to these rising needs. Xi-cam is the CAMERA platform for data management, analysis, and visualization. The core of Xi-cam is an extensible plugin-based GUI platform which provides users an interactive interface to processing algorithms. Plugins are available for SAXS/GISAXS data and data series visualization, as well as forward modeling and simulation through HipGISAXS. With Xi-cam's advanced mode, data processing steps are designed as a graph-based workflow, which can be executed locally or remotely. Remote execution utilizes HPC or de-localized resources, allowing for effective reduction of high-throughput data. Xi-cam is open-source and cross-platform. The processing algorithms in Xi-cam include parallel cpu and gpu processing optimizations, also taking advantage of external processing packages such as pyFAI. Xi-cam is available for download online.

  8. Characterization and control of EUV scanner dose uniformity and stability

    NASA Astrophysics Data System (ADS)

    Robinson, Chris; Corliss, Dan; Meli, Luciana; Johnson, Rick

    2018-03-01

    The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability. Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.

  9. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  10. Solar Demon: near real-time Flare, Dimming and EUV wave monitoring

    NASA Astrophysics Data System (ADS)

    Kraaikamp, Emil; Verbeeck, Cis

    Dimmings and EUV waves have been observed routinely in EUV images since 1996. They are closely associated with coronal mass ejections (CMEs), and therefore provide useful information for early space weather alerts. On the one hand, automatic detection and characterization of dimmings and EUV waves can be used to gain better understanding of the underlying physical mechanisms. On the other hand, every dimming and EUV wave provides extra information on the associated front side CME, and can improve estimates of the geo-effectiveness and arrival time of the CME. Solar Demon has been designed to detect and characterize dimmings, EUV waves, as well as solar flares in near real-time on Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) data. The detection modules are running continuously at the Royal Observatory of Belgium on both quick-look data, as well as synoptic science data. The output of Solar Demon can be accessed in near real-time on the Solar Demon website, and includes images, movies, light curves, and the numerical evolution of several parameters. Solar Demon is the result of collaboration between the FP7 projects AFFECTS and COMESEP. Flare detections of Solar Demon are integrated into the COMESEP alert system. Here we present the Solar Demon detection algorithms and their output. We will show several interesting flare, dimming and EUV wave events, and present general statistics of the detections made so far during solar cycle 24.

  11. EUV efficiency of a 6000-grooves per mm diffraction grating

    NASA Technical Reports Server (NTRS)

    Hurwitz, Mark; Bowyer, Stuart; Edelstein, Jerry; Harada, Tatsuo; Kita, Toshiaki

    1990-01-01

    In order to explore whether grooves ruled mechanically at a density of 6000 per mm can perform well at EUV wavelengths, a sample grating is measured with this density in an EUV calibration facility. Measurements are presented of the planar uniform line-space diffraction grating's efficiency and large-angle scattering.

  12. Xi-CAM v1.2.3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    PANDOLFI, RONALD; KUMAR, DINESH; VENKATAKRISHNAN, SINGANALLUR

    Xi-CAM aims to provide a community driven platform for multimodal analysis in synchrotron science. The platform core provides a robust plugin infrastructure for extensibility, allowing continuing development to simply add further functionality. Current modules include tools for characterization with (GI)SAXS, Tomography, and XAS. This will continue to serve as a development base as algorithms for multimodal analysis develop. Seamless remote data access, visualization and analysis are key elements of Xi-CAM, and will become critical to synchrotron data infrastructure as expectations for future data volume and acquisition rates rise with continuously increasing throughputs. The highly interactive design elements of Xi-cam willmore » similarly support a generation of users which depend on immediate data quality feedback during high-throughput or burst acquisition modes.« less

  13. Direct Observation of the Strange b Baryon {xi}{sub b}{sup -}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abazov, V. M.; Alexeev, G. D.; Kalinin, A. M.

    We report the first direct observation of the strange b baryon {xi}{sub b}{sup -}({xi}{sub b}{sup +}). We reconstruct the decay {xi}{sub b}{sup -}{yields}J/{psi}{xi}{sup -}, with J/{psi}{yields}{mu}{sup +}{mu}{sup -}, and {xi}{sup -}{yields}{lambda}{pi}{sup -}{yields}p{pi}{sup -}{pi}{sup -} in pp collisions at {radical}(s)=1.96 TeV. Using 1.3 fb{sup -1} of data collected by the D0 detector, we observe 15.2{+-}4.4(stat){sub -0.4}{sup +1.9}(syst) {xi}{sub b}{sup -} candidates at a mass of 5.774{+-}0.011(stat){+-}0.015(syst) GeV. The significance of the observed signal is 5.5{sigma}, equivalent to a probability of 3.3x10{sup -8} of it arising from a background fluctuation. Normalizing to the decay {lambda}{sub b}{yields}J/{psi}{lambda}, we measure the relative rate ({sigma}({xi}{submore » b}{sup -})xB({xi}{sub b}{sup -}{yields}J/{psi}{xi}{sup -})/{sigma}({lambda}{sub b})xB({lambda}{sub b}{yields}J/{psi}{lambda}))=0.28{+-}0.09(stat){sub -0.08}{sup +0.09}(syst)« less

  14. The extreme ultraviolet emissions of solar flares - A comparison between OSO-6 spectroheliograph observations and SFDs.

    NASA Technical Reports Server (NTRS)

    Donnelly, R. F.; Wood, A. T., Jr.; Noyes, R. W.

    1973-01-01

    The time structure and intensity of OSO-6 observations of EUV bursts were studied in relation to the corresponding 10-1030 A enhancements deduced from SFD data. Impulsive EUV emissions from lines normally emitted from either the chromosphere or from the chromosphere-corona transition region rise simultaneously with the 10-1030 A flash, to within the time resolution of the OSO-6 observations. Mg X 625 A also showed concurrent impulsive emissions and a close intensity relation to the 10-1030 A enhancement. The observational results are consistent with the hypothesis that most of the EUV radiation is being produced thermally in a region of chromospheric density, which is being heated by collisional losses of nonthermal electrons.

  15. Nanoimaging using soft X-ray and EUV laser-plasma sources

    NASA Astrophysics Data System (ADS)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  16. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Ê/hr for sputtered carbon and 40 Ê/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  17. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  18. Xi-cam: a versatile interface for data visualization and analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pandolfi, Ronald J.; Allan, Daniel B.; Arenholz, Elke

    Xi-cam is an extensible platform for data management, analysis and visualization.Xi-camaims to provide a flexible and extensible approach to synchrotron data treatment as a solution to rising demands for high-volume/high-throughput processing pipelines. The core ofXi-camis an extensible plugin-based graphical user interface platform which provides users with an interactive interface to processing algorithms. Plugins are available for SAXS/WAXS/GISAXS/GIWAXS, tomography and NEXAFS data. WithXi-cam's `advanced' mode, data processing steps are designed as a graph-based workflow, which can be executed live, locally or remotely. Remote execution utilizes high-performance computing or de-localized resources, allowing for the effective reduction of high-throughput data.Xi-cam's plugin-based architecture targetsmore » cross-facility and cross-technique collaborative development, in support of multi-modal analysis.Xi-camis open-source and cross-platform, and available for download on GitHub.« less

  19. Xi-cam: a versatile interface for data visualization and analysis

    DOE PAGES

    Pandolfi, Ronald J.; Allan, Daniel B.; Arenholz, Elke; ...

    2018-05-31

    Xi-cam is an extensible platform for data management, analysis and visualization.Xi-camaims to provide a flexible and extensible approach to synchrotron data treatment as a solution to rising demands for high-volume/high-throughput processing pipelines. The core ofXi-camis an extensible plugin-based graphical user interface platform which provides users with an interactive interface to processing algorithms. Plugins are available for SAXS/WAXS/GISAXS/GIWAXS, tomography and NEXAFS data. WithXi-cam's `advanced' mode, data processing steps are designed as a graph-based workflow, which can be executed live, locally or remotely. Remote execution utilizes high-performance computing or de-localized resources, allowing for the effective reduction of high-throughput data.Xi-cam's plugin-based architecture targetsmore » cross-facility and cross-technique collaborative development, in support of multi-modal analysis.Xi-camis open-source and cross-platform, and available for download on GitHub.« less

  20. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  1. Studies of Solar EUV Irradiance from SOHO

    NASA Technical Reports Server (NTRS)

    Floyd, Linton

    2002-01-01

    The Extreme Ultraviolet (EUV) irradiance central and first order channel time series (COC and FOC) from the Solar EUV Monitor aboard the Solar and Heliospheric observatory (SOHO) issued in early 2002 covering the time period 1/1/96-31/1201 were analyzed in terms of other solar measurements and indices. A significant solar proton effect in the first order irradiance was found and characterized. When this effect is removed, the two irradiance time series are almost perfectly correlated. Earlier studies have shown good correlation between the FOC and the Hall core-to-wing ratio and likewise, it was the strongest component of the COC. Analysis of the FOC showed dependence on the F10.7 radio flux. Analysis of the CDC signals showed additional dependences on F10.7 and the GOES x-ray fluxes. The SEM FOC was also well correlated with thein 30.4 nm channel of the SOHO EUV Imaging Telescope (EIT). The irradiance derived from all four EIT channels (30.4 nm, 17.1 nm, 28.4 nm, and 19.5 nm) showed better correlation with MgII than F10.7.

  2. Stability and imaging of the ASML EUV alpha demo tool

    NASA Astrophysics Data System (ADS)

    Hermans, Jan V.; Baudemprez, Bart; Lorusso, Gian; Hendrickx, Eric; van Dijk, Andre; Jonckheere, Rik; Goethals, Anne-Marie

    2009-03-01

    Extreme Ultra-Violet (EUV) lithography is the leading candidate for semiconductor manufacturing of the 22nm technology node and beyond, due to the very short wavelength of 13.5nm. However, reducing the wavelength adds complexity to the lithographic process. The impact of the EUV specific conditions on lithographic performance needs to be understood, before bringing EUV lithography into pre-production. To provide early learning on EUV, an EUV fullfield scanner, the Alpha Demo Tool (ADT) from ASML was installed at IMEC, using a Numerical Aperture (NA) of 0.25. In this paper we report on different aspects of the ADT: the imaging and overlay performance and both short and long-term stability. For 40nm dense Lines-Spaces (LS), the ADT shows an across field overlapping process window of 270nm Depth Of Focus (DOF) at 10% Exposure Latitude (EL) and a wafer CD Uniformity (CDU) of 3nm 3σ, without any corrections for process or reticle. The wafer CDU is correlated to different factors that are known to influence the CD fingerprint from traditional lithography: slit intensity uniformity, focus plane deviation and reticle CD error. Taking these contributions into account, the CD through slit fingerprint for 40nm LS is simulated with excellent agreement to experimental data. The ADT shows good CD stability over 9 months of operation, both intrafield and across wafer. The projection optics reflectivity has not degraded over 9 months. Measured overlay performance with respect to a dry tool shows |Mean|+3σ below 20nm with more correction potential by applying field-by-field corrections (|Mean|+3σ <=10nm). For 22nm SRAM application, both contact hole and metal layer were printed in EUV with 10% CD and 15nm overlay control. Below 40nm, the ADT shows good wafer CDU for 30nm dense and isolated lines (on the same wafer) and 38nm dense Contact Holes (CH). First 28nm dense line CDU data are achieved. The results indicate that the ADT can be used effectively for EUV process development

  3. Magnetron sputtering for the production of EUV mask blanks

    NASA Astrophysics Data System (ADS)

    Kearney, Patrick; Ngai, Tat; Karumuri, Anil; Yum, Jung; Lee, Hojune; Gilmer, David; Vo, Tuan; Goodwin, Frank

    2015-03-01

    Ion Beam Deposition (IBD) has been the primary technique used to deposit EUV mask blanks since 1995 when it was discovered it could produce multilayers with few defects. Since that time the IBD technique has been extensively studied and improved and is finally approaching usable defectivities. But in the intervening years, the defectivity of magnetron sputtering has been greatly improved. This paper evaluates the suitability of a modern magnetron tool to produce EUV mask blanks and the ability to support HVM production. In particular we show that the reflectivity and uniformity of these tools are superior to current generation IBD tools, and that the magnetron tools can produce EUV films with defect densities comparable to recent best IBD tool performance. Magnetron tools also offer many advantages in manufacturability and tool throughput; however, challenges remain, including transitioning the magnetron tools from the wafer to mask formats. While work continues on quantifying the capability of magnetron sputtering to meet the mask blank demands of the industry, for the most part the remaining challenges do not require any fundamental improvements to existing technology. Based on the recent results and the data presented in this paper there is a clear indication that magnetron deposition should be considered for the future of EUV mask blank production.

  4. Development of a 1m-normal-incidence-EUV-Telescope

    NASA Technical Reports Server (NTRS)

    Grewing, M.; Kraemer, G.; Schulz-Luepertz, E.; Wulf-Mathies, C.; Bowyer, S.; Jacobsen, P.; Jelinsky, P.; Kimble, R.

    1982-01-01

    A brief description is given of the 1m-EUV-Telescope and its focal plane instrumentation, namely an EUV spectrometer and six EUV/FUV photometers. The telescope is scheduled for launch on an Aries rocket on June 17, 1982. The principal goals are the white dwarf HZ43 and a photometric scan across the sky in an area of the sky where 21 cm line observations reveal a steep density gradient. The optical bench of the telescope is a cylinder made of a graphite epoxy compound. Despite its low specific weight, the bench shows an excellent mechanical performance, with an elasticity modulus of approximately 70,000 N/cu mm. It is pointed out that by carefully combining layers with different winding angles of the carbon fiber, the thermal expansion along the cylinder axis is almost negligible, even under severe thermal loads

  5. Note: Enhancement of the extreme ultraviolet emission from a potassium plasma by dual laser irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Yamaguchi, Mami; Otsuka, Takamitsu

    2014-09-15

    Emission spectra from multiply charged potassium ions ranging from K{sup 3+} to K{sup 5+} have been obtained in the extreme ultraviolet (EUV) spectral region. A strong emission feature peaking around 38 nm, corresponding to a photon energy of 32.6 eV, is the dominant spectral feature at time-averaged electron temperatures in the range of 8−12 eV. The variation of this emission with laser intensity and the effects of pre-pulses on the relative conversion efficiency (CE) have been explored experimentally and indicate that an enhancement of about 30% in EUV CE is readily attainable.

  6. EUV Waves Driven by the Sudden Expansion of Transequatorial Loops Caused by Coronal Jets

    NASA Astrophysics Data System (ADS)

    Shen, Yuandeng; Tang, Zehao; Miao, Yuhu; Su, Jiangtao; Liu, Yu

    2018-06-01

    We present two events to study the driving mechanism of extreme-ultraviolet (EUV) waves that are not associated with coronal mass ejections (CMEs), by using high-resolution observations taken by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Observational results indicate that the observed EUV waves were accompanied by flares and coronal jets, but not the CMEs that were regarded as drivers of most EUV waves in previous studies. In the first case, it is observed that a coronal jet is ejected along a transequatorial loop system at a plane-of-the-sky (POS) speed of 335 ± 22 km s{}-1; in the meantime, an arc-shaped EUV wave appeared on the eastern side of the loop system. In addition, the EUV wave further interacted with another interconnecting loop system and launched a fast propagating (QFP) magnetosonic wave along the loop system, which had a period of 200 s and a speed of 388 ± 65 km s{}-1, respectively. In the second case, we observed a coronal jet that ejected at a POS speed of 282 ± 44 km s{}-1 along a transequatorial loop system as well as the generation of bright EUV waves on the eastern side of the loop system. Based on the observational results, we propose that the observed EUV waves on the eastern side of the transequatorial loop systems are fast-mode magnetosonic waves and that they are driven by the sudden lateral expansion of the transequatorial loop systems due to the direct impingement of the associated coronal jets, while the QFP wave in the fist case formed due to the dispersive evolution of the disturbance caused by the interaction between the EUV wave and the interconnecting coronal loops. It is noted that EUV waves driven by sudden loop expansions have shorter lifetimes than those driven by CMEs.

  7. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  8. Actinic imaging and evaluation of phase structures on EUV lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mochi, Iacopo; Goldberg, Kenneth; Huh, Sungmin

    2010-09-28

    The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of themore » object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.« less

  9. ``Big Bang" for NASA's Buck: Nearly Three Years of EUVE Mission Operations at UCB

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.; Nevitt, R.; McDonald, K. E.; Cullison, J.; Malina, R. F.

    1999-12-01

    After over seven years in orbit, NASA's Extreme Ultraviolet Explorer (EUVE) satellite continues to perform flawlessly and with no significant loss of science capabilities. EUVE continues to produce important and exciting science results and, with reentry not expected until 2003-2004, many more such discoveries await. In the nearly three years since the outsourcing of EUVE from NASA's Goddard Space Flight Center, the small EUVE operations team at the University of California at Berkeley (UCB) has successfully conducted all aspects of the EUVE mission -- from satellite operations, science and mission planning, and data processing, delivery, and archival, to software support, systems administration, science management, and overall mission direction. This paper discusses UCB's continued focus on automation and streamlining, in all aspects of the Project, as the means to maximize EUVE's overall scientific productivity while minimizing costs. Multitasking, non-traditional work roles, and risk management have led to expanded observing capabilities while achieving significant cost reductions and maintaining the mission's historical 99 return. This work was funded under NASA Cooperative Agreement NCC5-138.

  10. Contamination Effects on EUV Optics

    NASA Technical Reports Server (NTRS)

    Tveekrem, J.

    1999-01-01

    During ground-based assembly and upon exposure to the space environment, optical surfaces accumulate both particles and molecular condensibles, inevitably resulting in degradation of optical instrument performance. Currently, this performance degradation (and the resulting end-of-life instrument performance) cannot be predicted with sufficient accuracy using existing software tools. Optical design codes exist to calculate instrument performance, but these codes generally assume uncontaminated optical surfaces. Contamination models exist which predict approximate end-of-life contamination levels, but the optical effects of these contamination levels can not be quantified without detailed information about the optical constants and scattering properties of the contaminant. The problem is particularly pronounced in the extreme ultraviolet (EUV, 300-1,200 A) and far (FUV, 1,200-2,000 A) regimes due to a lack of data and a lack of knowledge of the detailed physical and chemical processes involved. Yet it is in precisely these wavelength regimes that accurate predictions are most important, because EUV/FUV instruments are extremely sensitive to contamination.

  11. Ultra-low roughness magneto-rheological finishing for EUV mask substrates

    NASA Astrophysics Data System (ADS)

    Dumas, Paul; Jenkins, Richard; McFee, Chuck; Kadaksham, Arun J.; Balachandran, Dave K.; Teki, Ranganath

    2013-09-01

    EUV mask substrates, made of titania-doped fused silica, ideally require sub-Angstrom surface roughness, sub-30 nm flatness, and no bumps/pits larger than 1 nm in height/depth. To achieve the above specifications, substrates must undergo iterative global and local polishing processes. Magnetorheological finishing (MRF) is a local polishing technique which can accurately and deterministically correct substrate figure, but typically results in a higher surface roughness than the current requirements for EUV substrates. We describe a new super-fine MRF® polishing fluid whichis able to meet both flatness and roughness specifications for EUV mask blanks. This eases the burden on the subsequent global polishing process by decreasing the polishing time, and hence the defectivity and extent of figure distortion.

  12. Exploring EUV Spicules Using 304 Angstrom He II Data from SDO AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian R.; Sterling, Alphonse C.; Falconer, David A.; Moore, Ron L.

    2014-01-01

    We present results from a statistical study of He II 304 Angstrom Extreme Ultraviolet (EUV) spicules at the limb of the Sun. We also measured properties of one macrospicule; macrospicules are longer than most spicules, and much broader in width than spicules. We use high-cadence (12 second) and high-resolution (0.6 arcseconds pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred near the solar north pole, where quiet Sun or coronal hole environments ensued. We examined the maximum lengths, maximum rise velocities, and lifetimes of 33 Extreme Ultraviolet (EUV) spicules and the macrospicule. For the bulk of the Extreme Ultraviolet (EUV) spicules these quantities are, respectively, approximately 10,000-40,000 kilometers, 20-100 kilometers per second, and approximately 100- approximately 1000 seconds. For the macrospicule the corresponding quantities were respectively approximately 60,000 kilometers, approximately 130 kilometers per second, approximately 1800 seconds, which is typical of macrospicules measured by other workers. Therefore macrospicules are taller, longer-lived, and faster than most Extreme Ultraviolet (EUV) spicules. The rise profiles of both the spicules and the macrospicules match well a second-order ("parabolic" ) trajectory, although the acceleration was often weaker than that of solar gravity in the profiles fitted to the trajectories. Our macrospicule also had an obvious brightening at its base at birth, while such brightening was not apparent for the Extreme Ultraviolet (EUV) spicules. Most of the Extreme Ultraviolet (EUV) spicules remained visible during their descent back to the solar surface, although a small percentage of the spicules and the macrospicule faded out before falling back to the surface. Our sample of macrospicules is not yet large enough to determine whether their initiation mechanism is identical to that of Extreme Ultraviolet (EUV

  13. Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traebert, E.; Beiersdorfer, P.; Clementson, J.

    2012-05-25

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines observed in the XUV and EUV ranges of the spectrum of the star Capella as observed by the Chandra spacecraft, even after correction for interstellar absorption. This excess becomes apparent in the comparison of the observations with simulations of stellar spectra obtained using collisional-radiative models that employ, for example, the Atomic Plasma Emission Code (APEC) or the Flexible Atomic Code (FAC). We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT).

  14. Design and progress in the fabrication of an EUV micro exposure tool optics for PREUVE

    NASA Astrophysics Data System (ADS)

    Geyl, Roland; Tanne, Jean-Francois

    2001-12-01

    SAGEM, through its REOSC product line, is participating since November 1999 to PREUVE, the French EUV initiative, and work within this program especially in the field of EUV illumination and projection optics. After a short description of the PREUVE main lines of activity, we will detail our contributions to this program and work progress. This is mainly focused on basic EUV optics fabrication technology in order to ensure the fabrication of the entire optics assembly of an EUV micro exposure tool.

  15. Optical element for full spectral purity from IR-generated EUV light sources

    NASA Astrophysics Data System (ADS)

    van den Boogaard, A. J. R.; Louis, E.; van Goor, F. A.; Bijkerk, F.

    2009-03-01

    Laser produced plasma (LLP) sources are generally considered attractive for high power EUV production in next generation lithography equipment. Such plasmas are most efficiently excited by the relatively long, infrared wavelengths of CO2-lasers, but a significant part of the rotational-vibrational excitation lines of the CO2 radiation will be backscattered by the plasma's critical density surface and consequently will be present as parasitic radiation in the spectrum of such sources. Since most optical elements in the EUV collecting and imaging train have a high reflection coefficient for IR radiation, undesirable heating phenomena at the resist level are likely to occur. In this study a completely new principle is employed to obtain full separation of EUV and IR radiation from the source by a single optical component. While the application of a transmission filter would come at the expense of EUV throughput, this technique potentially enables wavelength separation without loosing reflectance compared to a conventional Mo/Si multilayer coated element. As a result this method provides full spectral purity from the source without loss in EUV throughput. Detailed calculations on the principal of functioning are presented.

  16. EUV process improvement with novel litho track hardware

    NASA Astrophysics Data System (ADS)

    Stokes, Harold; Harumoto, Masahiko; Tanaka, Yuji; Kaneyama, Koji; Pieczulewski, Charles; Asai, Masaya

    2017-03-01

    Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased HVM feasibility. Targeted improvements in hardware design for advanced lithography are of interest to our group specifically for metrics such as CD uniformity, LWR, and defect density. Of course, our work is focused on EUV process steps that are specifically affected by litho track performance, and consequently, can be improved by litho track design improvement and optimization. In this study we are building on our experience to provide continual improvement for LWR, CDU, and Defects as applied to a standard EUV process by employing novel hardware solutions on our SOKUDO DUO coat develop track system. Although it is preferable to achieve such improvements post-etch process we feel, as many do, that improvements after patterning are a precursor to improvements after etching. We hereby present our work utilizing the SOKUDO DUO coat develop track system with an ASML NXE:3300 in the IMEC (Leuven, Belgium) cleanroom environment to improve aggressive dense L/S patterns.

  17. Search for the lepton-number-violating decay Xi(-)-->pmu(-)mu(-).

    PubMed

    Rajaram, D; Burnstein, R A; Chakravorty, A; Chan, A; Chen, Y C; Choong, W S; Clark, K; Dukes, E C; Durandet, C; Felix, J; Gidal, G; Gu, P; Gustafson, H R; Ho, C; Holmstrom, T; Huang, M; James, C; Jenkins, C M; Kaplan, D M; Lederman, L M; Leros, N; Longo, M J; Lopez, F; Lu, L C; Luebke, W; Luk, K B; Nelson, K S; Park, H K; Perroud, J-P; Rubin, H A; Teng, P K; Volk, J; White, C G; White, S L; Zyla, P

    2005-05-13

    A sensitive search for the lepton-number-violating decay Xi(-)-->pmu(-)mu(-) has been performed using a sample of approximately 10(9) Xi(-) hyperons produced in 800 GeV/c p-Cu collisions. We obtain B(Xi(-)-->pmu(-)mu(-))<4.0x10(-8) at 90% confidence, improving on the best previous limit by 4 orders of magnitude.

  18. EUV Irradiance Inputs to Thermospheric Density Models: Open Issues and Path Forward

    NASA Astrophysics Data System (ADS)

    Vourlidas, A.; Bruinsma, S.

    2018-01-01

    One of the objectives of the NASA Living With a Star Institute on "Nowcasting of Atmospheric Drag for low Earth orbit (LEO) Spacecraft" was to investigate whether and how to increase the accuracy of atmospheric drag models by improving the quality of the solar forcing inputs, namely, extreme ultraviolet (EUV) irradiance information. In this focused review, we examine the status of and issues with EUV measurements and proxies, discuss recent promising developments, and suggest a number of ways to improve the reliability, availability, and forecast accuracy of EUV measurements in the next solar cycle.

  19. Resolving the xi Boo Binary with Chandra, and Revealing the Spectral Type Dependence of the Coronal "Fip Effect"

    NASA Technical Reports Server (NTRS)

    Wood, Brian E.; Linsky, Jeffrey L.

    2010-01-01

    On 2008 May 2, Chandra observed the X-ray spectrum of xi Boo (G8 V+K4 V), resolving the binary for the first time in X-rays and allowing the coronae of the two stars to be studied separately. With the contributions of ξ Boo A and B to the system's total X-ray emission now observationally established (88.5% and 11.5% respectively), consideration of mass loss measurements for GK dwarfs of various activity levels (including one for xi Boo) leads to the surprising conclusion that xi Boo B may dominate the wind from the binary, with xi Boo A's wind being very weak despite its active corona. Emission measure (EM) distributions and coronal abundances are computed for both stars and compared with Chandra measurements of other moderately active stars with G8-K5 spectral types, all of which exhibit a narrow peak in EM near log T = 6.6, indicating that the coronal heating process in these stars has a strong preference for this temperature. As is the case for the Sun and many other stars, our sample of stars shows coronal abundance anomalies dependent on the first ionization potential (FIP) of the element. We see no dependence of the degree of FIP effect on activity, but there is a dependence on spectral type, a correlation that becomes more convincing when moderately active main-sequence stars with a broader range of spectral types are considered. This clear dependence of coronal abundances on spectral type weakens if the stellar sample is allowed to be contaminated by evolved stars, interacting binaries or extremely active stars with logLX 29, explaining why this correlation has not been recognized in the past.

  20. Method of fabricating reflection-mode EUV diffusers

    DOEpatents

    Anderson, Erik; Naulleau, Patrick P.

    2005-03-01

    Techniques for fabricating well-controlled, random relief, engineered surfaces that serve as substrates for EUV optical devices are accomplished with grayscale exposure. The method of fabricating a multilevel EUV optical element includes: (a) providing a substrate; (b) depositing a layer of curable material on a surface of the substrate; (c) creating a relief profile in a layer of cured material from the layer of curable material wherein the relief profile comprises multiple levels of cured material that has a defined contour; and (d) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. The curable material can comprise photoresist or a low dielectric constant material.

  1. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  2. LONG DURATION FLARE EMISSION: IMPULSIVE HEATING OR GRADUAL HEATING?

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qiu, Jiong; Longcope, Dana W.

    Flare emissions in X-ray and EUV wavelengths have previously been modeled as the plasma response to impulsive heating from magnetic reconnection. Some flares exhibit gradually evolving X-ray and EUV light curves, which are believed to result from superposition of an extended sequence of impulsive heating events occurring in different adjacent loops or even unresolved threads within each loop. In this paper, we apply this approach to a long duration two-ribbon flare SOL2011-09-13T22 observed by the Atmosphere Imaging Assembly (AIA). We find that to reconcile with observed signatures of flare emission in multiple EUV wavelengths, each thread should be heated inmore » two phases, an intense impulsive heating followed by a gradual, low-rate heating tail that is attenuated over 20–30 minutes. Each AIA resolved single loop may be composed of several such threads. The two-phase heating scenario is supported by modeling with both a zero-dimensional and a 1D hydrodynamic code. We discuss viable physical mechanisms for the two-phase heating in a post-reconnection thread.« less

  3. Exploring EUV and SAQP pattering schemes at 5nm technology node

    NASA Astrophysics Data System (ADS)

    Hamed Fatehy, Ahmed; Kotb, Rehab; Lafferty, Neal; Jiang, Fan; Word, James

    2018-03-01

    For years, Moore's law keeps driving the semiconductors industry towards smaller dimensions and higher density chips with more devices. Earlier, the correlation between exposure source's wave length and the smallest resolvable dimension, mandated the usage of Deep Ultra-Violent (DUV) optical lithography system which has been used for decades to sustain Moore's law, especially when immersion lithography was introduced with 193nm ArF laser sources. As dimensions of devices get smaller beyond Deep Ultra-Violent (DUV) optical resolution limits, the need for Extremely Ultra-Violent (EUV) optical lithography systems was a must. However, EUV systems were still under development at that time for the mass-production in semiconductors industry. Theretofore, Multi-Patterning (MP) technologies was introduced to swirl about DUV optical lithography limitations in advanced nodes beyond minimum dimension (CD) of 20nm. MP can be classified into two main categories; the first one is to split the target itself across multiple masks that give the original target patterns when they are printed. This category includes Double, Triple and Quadruple patterning (DP, TP, and QP). The second category is the Self-Aligned Patterning (SAP) where the target is divided into Mandrel patterns and non-Mandrel patterns. The Mandrel patterns get printed first, then a self-aligned sidewalls are grown around these printed patterns drawing the other non-Mandrel targets, afterword, a cut mask(s) is used to define target's line-ends. This approach contains Self-Aligned-Double Pattering (SADP) and Self-Aligned- Quadruple-Pattering (SAQP). DUV and MP along together paved the way for the industry down to 7nm. However, with the start of development at the 5nm node and the readiness of EUV, the differentiation question is aroused again, which pattering approach should be selected, direct printing using EUV or DUV with MP, or a hybrid flow that contains both DUV-MP and EUV. In this work we are comparing two

  4. SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform

    NASA Astrophysics Data System (ADS)

    Bekaert, Joost; Di Lorenzo, Paolo; Mao, Ming; Decoster, Stefan; Larivière, Stéphane; Franke, Joern-Holger; Blanco Carballo, Victor M.; Kutrzeba Kotowska, Bogumila; Lazzarino, Frederic; Gallagher, Emily; Hendrickx, Eric; Leray, Philippe; Kim, R. Ryoung-han; McIntyre, Greg; Colsters, Paul; Wittebrood, Friso; van Dijk, Joep; Maslow, Mark; Timoshkov, Vadim; Kiers, Ton

    2017-03-01

    The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an `aggressive' full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.

  5. Hemispherical Nature of EUV Shocks Revealed by SOHO, STEREO, and SDO Observations

    NASA Technical Reports Server (NTRS)

    Gopalswamy, Natchimuthuk; Nitta, N.; Akiyama, S.; Makela, P.; Yashiro, S.

    2011-01-01

    EUV wave transients associated with type II radio bursts are manifestation of CME-driven shocks in the solar corona. We use recent EUV wave observations from SOHO, STEREO, and SDO for a set of CMEs to show that the EUV transients have a spherical shape in the inner corona. We demonstrate this by showing that the radius of the EUV transient on the disk observed by one instrument is approximately equal to the height of the wave above the solar surface in an orthogonal view provided by another instrument. The study also shows that the CME-driven shocks often form very low in the corona at a heliocentric distance of 1.2 Rs, even smaller than the previous estimates from STEREO/CORl data (Gopalswamy et aI., 2009, Solar Phys. 259, 227). These results have important implications for the acceleration of solar energetic particles by CMEs

  6. A double-stream Xe:He jet plasma emission in the vicinity of 6.7 nm

    NASA Astrophysics Data System (ADS)

    Chkhalo, N. I.; Garakhin, S. A.; Golubev, S. V.; Lopatin, A. Ya.; Nechay, A. N.; Pestov, A. E.; Salashchenko, N. N.; Toropov, M. N.; Tsybin, N. N.; Vodopyanov, A. V.; Yulin, S.

    2018-05-01

    We present the results of investigations of extreme ultraviolet (EUV) light emission in the range from 5 to 10 nm. The light source was a pulsed "double-stream" Xe:He gas jet target irradiated by a laser beam with a power density of ˜1011 W/cm2. The radiation spectra were measured with a Czerny-Turner monochromator with a plane diffraction grating. The conversion efficiency of the laser energy into EUV radiation caused by Xe+14…+16 ion emission in the range of 6-8 nm was measured using a calibrated power meter. The conversion efficiency of the laser radiation into EUV in the vicinity of 6.7 nm was (2.17 ± 0.13)% in a 1 nm spectral band. In the spectral band of the real optical system (0.7% for La/B multilayer mirrors) emitted into the half-space, it was (0.1 ± 0.006)%. The results of this study provide an impetus for further research on laser plasma sources for maskless EUV lithography at a wavelength of 6.7 nm.

  7. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    PubMed

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  8. Study of excited charm-strange baryons with evidence for new baryons {xi}{sub c}(3055){sup +} and {xi}{sub c}(3123){sup +}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aubert, B.; Bona, M.; Boutigny, D.

    We present a study of excited charm-strange baryon states produced in e{sup +}e{sup -} annihilations at or near a center-of-mass energy of 10.58 GeV, in a data sample with an integrated luminosity of 384 fb{sup -1} recorded with the BABAR detector at the PEP-II e{sup +}e{sup -} storage rings at the Stanford Linear Accelerator Center. We study strong decays of charm-strange baryons to {lambda}{sub c}{sup +}K{sub S}{sup 0}, {lambda}{sub c}{sup +}K{sup -}, {lambda}{sub c}{sup +}K{sup -}{pi}{sup +}, {lambda}{sub c}{sup +}K{sub S}{sup 0}{pi}{sup -}, {lambda}{sub c}{sup +}K{sub S}{sup 0}{pi}{sup -}{pi}{sup +}, and {lambda}{sub c}{sup +}K{sup -}{pi}{sup +}{pi}{sup -}. This study confirmsmore » the existence of the states {xi}{sub c}(2980){sup +}, {xi}{sub c}(3077){sup +}, and {xi}{sub c}(3077){sup 0}, with a more accurate determination of the {xi}{sub c}(2980){sup +} mass and width. We also present evidence for two new states, {xi}{sub c}(3055){sup +} and {xi}{sub c}(3123){sup +}, decaying through the intermediate-resonant modes {sigma}{sub c}(2455){sup ++}K{sup -} and {sigma}{sub c}(2520){sup ++}K{sup -}, respectively. For each of these baryons, we measure the yield in each final state, determine the statistical significance, and calculate the product of the production cross section and branching fractions. We also measure the masses and widths of these excited charm-strange baryons.« less

  9. Shot noise, LER, and quantum efficiency of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Brainard, Robert L.; Trefonas, Peter; Lammers, Jeroen H.; Cutler, Charlotte A.; Mackevich, Joseph F.; Trefonas, Alexander; Robertson, Stewart A.

    2004-05-01

    The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied. These resists were identical to EUV-2D except were prepared with seven levels of added base while keeping all other resist variables constant. These seven resists were patterned with EUV lithography, and LER was measured on 100-200 nm dense lines. Similarly, the resists were also imaged using DUV lithography and LER was determined for 300-500 nm dense lines. LER results for both wavelengths were plotted against Esize. Both curves show very similar LER behavior-the resists requiring low doses have poor LER, whereas the resists requiring high doses have good LER. One possible explanation for the observed LER response is that the added base improves LER by reacting with the photogenerated acid to control the lateral spread of acid, leading to better chemical contrast at the line edge. An alternative explanation to the observed relationship between LER and Esize is that shot-noise generated LER decreases as the number of photons absorbed at the line edge increases. We present an analytical model for the influence of shot noise based on Poisson statistics that preidicts that the LER is proportional to (Esize)-1/2. Indeed, both sets of data give straight lines when plotted this way (DUV r2 = 0.94; EUV r2 = 0.97). We decided to further evaluate this interpretation by constructing a simulation model for shot noise resulting from exposure and acid diffusion at the mask edge. In order to acquire the data for this model, we used the base titration method developed by Szmanda et al. to determine C-parameters and hence the quantum efficiency for producing photogenerated acid. This information, together with film absorptivity, allows the calculation of number and location of acid molecules generated at the mask edgte by assuming a stochastic distribution of individual photons corresponding to the aerial image function. The edge

  10. A new Schwarzschild optical system for two-dimensional EUV imaging of MRX plasmas

    NASA Astrophysics Data System (ADS)

    Bolgert, P.; Bitter, M.; Efthimion, P.; Hill, K. W.; Ji, H.; Myers, C. E.; Yamada, M.; Yoo, J.; Zweben, S.

    2013-10-01

    This poster describes the design and construction of a new Schwarzschild optical system for two-dimensional EUV imaging of plasmas. This optical system consists of two concentric spherical mirrors with radii R1 and R2, and is designed to operate with certain angles of incidence θ1 and θ2. The special feature of this system resides in the fact that all the rays passing through the system are tangential to a third concentric circle; it assures that the condition for Bragg reflection is simultaneously fulfilled at each point on the two reflecting surfaces if the spherical mirrors are replaced by spherical multi-layer structures. A prototype of this imaging system will be implemented in the Magnetic Reconnection Experiment (MRX) at PPPL to obtain two-dimensional EUV images of the plasma in the energy range from 18 to 62 eV; the relative intensity of the emitted radiation in this energy range was determined from survey measurements with a photodiode. It is thought that the radiation at these energies is due to Bremsstrahlung and line emission caused by suprathermal electrons. This research is supported by DoE Contract Number DE-AC02-09CH11466 and by the Center for Magnetic Self-Organization (CMSO).

  11. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  12. Solar EUV irradiance from the San Marco ASSI - A reference spectrum

    NASA Technical Reports Server (NTRS)

    Schmidtke, Gerhard; Woods, Thomas N.; Worden, John; Rottman, Gary J.; Doll, Harry; Wita, Claus; Solomon, Stanley C.

    1992-01-01

    The only satellite measurement of the solar EUV irradiance during solar cycle 22 has been obtained with the Airglow Solar Spectrometer Instrument (ASSI) aboard the San Marco 5 satellite flown in 1988. The ASSI in-flight calibration parameters are established by using the internal capabilities of ASSI and by comparing ASSI results to the results from other space-based experiments on the ASSI calibration rocket and the Solar Mesospheric Explorer (SME). A solar EUV irradiance spectrum derived from ASSI observations on November 10, 1988 is presented as a reference spectrum for moderate solar activity for the aeronomy community. This ASSI spectrum should be considered as a refinement and extension of the solar EUV spectrum published for the same day by Woods and Rottman (1990).

  13. Coater/developer based techniques to improve high-resolution EUV patterning defectivity

    NASA Astrophysics Data System (ADS)

    Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Liu, Eric; Ko, Akiteru; Kawakami, Shinichiro; Shimoaoki, Takeshi; Hashimoto, Yusaku; Tanaka, Koichiro; Petrillo, Karen; Meli, Luciana; De Silva, Anuja; Xu, Yongan; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex

    2017-10-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.

  14. Ultimate patterning limits for EUV at 5nm node and beyond

    NASA Astrophysics Data System (ADS)

    Ali, Rehab Kotb; Hamed Fatehy, Ahmed; Lafferty, Neal; Word, James

    2018-03-01

    The 5nm technology node introduces more aggressive geometries than previous nodes. In this paper, we are introducing a comprehensive study to examine the pattering limits of EUV at 0.33NA. The study is divided into two main approaches: (A) Exploring pattering limits of Single Exposure EUV Cut/Block mask in Self-Aligned-Multi-Patterning (SAMP) process, and (B) Exploring the pattering limits of a Single Exposure EUV printing of metal Layers. The printability of the resulted OPC masks is checked through a model based manufacturing flow for the two pattering approaches. The final manufactured patterns are quantified by Edge Placement Error (EPE), Process Variation Band (PVBand), soft/hard bridging and pinching, Image Log Slope (ILS) and Common Depth of Focus (CDOF)

  15. Negative-tone imaging with EUV exposure toward 13nm hp

    NASA Astrophysics Data System (ADS)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  16. Mask characterization for CDU budget breakdown in advanced EUV lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  17. EUV phase-shifting masks and aberration monitors

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the use of phase-shifting masks in EUV lithography. The effects of oblique incident illumination and mask patterning by ion-mixing of multilayers are analyzed. Oblique incident illumination causes streamers at absorber edges and causes position shifting in aerial images. The diffraction waves between ion-mixed and pristine multilayers are observed. The phase-shifting caused by stepped substrates is simulated and images show that it succeeds in creation of phase-shifting effects. The diffraction process at the phase boundary is also analyzed. As an example of EUV phase-shifting masks, a coma pattern and probe based aberration monitor is simulated and aerial images are formed under different levels of coma aberration. The probe signal rises quickly as coma increases as designed.

  18. SWAP: an EUV imager for solar monitoring on board of PROBA2

    NASA Astrophysics Data System (ADS)

    Katsiyannis, Athanassios C.; Berghmans, David; Hochedez, Jean-Francois; Nicula, Bogdan; Lawrence, Gareth; Defise, Jean-Marc; Ben-Moussa, Ali; Delouille, Veronique; Dominique, Marie; Lecat, Jean-Herve; Schmutz, W.; Theissen, Armin; Slemzin, Vladimir

    2005-08-01

    PROBA2 is an ESA technology demonstration mission to be launched in early 2007. The two primary scientific instruments on board of PROBA2 are SWAP (Sun Watcher using Active Pixel System detector and Image Processing) and the LYRA VUV radiometer. SWAP provides a full disk solar imaging capability with a bandpass filter centred at 17.5 nm (FeIX-XI) and a fast cadence of ≈1 min. The telescope is based on an off-axis Ritchey Chretien design while an extreme ultraviolet (EUV) enhanced APS CMOS will be used as a detector. As the prime goal of the SWAP is solar monitoring and advance warning of Coronal Mass Ejections (CME), on-board intellige nce will be implemented. Image recognition software using experimental algorithms will be used to detect CMEs during the first phase of eruption so the event can be tracked by the spacecraft without huma n intervention. LYRA will monitor solar irradiance in four different VUV passbands with a cadence of up to 100 Hz. The four channels were chosen for their relevance to solar physics, aeronomy and space weather: 115-125 nm (Lyman-α), 200-220 nm Herzberg continuum, the 17-70 nm Aluminium filter channel (that includes the HeII line at 30.4 nm) and the 1-20 nm Zirconium filter channel. On-board calibration sources will monitor the stability of the detectors and the filters throughout the duration of the mission.

  19. Observation of B{sup +}{yields}{xi}{sub c}{sup 0}{lambda}{sub c}{sup +} and evidence for B{sup 0}{yields}{xi}{sub c}{sup -}{lambda}{sub c}{sup +}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chistov, R.; Aushev, T.; Balagura, V.

    We report the first observation of the decay B{sup +}{yields}{xi}{sub c}{sup 0}{lambda}{sub c}{sup +} with a significance of 8.7{sigma} and evidence for the decay B{sup 0}{yields}{xi}{sub c}{sup -}{lambda}{sub c}{sup +} with a significance of 3.8{sigma}. The product B(B{sup +}{yields}{xi}{sub c}{sup 0}{lambda}{sub c}{sup +})xB({xi}{sub c}{sup 0}{yields}{xi}{sup +}{pi}{sup -}) is measured to be (4.8{sub -0.9}{sup +1.0}{+-}1.1{+-}1.2)x10{sup -5}, and B(B{sup 0}{yields}{xi}{sub c}{sup -}{lambda}{sub c}{sup +})xB({xi}{sub c}{sup -}{yields}{xi}{sup +}{pi}{sup -}{pi}{sup -}) is measured to be (9.3{sub -2.8}{sup +3.7}{+-}1.9{+-}2.4)x10{sup -5}. The errors are statistical, systematic and the error of the {lambda}{sub c}{sup +}{yields}pK{sup -}{pi}{sup +} branching fraction, respectively. The decay B{sup +}{yields}{xi}{sub c}{sup 0}{lambda}{sub c}{supmore » +} is the first example of a two-body exclusive B{sup +} decay into two charmed baryons. The data used for this analysis was accumulated at the {upsilon}(4S) resonance, using the Belle detector at the e{sup +}e{sup -} asymmetric-energy collider KEKB. The integrated luminosity of the data sample is equal to 357 fb{sup -1}, corresponding to 386x10{sup 6} BB pairs.« less

  20. TESIS experiment on EUV imaging spectroscopy of the Sun

    NASA Astrophysics Data System (ADS)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  1. Characteristics of extreme ultraviolet emission from high-Z plasmas

    NASA Astrophysics Data System (ADS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  2. MuPeXI: prediction of neo-epitopes from tumor sequencing data.

    PubMed

    Bjerregaard, Anne-Mette; Nielsen, Morten; Hadrup, Sine Reker; Szallasi, Zoltan; Eklund, Aron Charles

    2017-09-01

    Personalization of immunotherapies such as cancer vaccines and adoptive T cell therapy depends on identification of patient-specific neo-epitopes that can be specifically targeted. MuPeXI, the mutant peptide extractor and informer, is a program to identify tumor-specific peptides and assess their potential to be neo-epitopes. The program input is a file with somatic mutation calls, a list of HLA types, and optionally a gene expression profile. The output is a table with all tumor-specific peptides derived from nucleotide substitutions, insertions, and deletions, along with comprehensive annotation, including HLA binding and similarity to normal peptides. The peptides are sorted according to a priority score which is intended to roughly predict immunogenicity. We applied MuPeXI to three tumors for which predicted MHC-binding peptides had been screened for T cell reactivity, and found that MuPeXI was able to prioritize immunogenic peptides with an area under the curve of 0.63. Compared to other available tools, MuPeXI provides more information and is easier to use. MuPeXI is available as stand-alone software and as a web server at http://www.cbs.dtu.dk/services/MuPeXI .

  3. Method and apparatus for inspecting an EUV mask blank

    DOEpatents

    Goldberg, Kenneth A.

    2005-11-08

    An apparatus and method for at-wavelength EUV mask-blank characterization for inspection of moderate and low spatial frequency coating uniformity using a synchrotron or other source of EUV light. The apparatus provides for rapid, non-destruction, non-contact, at-wavelength qualification of large mask areas, and can be self-calibrating or be calibrated to well-characterized reference samples. It can further check for spatial variation of mask reflectivity or for global differences among masks. The apparatus and method is particularly suited for inspection of coating uniformity and quality and can detect defects in the order of 50 .mu.m and above.

  4. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  5. Studies of EUV contamination mitigation

    NASA Astrophysics Data System (ADS)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  6. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  7. Aristolochic acid-related nephropathy associated with the popular Chinese herb Xi Xin.

    PubMed

    Yang, Huang-Yu; Lin, J-L; Chen, Kuan-Hsing; Yu, Chun-Chen; Hsu, Po-Yaur; Lin, Chun-Liang

    2006-01-01

    Chinese herbs nephropathy is known as a subacute interstitial nephritis attributed to aristolochic acid. This work describes the case of a 49-year-old male who displayed subacute renal failure induced by ingestion of herbal powder containing Xi Xin, which includes aristolochic acid. Since Xi Xin is a common ingredient in traditional formulae, care needs to be taken in the future to identify the aristolochic acid concentration of different components of Xi Xin. Xi Xin containing aristolochic acid should be forbidden for use in remedies in order to prevent the harmful effects of aristolochic acid.

  8. Donor motivation in Xi'an, China: comparison with Canadian donors.

    PubMed

    O'Brien, S F; Shao, Z-J; Osmond, L; Yi, Q-L; Li, C-Y; An, Q-X

    2013-04-01

    In China, paid donation is prohibited by law. There is little literature assessing donor motivation in China, and comparison with western countries such as Canada is important in understanding the application of Western literature. We compared motivational factors in donors from the city of Xi'an, China, with Canadian donors matched for age, sex and donation status. A total of 218 donors in Xi'an completed an interview about motivation as did 218 Canadian donors matched for age, sex and donation status. Frequencies and percentages of responses to questions were tabulated and compared using the Chi-squared test. Donors in Xi'an and Canada felt a personal responsibility to donate blood (81·2% vs. 78·0%, P = 0·2057), but Xi'an donors were more likely to consider blood donation a social responsibility (81·7% vs. 45·2%, P < 0·0001). Xi'an donors more often believed that society views donation as a normal activity (98·6% vs. 48·4%, P < 0·0001) and that the social atmosphere promotes donation (90·3% vs. 53·5%, P < 0·0001) and saw greater health benefit (52·3% vs. 12·5%, P < 0·0001). Most Xi'an donors believed in balance between their life force (Qi) and blood (86·7% vs. 49·8%, P < 0·0001) but did not believe blood lost from donating would affect this (0·5% vs. 3·8%, P = 0·01). While traditional Chinese beliefs may not be seen as a barrier among people in Xi'an who donate blood, blood donation is seen differently than by Canadian donors. There is a need for more research specific to China to tailor recruitment strategies. © 2012 The Author(s). Vox Sanguinis © 2012 International Society of Blood Transfusion.

  9. Properties of the Io plasma torus inferred from Voyager EUV data

    NASA Technical Reports Server (NTRS)

    Strobel, D. F.; Davis, J.

    1980-01-01

    A physical model for the Io plasma torus is constructed to explain the EUV radiative emission observed by the Voyager UV spectrometer. Electron impact excitation rate coefficients for electronic transitions of S III, S IV, O II and O III are calculated by the method of distorted waves (Davis, Kepple, and Blaha, 1976); these coefficients account for the asymmetric shape of the 686 A feature. It is concluded that the electron gas must have a distribution function with a non-Maxwellian tail. An approximate representation of the distribution function as two temperature components requires a cold component of 3.5-4 eV and density of 2000 per cu cm and a hot component of about 100 eV and density of 50-100 per cu cm to satisfy observational constraints.

  10. Spectroscopy and Photometry of EUVE J1429-38.0:An Eclipsing Magnetic Cataclysmic Variable

    NASA Astrophysics Data System (ADS)

    Howell, Steve B.; Craig, Nahide; Roberts, Bryce; McGee, Paddy; Sirk, Martin

    1997-06-01

    EUVE J1429-38.0 was originally discovered as a variable source by the Extreme Ultraviolet Explorer (EUVE) satellite. We present new optical observations which unambiguously confirm this star to be an eclipsing magnetic system with an orbital period of 4() h 46() m. The photometric data are strongly modulated by ellipsoidal variations during low states which allow a system inclination of near 80 degrees to be determined. Our time-resolved optical spectra, which cover only about one-third of the orbital cycle, indicate the clear presence of a gas stream. During high states, EUVE J1429-38.0 shows ~ 1 mag deep eclipses and the apparent formation of a partial accretion disk. EUVE J1429-38.0 presents the observer with properties of both the AM Herculis and the DQ Herculis types of magnetic cataclysmic variable.

  11. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    NASA Astrophysics Data System (ADS)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  12. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE PAGES

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.; ...

    2018-02-05

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  13. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  14. A stand-alone compact EUV microscope based on gas-puff target source.

    PubMed

    Torrisi, Alfio; Wachulak, Przemyslaw; Węgrzyński, Łukasz; Fok, Tomasz; Bartnik, Andrzej; Parkman, Tomáš; Vondrová, Šárka; Turňová, Jana; Jankiewicz, Bartłomiej J; Bartosewicz, Bartosz; Fiedorowicz, Henryk

    2017-02-01

    We report on a very compact desk-top transmission extreme ultraviolet (EUV) microscope based on a laser-plasma source with a double stream gas-puff target, capable of acquiring magnified images of objects with a spatial (half-pitch) resolution of sub-50 nm. A multilayer ellipsoidal condenser is used to focus and spectrally narrow the radiation from the plasma, producing a quasi-monochromatic EUV radiation (λ = 13.8 nm) illuminating the object, whereas a Fresnel zone plate objective forms the image. Design details, development, characterization and optimization of the EUV source and the microscope are described and discussed. Test object and other samples were imaged to demonstrate superior resolution compared to visible light microscopy. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  15. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  16. xiSPEC: web-based visualization, analysis and sharing of proteomics data.

    PubMed

    Kolbowski, Lars; Combe, Colin; Rappsilber, Juri

    2018-05-08

    We present xiSPEC, a standard compliant, next-generation web-based spectrum viewer for visualizing, analyzing and sharing mass spectrometry data. Peptide-spectrum matches from standard proteomics and cross-linking experiments are supported. xiSPEC is to date the only browser-based tool supporting the standardized file formats mzML and mzIdentML defined by the proteomics standards initiative. Users can either upload data directly or select files from the PRIDE data repository as input. xiSPEC allows users to save and share their datasets publicly or password protected for providing access to collaborators or readers and reviewers of manuscripts. The identification table features advanced interaction controls and spectra are presented in three interconnected views: (i) annotated mass spectrum, (ii) peptide sequence fragmentation key and (iii) quality control error plots of matched fragments. Highlighting or selecting data points in any view is represented in all other views. Views are interactive scalable vector graphic elements, which can be exported, e.g. for use in publication. xiSPEC allows for re-annotation of spectra for easy hypothesis testing by modifying input data. xiSPEC is freely accessible at http://spectrumviewer.org and the source code is openly available on https://github.com/Rappsilber-Laboratory/xiSPEC.

  17. Search for Exotic Baryons in 800 GeV pp{yields}p{xi}{sup {+-}}{pi}{sup {+-}}X Reactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Christian, D.C.; Gottschalk, E.E.; Gutierrez, G.

    We report the results of a high-statistics, sensitive search for narrow baryon resonances decaying to {xi}{sup -}{pi}{sup -}, {xi}{sup -}{pi}{sup +}, {xi}{sup +}{pi}{sup -}, and {xi}{sup +}{pi}{sup +}. The only resonances observed are the well known {xi}{sup 0}(1530) and {xi}{sup 0}(1530). No evidence is found for the states near 1862 MeV, previously reported by NA49 [Phys. Rev. Lett. 92, 042003 (2003)]. At the 95% confidence level, we find the upper limit for the production of a Gaussian enhancement with {sigma}=7.6 MeV in the {xi}{sup -}{pi}{sup -} effective mass spectrum to be 0.3% of the number of observed {xi}{sup 0}(1530){yields}{xi}{sup -}{pi}{supmore » +}. We find similarly restrictive upper limits for an enhancement at 1862 MeV in the {xi}{sup -}{pi}{sup +}, {xi}{sup +}{pi}{sup -}, and {xi}{sup +}{pi}{sup +} mass spectra.« less

  18. The Da Vinci Xi and robotic radical prostatectomy-an evolution in learning and technique.

    PubMed

    Goonewardene, S S; Cahill, D

    2017-06-01

    The da Vinci Xi robot has been introduced as the successor to the Si platform. The promise of the Xi is to open the door to new surgical procedures. For robotic-assisted radical prostatectomy (RARP)/pelvic surgery, the potential is better vision and longer instruments. How has the Xi impacted on operative and pathological parameters as indicators of surgical performance? This is a comparison of an initial series of 42 RARPs with the Xi system in 2015 with a series using the Si system immediately before Xi uptake in the same calendar year, and an Si series by the same surgeon synchronously as the Xi series using operative time, blood loss, and positive margins as surrogates of surgical performance. Subjectively and objectively, there is a learning curve to Xi uptake in longer operative times but no impact on T2 positive margins which are the most reflective single measure of RARP outcomes. Subjectively, the vision of the Xi is inferior to the Si system, and the integrated diathermy system and automated setup are quirky. All require experience to overcome. There is a learning curve to progress from the Si to Xi da Vinci surgical platforms, but this does not negatively impact the outcome.

  19. Design decisions from the history of the EUVE science payload

    NASA Technical Reports Server (NTRS)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  20. Design decisions from the history of the EUVE science payload

    NASA Astrophysics Data System (ADS)

    Marchant, W.

    1993-09-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  1. Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration

    NASA Astrophysics Data System (ADS)

    Kandel, Yudhishthir; Chandonait, Jonathan; Melvin, Lawrence S.; Marokkey, Sajan; Yan, Qiliang; Grzeskowiak, Steven; Painter, Benjamin; Denbeaux, Gregory

    2017-03-01

    Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.

  2. Performance of multilayer coated diffraction gratings in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Gum, Jeffrey S.; Condor, Charles E.

    1990-01-01

    The effect of multilayer coating application on the performance of a diffraction grating in the EUV spectral region was evaluated by examining the performance of a 3600-line/mm and a 1200-line/mm replica blazed gratings, designed for operation in the 300-A spectral region in first order. A ten-layer IrSi multilayer optimized for 304 A was deposited using electron-beam evaporation. The grating efficiency was measured on the SURF II calibration beamline in a chamber designed for calibrating the solar EUV rocket telescope and spectrograph multilayer coatings. A significant (by a factor of about 7) enhancement in grating efficiency in the 300-A region was demonstrated.

  3. Microchannel plate EUV detectors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Siegmund, O. H. W.; Malina, R. F.; Coburn, K.; Werthimer, D.

    1984-01-01

    The design and operating characteristics of the prototype imaging microchannel plate (MCP) detector for the Extreme Ultraviolet Explorer (EUVE) Satellite are discussed. It is shown that this detector has achieved high position resolution performance (greater than 512 x 512 pixels) and has low (less than one percent) image distortion. In addition, the channel plate scheme used has tight pulse height distributions (less than 40 percent FWHM) for UV radiation and displays low (less than 0.2 cnt/sq cm-s) dark background counting rates. Work that has been done on EUV filters in relation to the envisaged filter and photocathode complement is also described.

  4. X-ray/EUV optics for astronomy, microscopy, polarimetry, and projection lithography; Proceedings of the Meeting, San Diego, CA, July 9-13, 1990

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B. (Editor); Walker, Arthur B. C., Jr. (Editor)

    1991-01-01

    Topics discussed in this issue include the fabrication of multilayer X-ray/EUV coatings; the design, characterization, and test of multilayer X-ray/EUV coatings; multilayer X-ray/EUV monochromators and imaging microscopes; X-ray/EUV telescopes; the test and calibration performance of X-ray/EUV instruments; XUV/soft X-ray projection lithography; X-ray/EUV space observatories and missions; X-ray/EUV telescopes for solar research; X-ray/EUV polarimetry; X-ray/EUV spectrographs; and X-ray/EUV filters and gratings. Papers are presented on the deposition-controlled uniformity of multilayer mirrors, interfaces in Mo/Si multilayers, the design and analysis of an aspherical multilayer imaging X-ray microscope, recent developments in the production of thin X-ray reflecting foils, and the ultraprecise scanning technology. Consideration is also given to an active sun telescope array, the fabrication and performance at 1.33 nm of a 0.24-micron-period multilayer grating, a cylindrical proportional counter for X-ray polarimetry, and the design and analysis of the reflection grating arrays for the X-Ray Multi-Mirror Mission.

  5. EUV multilayer mirrors with enhanced stability

    NASA Astrophysics Data System (ADS)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  6. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    NASA Technical Reports Server (NTRS)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  7. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Caudillo, Roman; Chandhok, Manish

    2010-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. Readiness of EUV materials is currently one high risk area according to recent assessments made at the 2009 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data collected utilizing Intel's Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <= 12.5mJ/cm2 with <= 4nm LWR.

  8. Measurement And Modeling Of Fe VIII To Fe XVI M-shell Emission In The Extreme Ultraviolet

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, Peter; Lepson, J. K.; Hurwitz, M.

    2007-05-01

    The solar EUV emission near 200 Å is presently being studied with high resolution with the Cosmic Hot Interstellar Plasma Spectrometer (CHIPS), which focuses on the emission between 90 and 270 Å, and with the EUV Imaging Spectrometer on Hinode, which focuses on the region 180 to 204 Å and 250 to 290 Å. The Solar EUV Experiment on the TIMED spacecraft also observes this spectral band but with greatly reduced resolution. The spectrum in this region is dominated by emission from moderate charge states of iron. The interpretation of the data relies on accurate and complete plasma emission models, notably CHIANTI. We have performed a series of laboratory measurements of the 3-3 emission from M-shell iron ions. The measurements cover the range 170 - 250 Å and are made at an electron density of about 1011 cm-3. Emission from Fe VIII through Fe XVI has been identified. Excellent agreement with CHIANTI predictions is found. A few weak transitions are noted in the laboratory data that are predicted by CHIANTI to be vanishingly small and should not have been observed. These are tentatively attributed to transitions in Fe XV. A comparison with observations from CHIPS is also presented. This work was supported in part by NASA's Solar and Heliospheric Physics Supporting Research and Technology Program. Work at UC-LLNL was performed under the auspices of the DOE by under Contract W-7405-Eng-48.

  9. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  10. Da Vinci Xi Robot–Assisted Penetrating Keratoplasty

    PubMed Central

    Chammas, Jimmy; Sauer, Arnaud; Pizzuto, Joëlle; Pouthier, Fabienne; Gaucher, David; Marescaux, Jacques; Mutter, Didier; Bourcier, Tristan

    2017-01-01

    Purpose This study aims (1) to investigate the feasibility of robot-assisted penetrating keratoplasty (PK) using the new Da Vinci Xi Surgical System and (2) to report what we believe to be the first use of this system in experimental eye surgery. Methods Robot-assisted PK procedures were performed on human corneal transplants using the Da Vinci Xi Surgical System. After an 8-mm corneal trephination, four interrupted sutures and one 10.0 monofilament running suture were made. For each procedure, duration and successful completion of the surgery as well as any unexpected events were assessed. The depth of the corneal sutures was checked postoperatively using spectral-domain optical coherence tomography (SD-OCT). Results Robot-assisted PK was successfully performed on 12 corneas. The Da Vinci Xi Surgical System provided the necessary dexterity to perform the different steps of surgery. The mean duration of the procedures was 43.4 ± 8.9 minutes (range: 28.5–61.1 minutes). There were no unexpected intraoperative events. SD-OCT confirmed that the sutures were placed at the appropriate depth. Conclusions We confirm the feasibility of robot-assisted PK with the new Da Vinci Surgical System and report the first use of the Xi model in experimental eye surgery. Operative time of robot-assisted PK surgery is now close to that of conventional manual surgery due to both improvement of the optical system and the presence of microsurgical instruments. Translational Relevance Experimentations will allow the advantages of robot-assisted microsurgery to be identified while underlining the improvements and innovations necessary for clinical use. PMID:28660096

  11. Da Vinci Xi Robot-Assisted Penetrating Keratoplasty.

    PubMed

    Chammas, Jimmy; Sauer, Arnaud; Pizzuto, Joëlle; Pouthier, Fabienne; Gaucher, David; Marescaux, Jacques; Mutter, Didier; Bourcier, Tristan

    2017-06-01

    This study aims (1) to investigate the feasibility of robot-assisted penetrating keratoplasty (PK) using the new Da Vinci Xi Surgical System and (2) to report what we believe to be the first use of this system in experimental eye surgery. Robot-assisted PK procedures were performed on human corneal transplants using the Da Vinci Xi Surgical System. After an 8-mm corneal trephination, four interrupted sutures and one 10.0 monofilament running suture were made. For each procedure, duration and successful completion of the surgery as well as any unexpected events were assessed. The depth of the corneal sutures was checked postoperatively using spectral-domain optical coherence tomography (SD-OCT). Robot-assisted PK was successfully performed on 12 corneas. The Da Vinci Xi Surgical System provided the necessary dexterity to perform the different steps of surgery. The mean duration of the procedures was 43.4 ± 8.9 minutes (range: 28.5-61.1 minutes). There were no unexpected intraoperative events. SD-OCT confirmed that the sutures were placed at the appropriate depth. We confirm the feasibility of robot-assisted PK with the new Da Vinci Surgical System and report the first use of the Xi model in experimental eye surgery. Operative time of robot-assisted PK surgery is now close to that of conventional manual surgery due to both improvement of the optical system and the presence of microsurgical instruments. Experimentations will allow the advantages of robot-assisted microsurgery to be identified while underlining the improvements and innovations necessary for clinical use.

  12. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  13. EUV/soft x-ray spectra for low B neutron stars

    NASA Technical Reports Server (NTRS)

    Romani, Roger W.; Rajagopal, Mohan; Rogers, Forrest J.; Iglesias, Carlos A.

    1995-01-01

    Recent ROSAT and EUVE detections of spin-powered neutron stars suggest that many emit 'thermal' radiation, peaking in the EUV/soft X-ray band. These data constrain the neutron stars' thermal history, but interpretation requires comparison with model atmosphere computations, since emergent spectra depend strongly on the surface composition and magnetic field. As recent opacity computations show substantial change to absorption cross sections at neutron star photospheric conditions, we report here on new model atmosphere computations employing such data. The results are compared with magnetic atmosphere models and applied to PSR J0437-4715, a low field neutron star.

  14. Optical properties and possible sources of brown carbon in PM2.5 over Xi'an, China

    NASA Astrophysics Data System (ADS)

    Shen, Zhenxing; Zhang, Qian; Cao, Junji; Zhang, Leiming; Lei, Yali; Huang, Yu; Huang, R.-J.; Gao, Jinjin; Zhao, Zhuzi; Zhu, Chongshu; Yin, Xiuli; Zheng, Chunli; Xu, Hongmei; Liu, Suixin

    2017-02-01

    To quantify optical and chemical properties of PM2.5 brown carbon (BrC) in Xi'an, 58 high-volume ambient PM2.5 samples were collected during 2 November 2009 to 13 October 2010. Mass concentrations of chemical components were determined, including water-soluble ions, water-soluble organic carbon, levoglucosan, organic carbon (OC), and element carbon (EC). BrC, as an unidentified and wavelength-dependent organic compound, was also measured from water-soluble carbon (WSOC) at 340 nm using UV-vis spectrometer. The wavelength-dependent absorption coefficient (babs) and mass absorption coefficient (MAC) were much abundant at 340 nm, and the high Absorption Ångström coefficient (AAC) values were observed around 5.4, corresponding to the existence of BrC in ambient PM2.5, especially in winter. Good correlations (R > 0.60) between babs and biomass burning markers, such as levoglucosan and K+, in winter indicated significant amounts of primary BrC from biomass burning emissions. Secondary organic carbon BrC (SOCsbnd BrC) was more abundant in winter than in summer. SOCsbnd BrC in winter was mainly fresh SOC formed from aqueous phase reactions while in summer, aged SOC from photo-chemical formation. Source profiles of BrC optical parameters were detected, which verified sources of BrC from biomass burning and coal burning emissions in areas surrounding Xi'an. The rapidly decreasing babs-340nm values from biomass burning smoldering to straw pellet burning suggested that burning straw pellet instead of burning straw directly is an effective measure for reducing BrC emissions.

  15. EUV patterning improvement toward high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie

    2015-03-01

    Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.

  16. DUV or EUV: that is the question

    NASA Astrophysics Data System (ADS)

    Williamson, David M.

    2000-11-01

    Lord Rayleigh's well-known equations for resolution and depth of focus indicate that resolution is better improved by reducing the wavelength of light rather than by increasing the numerical aperture (NA) of the projection optics, particularly when NA is approaching its physical limit of 1.0 in air (or vacuum). Vector aerial image simulations of diffraction-limited Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) lithographic systems verify this simple view, even though Rayleigh's constants in Microlithography are not constant because of a variety of image enhancement techniques that attempt to compensate for the shortcomings of the aerial image when it is pushed to the limit. The aerial image is not the whole story, however. The competition between DUV and EUV systems will be decided more by economic and technological factors such as risk, time and cost of development and cost of ownership. These in turn depend on cost, availability and quality of light sources, refracting materials, photoresists and reticles.

  17. Study of the Rare Hyperon Decay $${\\boldmath \\Omega^\\mp \\to \\Xi^\\mp \\: \\pi^+\\pi^-}$$

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamaev, O.; Solomey, N.; Burnstein, R.A.

    The authors report a new measurement of the decay {Omega}{sup -} {yields} {Xi}{sup -} {pi}{sup +}{pi}{sup -} with 76 events and a first observation of the decay {bar {Omega}}{sup +} {yields} {bar {Xi}}{sup +} {pi}{sup +}{pi}{sup -} with 24 events, yielding a combined branching ratio (3.74{sub -0.56}{sup +0.67}) x 10{sup -4}. This represents a factor 25 increase in statistics over the best previous measurement. No evidence is seen for CP violation, with {Beta}({Omega}{sup -} {yields} {Xi}{sup -} {pi}{sup +}{pi}{sup -}) = 4.04{sub -0.71}{sup +0.83} x 10{sup -4} and {Beta}({bar {Omega}}{sup +} {yields} {bar {Xi}}{sup +} {pi}{sup +}{pi}{sup -}) = 3.15{submore » -0.89}{sup +1.12} x 10{sup -4}. Contrary to theoretical expectation, they see little evidence for the decays {Omega}{sup -} {yields} {Xi}*{sub 1530}{sup 0} {pi}{sup -} and {bar {Omega}}{sup +} {yields} {bar {Xi}}*{sub 1530}{sup 0} {pi}{sup +} and place a 90% C.L. upper limit on the combined branching ratio {Beta}({Omega}{sup -}({bar {Omega}}{sup +}) {yields} {Xi}*{sub 1530}{sup 0} ({bar {Xi}}*{sub 1530}{sup 0}){pi}{sup {-+}}) < 7.0 x 10{sup -5}.« less

  18. Utilizing the EUVE Innovative Technology Testbed to Reduce Operations Cost for Present and Future Orbiting Mission

    NASA Technical Reports Server (NTRS)

    1997-01-01

    This report summarizes work done under Cooperative Agreement (CA) on the following testbed projects: TERRIERS - The development of the ground systems to support the TERRIERS satellite mission at Boston University (BU). HSTS - The application of ARC's Heuristic Scheduling Testbed System (HSTS) to the EUVE satellite mission. SELMON - The application of NASA's Jet Propulsion Laboratory's (JPL) Selective Monitoring (SELMON) system to the EUVE satellite mission. EVE - The development of the EUVE Virtual Environment (EVE), a prototype three-dimensional (3-D) visualization environment for the EUVE satellite and its sensors, instruments, and communications antennae. FIDO - The development of the Fault-Induced Document Officer (FIDO) system, a prototype application to respond to anomalous conditions by automatically searching for, retrieving, and displaying relevant documentation for an operators use.

  19. SoFAST: Automated Flare Detection with the PROBA2/SWAP EUV Imager

    NASA Astrophysics Data System (ADS)

    Bonte, K.; Berghmans, D.; De Groof, A.; Steed, K.; Poedts, S.

    2013-08-01

    The Sun Watcher with Active Pixels and Image Processing (SWAP) EUV imager onboard PROBA2 provides a non-stop stream of coronal extreme-ultraviolet (EUV) images at a cadence of typically 130 seconds. These images show the solar drivers of space-weather, such as flares and erupting filaments. We have developed a software tool that automatically processes the images and localises and identifies flares. On one hand, the output of this software tool is intended as a service to the Space Weather Segment of ESA's Space Situational Awareness (SSA) program. On the other hand, we consider the PROBA2/SWAP images as a model for the data from the Extreme Ultraviolet Imager (EUI) instrument prepared for the future Solar Orbiter mission, where onboard intelligence is required for prioritising data within the challenging telemetry quota. In this article we present the concept of the software, the first statistics on its effectiveness and the online display in real time of its results. Our results indicate that it is not only possible to detect EUV flares automatically in an acquired dataset, but that quantifying a range of EUV dynamics is also possible. The method is based on thresholding of macropixelled image sequences. The robustness and simplicity of the algorithm is a clear advantage for future onboard use.

  20. Factor XI Antisense Oligonucleotide for Prevention of Venous Thrombosis

    PubMed Central

    Büller, Harry R.; Bethune, Claudette; Bhanot, Sanjay; Gailani, David; Monia, Brett P.; Raskob, Gary E.; Segers, Annelise; Verhamme, Peter; Weitz, Jeffrey I.

    2015-01-01

    BACKGROUND Experimental data indicate that reducing factor XI levels attenuates thrombosis without causing bleeding, but the role of factor XI in the prevention of postoperative venous thrombosis in humans is unknown. FXI-ASO (ISIS 416858) is a second-generation antisense oligonucleotide that specifically reduces factor XI levels. We compared the efficacy and safety of FXI-ASO with those of enoxaparin in patients undergoing total knee arthroplasty. METHODS In this open-label, parallel-group study, we randomly assigned 300 patients who were undergoing elective primary unilateral total knee arthroplasty to receive one of two doses of FXI-ASO (200 mg or 300 mg) or 40 mg of enoxaparin once daily. The primary efficacy outcome was the incidence of venous thromboembolism (assessed by mandatory bilateral venography or report of symptomatic events). The principal safety outcome was major or clinically relevant nonmajor bleeding. RESULTS Around the time of surgery, the mean (±SE) factor XI levels were 0.38±0.01 units per milliliter in the 200-mg FXI-ASO group, 0.20±0.01 units per milliliter in the 300-mg FXI-ASO group, and 0.93±0.02 units per milliliter in the enoxaparin group. The primary efficacy outcome occurred in 36 of 134 patients (27%) who received the 200-mg dose of FXI-ASO and in 3 of 71 patients (4%) who received the 300-mg dose of FXI-ASO, as compared with 21 of 69 patients (30%) who received enoxaparin. The 200-mg regimen was noninferior, and the 300-mg regimen was superior, to enoxaparin (P<0.001). Bleeding occurred in 3%, 3%, and 8% of the patients in the three study groups, respectively. CONCLUSIONS This study showed that factor XI contributes to postoperative venous thromboembolism; reducing factor XI levels in patients undergoing elective primary unilateral total knee arthroplasty was an effective method for its prevention and appeared to be safe with respect to the risk of bleeding. (Funded by Isis Pharmaceuticals; FXI-ASO TKA ClinicalTrials.gov number

  1. Intense X-ray and EUV light source

    DOEpatents

    Coleman, Joshua; Ekdahl, Carl; Oertel, John

    2017-06-20

    An intense X-ray or EUV light source may be driven by the Smith-Purcell effect. The intense light source may utilize intense electron beams and Bragg crystals. This may allow the intense light source to range from the extreme UV range up to the hard X-ray range.

  2. An Exploration of the Emission Properties of X-Ray Bright Points Seen with SDO

    NASA Technical Reports Server (NTRS)

    Saar, S. H.; Elsden, T.; Muglach, K.

    2012-01-01

    We present preliminary results of a study of X-ray Bright Point (XBP) EUV emission and its dependence on other properties. The XBPs were located using a new, automated XBP finder for AlA developed as part of the Feature Finding Team for SDO Computer Vision. We analyze XBPs near disk center, comparing AlA EUV fluxes, HMI LOS magnetic fields, and photospheric flow fields (derived from HMI data) to look for relationships between XBP emission, magnetic flux, velocity fields, and XBP local environment. We find some evidence for differences in the mean XBP temperature with environment. Unsigned magnetic flux is correlated with XBP emission, though other parameters play a role. The majority of XBP footpoints are approaching each other, though at a slight angle from head-on on average. We discuss the results in the context of XBP heating.

  3. SDO/AIA AND HINODE/EIS OBSERVATIONS OF INTERACTION BETWEEN AN EUV WAVE AND ACTIVE REGION LOOPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Liheng; Zhang, Jun; Li, Ting

    2013-09-20

    We present detailed analysis of an extreme-ultraviolet (EUV) wave and its interaction with active region (AR) loops observed by the Solar Dynamics Observatory/Atmospheric Imaging Assembly and the Hinode EUV Imaging Spectrometer (EIS). This wave was initiated from AR 11261 on 2011 August 4 and propagated at velocities of 430-910 km s{sup –1}. It was observed to traverse another AR and cross over a filament channel on its path. The EUV wave perturbed neighboring AR loops and excited a disturbance that propagated toward the footpoints of these loops. EIS observations of AR loops revealed that at the time of the wavemore » transit, the original redshift increased by about 3 km s{sup –1}, while the original blueshift decreased slightly. After the wave transit, these changes were reversed. When the EUV wave arrived at the boundary of a polar coronal hole, two reflected waves were successively produced and part of them propagated above the solar limb. The first reflected wave above the solar limb encountered a large-scale loop system on its path, and a secondary wave rapidly emerged 144 Mm ahead of it at a higher speed. These findings can be explained in the framework of a fast-mode magnetosonic wave interpretation for EUV waves, in which observed EUV waves are generated by expanding coronal mass ejections.« less

  4. Nonthermal Emission from Relativistic Electrons in Clusters of Galaxies: A Merger Shock Acceleration Model

    NASA Astrophysics Data System (ADS)

    Takizawa, Motokazu; Naito, Tsuguya

    2000-06-01

    We have investigated evolution of nonthermal emission from relativistic electrons accelerated around the shock fronts during mergers of clusters of galaxies. We estimate synchrotron radio emission and inverse Compton scattering of cosmic microwave background photons from extreme ultraviolet (EUV) to hard X-ray range. The hard X-ray emission is most luminous in the later stage of a merger. Both hard X-ray and radio emissions are luminous only while signatures of merging events are clearly seen in the thermal intracluster medium (ICM). On the other hand, EUV radiation is still luminous after the system has relaxed. Propagation of shock waves and bulk-flow motion of ICM play crucial roles in extending radio halos. In the contracting phase, radio halos are located at the hot region of ICM or between two substructures. In the expanding phase, on the other hand, radio halos are located between two ICM hot regions and show rather diffuse distribution.

  5. Decay widths of ground-state and excited {Xi}{sub b} baryons in a nonrelativistic quark model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Limphirat, Ayut; Thailand Center of Excellence in Physics; Department of Applied Physics, Faculty of Sciences and Liberal Arts, Rajamangala University of Technology Isan, Nakhon Ratchasima 30000

    Decay processes of ground and excited bottom baryons are studied in the {sup 3}P{sub 0} nonrelativistic quark model with all model parameters fixed in the sector of light quarks. Using as an input the recent mass of {Xi}{sub b} and the theoretical masses of {Xi}{sub b}{sup *} and {Xi}{sub b}{sup '}, narrow decay widths are predicted for the ground-state bottom baryons {Xi}{sub b}{sup *} and {Xi}{sub b}{sup '}. The work predicts large decay widths, about 100 MeV for the {rho}-type orbital excitation states of {Xi}{sub b}.

  6. High-efficiency spectral purity filter for EUV lithography

    DOEpatents

    Chapman, Henry N [Livermore, CA

    2006-05-23

    An asymmetric-cut multilayer diffracts EUV light. A multilayer cut at an angle has the same properties as a blazed grating, and has been demonstrated to have near-perfect performance. Instead of having to nano-fabricate a grating structure with imperfections no greater than several tens of nanometers, a thick multilayer is grown on a substrate and then cut at an inclined angle using coarse and inexpensive methods. Effective grating periods can be produced this way that are 10 to 100 times smaller than those produced today, and the diffraction efficiency of these asymmetric multilayers is higher than conventional gratings. Besides their ease of manufacture, the use of an asymmetric multilayer as a spectral purity filter does not require that the design of an EUV optical system be modified in any way, unlike the proposed use of blazed gratings for such systems.

  7. Solar flare impulsive phase emission observed with SDO/EVE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kennedy, Michael B.; Milligan, Ryan O.; Mathioudakis, Mihalis

    2013-12-10

    Differential emission measures (DEMs) during the impulsive phase of solar flares were constructed using observations from the EUV Variability Experiment (EVE) and the Markov-Chain Monte Carlo method. Emission lines from ions formed over the temperature range log T{sub e} = 5.8-7.2 allow the evolution of the DEM to be studied over a wide temperature range at 10 s cadence. The technique was applied to several M- and X-class flares, where impulsive phase EUV emission is observable in the disk-integrated EVE spectra from emission lines formed up to 3-4 MK and we use spatially unresolved EVE observations to infer the thermalmore » structure of the emitting region. For the nine events studied, the DEMs exhibited a two-component distribution during the impulsive phase, a low-temperature component with peak temperature of 1-2 MK, and a broad high-temperature component from 7 to 30 MK. A bimodal high-temperature component is also found for several events, with peaks at 8 and 25 MK during the impulsive phase. The origin of the emission was verified using Atmospheric Imaging Assembly images to be the flare ribbons and footpoints, indicating that the constructed DEMs represent the spatially average thermal structure of the chromospheric flare emission during the impulsive phase.« less

  8. 3D structure and kinematics characteristics of EUV wave front

    NASA Astrophysics Data System (ADS)

    Podladchikova, T.; Veronig, A.; Dissauer, K.

    2017-12-01

    We present 3D reconstructions of EUV wave fronts using multi-point observations from the STEREO-A and STEREO-B spacecraft. EUV waves are large-scale disturbances in the solar corona that are initiated by coronal mass ejections, and are thought to be large-amplitude fast-mode MHD waves or shocks. The aim of our study is to investigate the dynamic evolution of the 3D structure and wave kinematics of EUV wave fronts. We study the events on December 7, 2007 and February 13, 2009 using data from the STEREO/EUVI-A and EUVI-B instruments in the 195 Å filter. The proposed approach is based on a complementary combination of epipolar geometry of stereo vision and perturbation profiles. We propose two different solutions to the matching problem of the wave crest on images from the two spacecraft. One solution is suitable for the early and maximum stage of event development when STEREO-A and STEREO-B see the different facets of the wave, and the wave crest is clearly outlined. The second one is applicable also at the later stage of event development when the wave front becomes diffuse and is faintly visible. This approach allows us to identify automatically the segments of the diffuse front on pairs of STEREO-A and STEREO-B images and to solve the problem of identification and matching of the objects. We find that the EUV wave observed on December 7, 2007 starts with a height of 30-50 Mm, sharply increases to a height of 100-120 Mm about 10 min later, and decreases to 10-20 Mm in the decay phase. Including the 3D evolution of the EUV wave front allowed us to correct the wave kinematics for projection and changing height effects. The velocity of the wave crest (V=215-266 km/s) is larger than the trailing part of the wave pulse (V=103-163 km/s). For the February 9, 2009 event, the upward movement of the wave crest shows an increase from 20 to 100 Mm over a period of 30 min. The velocity of wave crest reaches values of 208-211 km/s.

  9. Ultrahigh resolution photographic films for X-ray/EUV/FUV astronomy

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B.; Walker, Arthur B. C., Jr.; Deforest, Craig E.; Watts, Richard; Tarrio, Charles

    1993-01-01

    The quest for ultrahigh resolution full-disk images of the sun at soft X-ray/EUV/FUV wavelengths has increased the demand for photographic films with broad spectral sensitivity, high spatial resolution, and wide dynamic range. These requirements were made more stringent by the recent development of multilayer telescopes and coronagraphs capable of operating at normal incidence at soft X-ray/EUV wavelengths. Photographic films are the only detectors now available with the information storage capacity and dynamic range such as is required for recording images of the solar disk and corona simultaneously with sub arc second spatial resolution. During the Stanford/MSFC/LLNL Rocket X-Ray Spectroheliograph and Multi-Spectral Solar Telescope Array (MSSTA) programs, we utilized photographic films to obtain high resolution full-disk images of the sun at selected soft X-ray/EUV/FUV wavelengths. In order to calibrate our instrumentation for quantitative analysis of our solar data and to select the best emulsions and processing conditions for the MSSTA reflight, we recently tested several photographic films. These studies were carried out at the NIST SURF II synchrotron and the Stanford Synchrotron Radiation Laboratory. In this paper, we provide the results of those investigations.

  10. Production of EUV mask blanks with low killer defects

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Kearney, Patrick; Godwin, Milton; He, Long; John Kadaksham, Arun; Goodwin, Frank; Weaver, Al; Hayes, Alan; Trigg, Steve

    2014-04-01

    For full commercialization, extreme ultraviolet lithography (EUVL) technology requires the availability of EUV mask blanks that are free of defects. This remains one of the main impediments to the implementation of EUV at the 22 nm node and beyond. Consensus is building that a few small defects can be mitigated during mask patterning, but defects over 100 nm (SiO2 equivalent) in size are considered potential "killer" defects or defects large enough that the mask blank would not be usable. The current defect performance of the ion beam sputter deposition (IBD) tool will be discussed and the progress achieved to date in the reduction of large size defects will be summarized, including a description of the main sources of defects and their composition.

  11. Evaluation results of a new EUV reticle pod based on SEMI E152

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Yonekawa, Masami; Taguchi, Takao; Suga, Osamu

    2010-04-01

    To protect the reticle during shipping, storage and tool handling, various reticle pod concepts have been proposed and evaluated in the last 10 years. MIRAI-Selete has been developing EUV reticle handling technology and evaluating EUV reticle pods designed using "Dual Pod Concept" for four years. The concept was jointly proposed by Canon and Nikon at the EUV mask technology and standards workshop at Miyazaki in November 2004; a mask is doubly protected by an inner pod and an outer pod and the mask is carried into an exposure tool with the inner pod. Canon, Nikon and Entegris have started collaboration in 2005 and developed three types of EUV pod prototypes, alpha, beta and gamma. The gamma pods were evaluated by MIRAI-Selete and the superiority of the dual pod concept has been verified with many experimental data on shipping, storage and tool handling. The dual pod concept was standardized as SEMI E152-0709 "Mechanical Specification of EUV Pods for 150mm EUVL Reticles" in 2009. Canon, Nikon and Entegris have developed a new pod design compatible with SEMI E152; it has a Type A inner baseplate for uses with EUV exposure tools. The baseplate has two alignment windows, a window for a data matrix symbol and five pockets as the front edge grip exclusion volumes. In addition to the new features, there are some differences between the new SEMI compliant pod design and the former design "CNE-gamma", e.g. the material of the inner cover was changed to metal to reduce outgassing rate and the gap between the reticle and the side supports were widened to satisfy a requirement of the standard. MIRAI-Selete has evaluated the particle protective capability of the new SEMI compliant pods "cnPod" during shipping, storage and tool handling in vacuum and found the "cnPod" has the excellent particle protective capability and the dual pod concept can be used not only for EUVL pilot line but also for EUVL high volume manufacturing.

  12. Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source

    NASA Astrophysics Data System (ADS)

    Inoue, T.; Nica, P. E.; Kaku, K.; Shimoura, A.; Amano, S.; Miyamoto, S.; Mochizuki, T.

    2006-03-01

    In this paper, characterizations of a cryogenic Xe capillary jet target for a laser-produced plasma extreme ultraviolet (EUV) light source are reported. The capillary jet target is a candidate of fast-supplying targets for mitigating debris generation and target consumption in a vacuum chamber without reducing the EUV conversion efficiency. Xe capillary jets (jet velocity ~ 0.4 m/s) were generated in vacuum by using annular nozzles chilled to ~ 170 K at a Xe backing pressure of ~ 0.7 MPa. Forming mechanisms of the capillary jet targets were studied by using numerical calculations. Furthermore, laser-produced plasma EUV generation was performed by irradiating a Nd:YAG laser (1064 nm, ~ 0.5 J, 10 ns, 120 μmφ, ~ 4×10 11 W/cm2) on a Xe capillary jet target (outer / inner diameter = 100 / 70 μmφ). The angular distribution of EUV generation was approximately uniform around the Xe capillary jet target, and the peak kinetic energy of the fast-ions was evaluated to be ~ 2 keV.

  13. EUV multilayer coatings for the Atmospheric Imaging Assembly instrument aboard the Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, R; Windt, D L; Robinson, J C

    2006-02-09

    Multilayer coatings for the 7 EUV channels of the AIA have been developed and completed successfully on all AIA flight mirrors. Mo/Si coatings (131, 171, 193.5, 211 {angstrom}) were deposited at Lawrence Livermore National Laboratory (LLNL). Mg/SiC (304, 335 {angstrom}) and Mo/Y (94 {angstrom}) coatings were deposited at Columbia University. EUV reflectance of the 131/335 {angstrom}, 171 {angstrom}, 193.5/211 {angstrom} primary and secondary flight mirrors and the 94/304 {angstrom} secondary flight mirror was measured at beamline 6.3.2. of the Advanced Light Source (ALS) at LBNL. EUV reflectance of the 94/304 {angstrom} primary and secondary flight mirrors was measured at beamlinemore » X24C of the National Synchrotron Light Source (NSLS) at Brookhaven National Lab. Preliminary EUV reflectance measurements of the 94, 304 and 335 {angstrom} coatings were performed with a laser plasma source reflectometer located at Columbia University. Prior to multilayer coating, Atomic Force Microscopy (AFM) characterization and cleaning of all flight substrates was performed at LLNL.« less

  14. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  15. Emission characteristics of atmospheric carbon dioxide in Xi'an, China based on the measurements of CO2 concentration, △14C and δ13C.

    PubMed

    Wang, Peng; Zhou, Weijian; Niu, Zhenchuan; Cheng, Peng; Wu, Shugang; Xiong, Xiaohu; Lu, Xuefeng; Du, Hua

    2018-04-01

    Given that cities contributed most of China's CO 2 emissions, understanding the emission characteristics of urban atmospheric CO 2 is critical for regulating CO 2 emissions. Regular observations of atmospheric CO 2 concentration, △ 14 C and δ 13 C values were performed at four different sites in Xi'an, China in 2016 to illustrate the temporal and spatial variations of CO 2 emissions and recognize their sources and sinks in urban carbon cycles. We found seasonal variations in CO 2 concentration and δ 13 C values, the peak to peak amplitude of which was 80.8ppm for CO 2 concentration and 4.0‰ for its δ 13 C. With regard to the spatial variations, the urban CO 2 "dome" effect was the most pronounced during the winter season. The use of △ 14 C combines with δ 13 C measurements aid in understanding the emission patterns. The results show that in the winter season, emissions from fossil fuel derived CO 2 (CO 2ff ) contributed 61.8±10.6% and 57.4±9.7% of the excess CO 2 (CO 2ex ) in urban and suburban areas respectively. Combining with the result of estimated δ 13 C value of fossil fuel (δ 13 C ff =-24‰), which suggest coal burning was the dominant source of fossil fuel emissions. In contrast, the proportions of CO 2ff in CO 2ex varied more in the summer season than that in the winter season, ranging from 42.3% to >100% with the average contributions of 82.5±23.8% and 90.0±24.8%. Given the estimation of δ 13 C value of local sources (δ 13 C s ) was -21.9‰ indicates that the intensively biogenic activities, such as soil respiration and corn growth have significantly impacted urban carbon cycles, and occasionally played a role of carbon sink. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    NASA Astrophysics Data System (ADS)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  17. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    NASA Astrophysics Data System (ADS)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  18. High-NA EUV lithography enabling Moore's law in the next decade

    NASA Astrophysics Data System (ADS)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  19. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  20. Line-edge roughness performance targets for EUV lithography

    NASA Astrophysics Data System (ADS)

    Brunner, Timothy A.; Chen, Xuemei; Gabor, Allen; Higgins, Craig; Sun, Lei; Mack, Chris A.

    2017-03-01

    Our paper will use stochastic simulations to explore how EUV pattern roughness can cause device failure through rare events, so-called "black swans". We examine the impact of stochastic noise on the yield of simple wiring patterns with 36nm pitch, corresponding to 7nm node logic, using a local Critical Dimension (CD)-based fail criteria Contact hole failures are examined in a similar way. For our nominal EUV process, local CD uniformity variation and local Pattern Placement Error variation was observed, but no pattern failures were seen in the modest (few thousand) number of features simulated. We degraded the image quality by incorporating Moving Standard Deviation (MSD) blurring to degrade the Image Log-Slope (ILS), and were able to find conditions where pattern failures were observed. We determined the Line Width Roughness (LWR) value as a function of the ILS. By use of an artificial "step function" image degraded by various MSD blur, we were able to extend the LWR vs ILS curve into regimes that might be available for future EUV imagery. As we decreased the image quality, we observed LWR grow and also began to see pattern failures. For high image quality, we saw CD distributions that were symmetrical and close to Gaussian in shape. Lower image quality caused CD distributions that were asymmetric, with "fat tails" on the low CD side (under-exposed) which were associated with pattern failures. Similar non-Gaussian CD distributions were associated with image conditions that caused missing contact holes, i.e. CD=0.

  1. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    NASA Astrophysics Data System (ADS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Gürsel, Selmiye Alkan; Scherer, Günther G.; Wokaun, Alexander

    2007-12-01

    Nanostructures of the thermoresponsive poly( N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 °C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures.

  2. Interferometric at-wavelength flare characterization of EUV optical systems

    DOEpatents

    Naulleau, Patrick P.; Goldberg, Kenneth Alan

    2001-01-01

    The extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) provides the high-accuracy wavefront characterization critical to the development of EUV lithography systems. Enhancing the implementation of the PS/PDI can significantly extend its spatial-frequency measurement bandwidth. The enhanced PS/PDI is capable of simultaneously characterizing both wavefront and flare. The enhanced technique employs a hybrid spatial/temporal-domain point diffraction interferometer (referred to as the dual-domain PS/PDI) that is capable of suppressing the scattered-reference-light noise that hinders the conventional PS/PDI. Using the dual-domain technique in combination with a flare-measurement-optimized mask and an iterative calculation process for removing flare contribution caused by higher order grating diffraction terms, the enhanced PS/PDI can be used to simultaneously measure both figure and flare in optical systems.

  3. NXE pellicle: offering a EUV pellicle solution to the industry

    NASA Astrophysics Data System (ADS)

    Brouns, Derk; Bendiksen, Aage; Broman, Par; Casimiri, Eric; Colsters, Paul; Delmastro, Peter; de Graaf, Dennis; Janssen, Paul; van de Kerkhof, Mark; Kramer, Ronald; Kruizinga, Matthias; Kuntzel, Henk; van der Meulen, Frits; Ockwell, David; Peter, Maria; Smith, Daniel; Verbrugge, Beatrijs; van de Weg, David; Wiley, Jim; Wojewoda, Noelie; Zoldesi, Carmen; van Zwol, Pieter

    2016-03-01

    Towards the end of 2014, ASML committed to provide a EUV pellicle solution to the industry. Last year, during SPIE Microlithography 2015, we introduced the NXE pellicle concept, a removable pellicle solution that is compatible with current and future patterned mask inspection methods. This paper shows results of how we took this concept to a complete EUV pellicle solution for the industry. We will highlight some technical design challenges we faced developing the NXE pellicle and how we solved them. We will also present imaging results of pellicle exposures on a 0.33 NA NXE scanner system. In conjunction with the NXE pellicle, we will also present the supporting tooling we have developed to enable pellicle use.

  4. Ultrabass Sounds of the Giant Star xi Hya

    NASA Astrophysics Data System (ADS)

    2002-05-01

    First Observations of Solar-type Oscillations in a Star Very Different from the Sun Summary About 30 years ago, astronomers realised that the Sun resonates like a giant musical instrument with well-defined periods (frequencies). It forms a sort of large, spherical organ pipe. The energy that excites these sound waves comes from the turbulent region just below the Sun's visible surface. Observations of the solar sound waves (known as " helioseismology ") have resulted in enormous progress in the exploration of the interior of the Sun, otherwise hidden from view. As is the case on Earth, seismic techniques can be applied and the detailed interpretation of the observed oscillation periods has provided quite accurate information about the structure and motions inside the Sun, our central star. It has now also become possible to apply this technique to some solar-type stars. The first observations concerned the northern star eta Bootis (cf. ESO PR 16/94 ). Last year, extensive and much more accurate observations with the 1.2-m Swiss telescope at the ESO La Silla Observatory proved that Alpha Centauri , a solar "twin", behaves very much like the Sun (cf. ESO PR 15/01 ), and that some of the periods are quite similar to those in the Sun. These new observational data were of a superb quality, and that study marked a true break-through in the new research field of " asteroseismology " (seismology of the stars) for solar-type stars. But what about other types of stars, for instance those that are much larger than the Sun? Based on an extremely intensive observing project with the same telescope, an international group of astronomers [1] has found that the giant star xi Hya ("xi" is the small greek letter [2]; "Hya" is an abbreviation of "Hydrae") behaves like a giant sub-ultra-bass instrument . This star is located in the constellation Hydra (the Water-Monster) at a distance of 130 light-years, it has a radius about 10 times that of the Sun and its luminosity is about 60

  5. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    PubMed

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  6. A battery power model for the EUVE spacecraft

    NASA Technical Reports Server (NTRS)

    Yen, Wen L.; Littlefield, Ronald G.; Mclean, David R.; Tuchman, Alan; Broseghini, Todd A.; Page, Brenda J.

    1993-01-01

    This paper describes a battery power model that has been developed to simulate and predict the behavior of the 50 ampere-hour nickel-cadmium battery that supports the Extreme Ultraviolet Explorer (EUVE) spacecraft in its low Earth orbit. First, for given orbit, attitude, solar array panel and spacecraft load data, the model calculates minute-by-minute values for the net power available for charging the battery for a user-specified time period (usually about two weeks). Next, the model is used to calculate minute-by-minute values for the battery voltage, current and state-of-charge for the time period. The model's calculations are explained for its three phases: sunrise charging phase, constant voltage phase, and discharge phase. A comparison of predicted model values for voltage, current and state-of-charge with telemetry data for a complete charge-discharge cycle shows good correlation. This C-based computer model will be used by the EUVE Flight Operations Team for various 'what-if' scheduling analyses.

  7. Analysis of EUV/FUV dayglow and auroral measurements

    NASA Technical Reports Server (NTRS)

    Majeed, T.; Strickland, D. J.; Link, R.

    1994-01-01

    This report documents investigations carried out over the twelve month period which commenced in November 1992. The contract identifies the following three tasks: analysis of the O II 83.4 nm dayglow and comparison with incoherent scatter radar data, analysis of the EUV spectrum of an electron aurora, and analysis of the EUV spectrum of a proton-hydrogen-electron aurora. The analysis approach, data reduction methods, and results, including plots of O I 98.9 nm versus time and average spectra, are presented for the last two tasks. The appendices contain preprints of two papers written under the first task. The first paper examines the effect of new O(3P) photoionization cross sections, N2 photoabsorption cross sections, and O(+) oscillator strengths and transition probabilities on the O II 83.4 nm dayglow. The second addresses the problem of remotely sensing the dayside F2 region using limb O II 83.4 nm data.

  8. Debris- and radiation-induced damage effects on EUV nanolithography source collector mirror optics performance

    NASA Astrophysics Data System (ADS)

    Allain, J. P.; Nieto, M.; Hendricks, M.; Harilal, S. S.; Hassanein, A.

    2007-05-01

    Exposure of collector mirrors facing the hot, dense pinch plasma in plasma-based EUV light sources to debris (fast ions, neutrals, off-band radiation, droplets) remains one of the highest critical issues of source component lifetime and commercial feasibility of nanolithography at 13.5-nm. Typical radiators used at 13.5-nm include Xe and Sn. Fast particles emerging from the pinch region of the lamp are known to induce serious damage to nearby collector mirrors. Candidate collector configurations include either multi-layer mirrors (MLM) or single-layer mirrors (SLM) used at grazing incidence. Studies at Argonne have focused on understanding the underlying mechanisms that hinder collector mirror performance at 13.5-nm under fast Sn or Xe exposure. This is possible by a new state-of-the-art in-situ EUV reflectometry system that measures real time relative EUV reflectivity (15-degree incidence and 13.5-nm) variation during fast particle exposure. Intense EUV light and off-band radiation is also known to contribute to mirror damage. For example offband radiation can couple to the mirror and induce heating affecting the mirror's surface properties. In addition, intense EUV light can partially photo-ionize background gas (e.g., Ar or He) used for mitigation in the source device. This can lead to local weakly ionized plasma creating a sheath and accelerating charged gas particles to the mirror surface and inducing sputtering. In this paper we study several aspects of debris and radiation-induced damage to candidate EUVL source collector optics materials. The first study concerns the use of IMD simulations to study the effect of surface roughness on EUV reflectivity. The second studies the effect of fast particles on MLM reflectivity at 13.5-nm. And lastly the third studies the effect of multiple energetic sources with thermal Sn on 13.5-nm reflectivity. These studies focus on conditions that simulate the EUVL source environment in a controlled way.

  9. Anti-parallel EUV Flows Observed along Active Region Filament Threads with Hi-C

    NASA Astrophysics Data System (ADS)

    Alexander, Caroline E.; Walsh, Robert W.; Régnier, Stéphane; Cirtain, Jonathan; Winebarger, Amy R.; Golub, Leon; Kobayashi, Ken; Platt, Simon; Mitchell, Nick; Korreck, Kelly; DePontieu, Bart; DeForest, Craig; Weber, Mark; Title, Alan; Kuzin, Sergey

    2013-09-01

    Plasma flows within prominences/filaments have been observed for many years and hold valuable clues concerning the mass and energy balance within these structures. Previous observations of these flows primarily come from Hα and cool extreme-ultraviolet (EUV) lines (e.g., 304 Å) where estimates of the size of the prominence threads has been limited by the resolution of the available instrumentation. Evidence of "counter-steaming" flows has previously been inferred from these cool plasma observations, but now, for the first time, these flows have been directly imaged along fundamental filament threads within the million degree corona (at 193 Å). In this work, we present observations of an AR filament observed with the High-resolution Coronal Imager (Hi-C) that exhibits anti-parallel flows along adjacent filament threads. Complementary data from the Solar Dynamics Observatory (SDO)/Atmospheric Imaging Assembly (AIA) and Helioseismic and Magnetic Imager are presented. The ultra-high spatial and temporal resolution of Hi-C allow the anti-parallel flow velocities to be measured (70-80 km s-1) and gives an indication of the resolvable thickness of the individual strands (0.''8 ± 0.''1). The temperature of the plasma flows was estimated to be log T (K) = 5.45 ± 0.10 using Emission Measure loci analysis. We find that SDO/AIA cannot clearly observe these anti-parallel flows or measure their velocity or thread width due to its larger pixel size. We suggest that anti-parallel/counter-streaming flows are likely commonplace within all filaments and are currently not observed in EUV due to current instrument spatial resolution.

  10. Theoretical modeling of PEB procedure on EUV resist using FDM formulation

    NASA Astrophysics Data System (ADS)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2018-03-01

    Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick's second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.

  11. Latest developments on EUV reticle and pellicle research and technology at TNO

    NASA Astrophysics Data System (ADS)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  12. Multi-Spectral Solar Telescope Array. II - Soft X-ray/EUV reflectivity of the multilayer mirrors

    NASA Technical Reports Server (NTRS)

    Barbee, Troy W., Jr.; Weed, J. W.; Hoover, Richard B.; Allen, Maxwell J.; Lindblom, Joakim F.; O'Neal, Ray H.; Kankelborg, Charles C.; Deforest, Craig E.; Paris, Elizabeth S.; Walker, Arthur B. C., Jr.

    1991-01-01

    The Multispectral Solar Telescope Array is a rocket-borne observatory which encompasses seven compact soft X-ray/EUV, multilayer-coated, and two compact far-UV, interference film-coated, Cassegrain and Ritchey-Chretien telescopes. Extensive measurements are presented on the efficiency and spectral bandpass of the X-ray/EUV telescopes. Attention is given to systematic errors and measurement errors.

  13. Hadronic production of the doubly heavy baryon {Xi}{sub bc} at the LHC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Jiawei; Wu Xinggang; Zhong Tao

    We investigate the hadronic production of the doubly heavy baryon {Xi}{sub bc} at the Large Hadron Collider (LHC), where contributions from the four (bc)-diquark states (bc){sub 3,6}[{sup 1}S{sub 0}] and (bc){sub 3,6}[{sup 3}S{sub 1}] have been taken into consideration. Numerical results show that under the condition of p{sub T}>4 GeV and |y|<1.5, sizable {Xi}{sub bc} events about 1.7x10{sup 7} and 3.5x10{sup 9} per year can be produced for the center-of-mass energy {radical}(S)=7 TeV and {radical}(S)=14 TeV, respectively. For experimental usage, the total and the interested differential cross sections are estimated under some typical p{sub T} and y cuts for themore » LHC detectors CMS, ATLAS, and LHCb. The main uncertainties are discussed and a comparative study on the hadronic production of {Xi}{sub cc}, {Xi}{sub bc}, and {Xi}{sub bb} at the LHC are also presented.« less

  14. The Solar Chromosphere/Corona Interface. I; FUV-EUV Observations and Modeling of Unresolved Coronal Funnels

    NASA Technical Reports Server (NTRS)

    Martinez-Galarce, D. S.; Walker, A. B. C.; Barbee, T. W., II; Hoover, R. B.

    2003-01-01

    A coronal funnel model, developed by Rabin (199l), was tested against a calibrated spectroheliogram recorded in 171 - 175 Angstrom bandpass. This image was recorded on board a sounding rocket experiment flown on 1994 November 3, called the Multi-Spectral Solar Telescope Array, II (MSSTA II), MSSTA, a joint project of Stanford University, the NASA Marshall Space Flight Center and the Lawrence Livermore National Laboratory, is an observing platform composed of a set of normal-incidence, multilayer-coated optics designed to obtain narrow bandpass, high resolution images (1 - 3 arc sec) at selected FUV, EUV and soft X-ray wavelengths (44 Angstroms - 1550 Angstroms). Using full-disk images centered at 1550 Angstroms (C IV) and 173 Angstroms (FE IX/X), the funnel model, which is based on coronal back-heating, was tested against the data incorporating observed constraints on global coverage and measured flux. Found, was a class of funnel models that could account for the quiescent, globally diffuse and unresolved emission seen in the 171 - 175 Angstrom bandpass, where the funnels are assumed to be rooted in the C IV supergranular network. These models, when incorporated with the Chianti spectral code, suggest that this emission is mostly of upper transition region origin and primarily composed of FE IX plasma. The funnels are found to have constrictions, Gamma approx. 6 - 20, which is in good agreement with the observations. Further, the fitted models simultaneously satisfy global areal constraints seen in both images; namely, that a global network of funnels must cover approx. 70 - 95 % of the total solar surface area seen in the 171 - 175 Angstrom image, and = 45 % of the disk area seen in the 1550 Angstrom bandpass. These findings support the configuration of the EUV magnetic network as suggested by Reeves et af. (1974) and put forth in more detail by Gabriel (1976). Furthermore, the models are in good agreement with differential emission measure estimates made of the

  15. The evaluation of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.

    1987-01-01

    A high-efficiency, extreme ultraviolet (EUV) imaging spectrometer is constructed and tested. The spectrometer employs a concave toroidal grating illuminated at normal incidence in a Rowland circle mounting and has only one reflecting surface. The toroidal grating has been fabricated by a new technique employing an elastically-deformable sub-master grating replicated in a spherical form and then mechanically distorted to produce the desired aspect ratio of the toroidal surface for stigmatic imaging over the selected wavelength range. The fixed toroidal grating used in the spectrometer is then replicated from this surface. Photographic tests and initial photoelectric tests with a two-dimensional, pulse-counting detector system verify the image quality of the toroidal grating at wavelengths near 600 A. The results of these tests and the basic designs of two instruments which could employ the imaging spectrometer for astrophysical investigations in space are described; i.e., a high-resolution EUV spectroheliometer for studies of the solar chromosphere, transition region, and corona; and an EUV spectroscopic telescope for studies of non-solar objects.

  16. The future of EUV lithography: enabling Moore's Law in the next decade

    NASA Astrophysics Data System (ADS)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  17. Uncertainties in (E)UV model atmosphere fluxes

    NASA Astrophysics Data System (ADS)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  18. Designing a Small-Sized Engineering Model of Solar EUV Telescopr for a Korean Satellite

    NASA Astrophysics Data System (ADS)

    Han, Jung-Hoon; Jang, Min-Hwan; Kim, Sang-Joon

    2001-11-01

    For the research of solar EUV (extreme ultraviolet) radiation, we have designed a small-sized engineering model of solar EUV telescope, which is suitable for a Korean satellite. The EUV solar telescope was designed to observe the sun at 584.3Å (He¥°) and 629.7Å (O¥´). The optical system is an f/8 Ritchey-Chrètien, and the effective diameter and focal length are 80§® and 640§®, respectively. The He¥°and O¥´ filters are loaded in a filter wheel. In the detection part, the MCP (MicroChannel Plate) type is Z-stack, and the channel-to-diameter ratio is 40:1. MCP and CCD are connected by fiber optic taper. A commercial optical design software is used for the analysis of the optical system design.

  19. A Dependence Study of $$\\Xi^{*0}$$ and $$\\bar{\\Xi}^{*0}$$ in 250 GeV/c $$\\pi^-$$. $K^-$ -nucleon Interactions (in Portuguese)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seixas de Rezende, Fabio Antonio; /Rio de Janeiro, CBPF

    A direct measurement of the mass number (A) dependence of the production of the hyperon {Xi}*{sup 0} and its opposite {bar {Xi}}*{sup 0} in {pi}{sup -}, K{sup -} beam-nucleon interactions at 250 GeV/c is reported. The data derive from the experiment E769 at Fermilab. The results were obtained for different targets: Be, Al, Cu and W. It was observed the data are found to be well described by the parametrization {sigma}{sub A} = {sigma}{sub 0}A{sup {alpha}}, {alpha} being calculated for different beams. The results obtained are compared with those results of E769 experiment. The results shown here are preliminary.

  20. EUV Solar Instrument Development at the Marshall Space Flight Center

    NASA Astrophysics Data System (ADS)

    Kobayashi, K.; Cirtain, J. W.; Davis, J. M.; West, E.; Golub, L.; Korreck, K. E.; Tsuneta, S.; Bando, T.

    2009-12-01

    The three sounding rocket instrument programs currently underway at the NASA Marshall Space Flight Center represent major advances in solar observations, made possible by improvements in EUV optics and detector technology. The Solar Ultraviolet Magnetograph Instrument (SUMI) is an EUV spectropolarimeter designed to measure the Zeeman splitting of two chromospheric EUV lines, the 280 nm MgII and 155 nm CIV lines. SUMI directly observes the magnetic field in the low-beta region where most energetic phenomena are though to originate. In conjunction with visible-light magnetographs, this observation allows us to track the evolution of the magnetic field as it evolves from the photosphere to the upper chromosphere. SUMI incorporates a normal incidence Cassegrain telescope, a MgF2 double-Wollaston polarizing beam splitter and two TVLS (toroidal varied line space) gratings, and is capable of observing two orthogonal polarizations in two wavelength bands simultaneously. SUMI has been fully assembled and tested, and currently scheduled for launch in summer of 2010. The High-resolution Coronal Imager is a normal-incidence EUV imaging telescope designed to achieve 0.2 arcsecond resolution, with a pixel size of 0.1 arcsecond. This is a factor of 25 improvement in aerial resolution over the Transition Region And Coronal Explorer (TRACE). Images obtained by TRACE indicate presence of unresolved structures; higher resolution images will reveal the scale and topology of structures that make up the corona. The telescope mirrors are currently being fabricated, and the instrument has been funded for flight. In addition, a Lyman alpha spectropolarimeter is under development in collaboration with the National Astronomical Observatory of Japan. This aims to detect the linear polarization in the chromosphere caused by the Hanle effect. Horizontal magnetic fields in the chromosphere are expected to be detectable as polarization near disk center, and off-limb observations will reveal the

  1. High efficiency spectrographs for the EUV and soft X-rays

    NASA Technical Reports Server (NTRS)

    Cash, W.

    1983-01-01

    The use of grazing incidence optics and reflection grating designs is shown to be a method that improves the performance of spectrographs at wavelengths shorter than 1200 A. Emphasis is laid on spectroscopic designs for X ray and EUV astronomy, with sample designs for an objective reflection grating spectrograph (ORGS) and an echelle spectrograph for wavelengths longer than 100 A. Conical diffraction allows operations at grazing incidence in the echelle spectrograph. In ORGS, the extreme distance of X ray objects aids in collimating the source radiation, which encounters conical diffraction within the instrument, proceeds parallel to the optical axis, and arrives at the detector. A series of gratings is used to achieve the effect. A grazing echelle is employed for EUV observations, and offers a resolution of 20,000 over a 300 A bandpass.

  2. EUV near normal incidence collector development at SAGEM

    NASA Astrophysics Data System (ADS)

    Mercier Ythier, R.; Bozec, X.; Geyl, R.; Rinchet, A.; Hecquet, Christophe; Ravet-Krill, Marie-Françoise; Delmotte, Franck; Sassolas, Benoît; Flaminio, Raffaele; Mackowski, Jean-Marie; Michel, Christophe; Montorio, Jean-Luc; Morgado, Nazario; Pinard, Laurent; Roméo, Elodie

    2008-03-01

    Through its participation to European programs, SAGEM has worked on the design and manufacturing of normal incidence collectors for EUV sources. By opposition to grazing incidence, normal incidence collectors are expected to collect more light with a simpler and cheaper design. Designs are presented for the two current types of existing sources: Discharge Produced Plasma (DPP) and Laser Produced Plasma (LPP). Collection efficiency is calculated in both cases. It is shown that these collectors can achieve about 10 % efficiency for DPP sources and 40 % for LPP sources. SAGEM works on the collectors manufacturability are also presented, including polishing, coating and cooling. The feasibility of polishing has been demonstrated with a roughness better than 2 angstroms obtained on several materials (glass, silicon, Silicon Carbide, metals...). SAGEM is currently working with the Institut d'Optique and the Laboratoire des Materiaux Avancés on the design and the process of EUV coatings for large mirrors. Lastly, SAGEM has studied the design and feasibility of an efficient thermal control, based on a liquid cooling through slim channels machined close to the optical surface.

  3. State-of-the-art EUV materials and processes for the 7nm node and beyond

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Vockenhuber, Michaela; Mochi, Iacopo; Fallica, Roberto; Tasdemir, Zuhal; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the

  4. Testing the Interstellar Wind Helium Flow Direction with Galileo Euvs Data

    NASA Astrophysics Data System (ADS)

    Pryor, W. R.; Simmons, K. E.; Ajello, J. M.; Tobiska, W. K.; Retherford, K. D.; Stern, S. A.; Feldman, P. D.; Frisch, P. C.; Bzowski, M.; Grava, C.

    2014-12-01

    Forty years of measurements of the flow of interstellar helium through the heliosphere suggest that variations of the flow direction with time are possible. We will model Galileo Extreme Ultraviolet Spectrometer (EUVS) data to determine the best-fitting flow direction and compare it to values obtained by other spacecraft. The Galileo EUVS (Hord et al., 1992) was mounted on the spinning part of the spacecraft and obtained interstellar wind hydrogen Lyman-alpha 121.6 nm and helium 58.4 nm data on great circles passing near the ecliptic poles during the interplanetary cruise phase of the mission and also during the Jupiter orbital phase of the mission. The Galileo hydrogen cruise data have been previously published (Hord et al., 1991, Pryor et al., 1992; 1996; 2001), but the helium data have not. Our model was previously used by Ajello et al., 1978, 1979 to model Mariner 10 interstellar wind helium data, and by Stern et al., 2012 and Feldman et al., 2012 to model the interplanetary helium background near the moon in Lunar Reconnaissance Orbiter (LRO) Lyman-alpha Mapping Project (LAMP) data. The model has been updated to include recent determinations of daily helium 58.4 nm solar flux variations and helium losses due to EUV photoionization and electron impact ionization.

  5. Multiquadrant robotic colorectal surgery: the da Vinci Xi vs Si comparison.

    PubMed

    Protyniak, Bogdan; Jorden, Jeffrey; Farmer, Russell

    2018-03-01

    The newly introduced da Vinci Xi Surgical System hopes to address the shortcomings of its predecessor, specifically robotic arm restrictions and difficulty working in multiple quadrants. We compare the two robot platforms in multiquadrant surgery at a major colorectal referral center. Forty-four patients in the da Vinci Si group and 26 patients in the Xi group underwent sigmoidectomy or low anterior resection between 2014 and 2016. Patient demographics, operative variables, and postoperative outcomes were compared using descriptive statistics. Both groups were similar in age, sex, BMI, pelvic surgeries, and ASA class. Splenic flexure was mobilized in more (p = 0.045) da Vinci Xi cases compared to da Vinci Si both for sigmoidectomy (50 vs 15.4%) and low anterior resection (60 vs 29%). There was no significant difference in operative time (219.9 vs 224.7 min; p = 0.640), blood loss (170.0 vs 188.1 mL; p = 0.289), length of stay (5.7 vs 6 days; p = 0.851), or overall complications (26.9 vs 22.7%; p = 0.692) between the da Vinci Xi and Si groups, respectively. Single-dock multiquadrant robotic surgery, measured by splenic flexure mobilization with concomitant pelvic dissection, was more frequently performed using the da Vinci Xi platform with no increase in operative time, bleeding, or postoperative complications. The new platform provides surgeons an easier alternative to the da Vinci Si dual docking or combined robotic/laparoscopic multiquadrant surgery.

  6. Molecular organometallic resists for EUV (MORE): Reactivity as a function of metal center (Bi, Sb, Te and Sn)

    NASA Astrophysics Data System (ADS)

    Sitterly, Jacob; Murphy, Michael; Grzeskowiak, Steven; Denbeaux, Greg; Brainard, Robert L.

    2018-03-01

    This paper describes the photoreactivity of six organometallic complexes of the type PhnMX2 containing bismuth, antimony and tellurium, where n = 3 for bismuth and antimony and n = 2 for tellurium, and where X = acetate (O2CCH3) or pivalate (O2CC(CH3)3). These compounds were exposed to EUV light to monitor photodecomposition via in situ mass spectral analysis of the primary outgassing products of CO2, benzene and phenol. This paper explores the effect of metal center and carboxylate ligand on the EUV reactivity of these EUV photoresists.

  7. Study of the strong {sigma}{sub c}{yields}{lambda}{sub c}{pi},{sigma}{sub c}*{yields}{lambda}{sub c}{pi} and {xi}{sub c}*{yields}{xi}{sub c}{pi} decays in a nonrelativistic quark model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Albertus, C.; Nieves, J.; Hernandez, E.

    We present results for the strong widths corresponding to the {sigma}{sub c}{yields}{lambda}{sub c}{pi}, {sigma}{sub c}*{yields}{lambda}{sub c}{pi} and {xi}{sub c}*{yields}{xi}{sub c}{pi} decays. The calculations have been done in a nonrelativistic constituent quark model with wave functions that take advantage of the constraints imposed by heavy quark symmetry. Partial conservation of axial current hypothesis allows us to determine the strong vertices from an analysis of the axial current matrix elements. Our results {gamma}({sigma}{sub c}{sup ++}{yields}{lambda}{sub c}{sup +}{pi}{sup +})=2.41{+-}0.07{+-}0.02 MeV, {gamma}({sigma}{sub c}{sup +}{yields}{lambda}{sub c}{sup +}{pi}{sup 0})=2.79{+-}0.08{+-}0.02 MeV, {gamma}({sigma}{sub c}{sup 0}{yields}{lambda}{sub c}{sup +}{pi}{sup -})=2.37{+-}0.07{+-}0.02 MeV, {gamma}({sigma}{sub c}*{sup ++}{yields}{lambda}{sub c}{sup +}{pi}{sup +})=17.52{+-}0.74{+-}0.12 MeV, {gamma}({sigma}{sub c}*{supmore » +}{yields}{lambda}{sub c}{sup +}{pi}{sup 0})=17.31{+-}0.73{+-}0.12 MeV, {gamma}({sigma}{sub c}*{sup 0}{yields}{lambda}{sub c}{sup +}{pi}{sup -})=16.90{+-}0.71{+-}0.12 MeV, {gamma}({xi}{sub c}*{sup +}{yields}{xi}{sub c}{sup 0}{pi}{sup +}+{xi}{sub c}{sup +}{pi}{sup 0})=3.18{+-}0.10{+-}0.01 MeV, and {gamma}({xi}{sub c}*{sup 0}{yields}{xi}{sub c}{sup +}{pi}{sup -}+{xi}{sub c}{sup 0}{pi}{sup 0})=3.03{+-}0.10{+-}0.01 MeV are in good agreement with experimental determinations.« less

  8. Factor XI and XII as antithrombotic targets.

    PubMed

    Müller, Felicitas; Gailani, David; Renné, Thomas

    2011-09-01

    Arterial and venous thrombosis are major causes of morbidity and mortality, and the incidence of thromboembolic diseases increases as a population ages. Thrombi are formed by activated platelets and fibrin. The latter is a product of the plasma coagulation system. Currently available anticoagulants such as heparins, vitamin K antagonists and inhibitors of thrombin or factor Xa target enzymes of the coagulation cascade that are critical for fibrin formation. However, fibrin is also necessary for terminating blood loss at sites of vascular injury. As a result, anticoagulants currently in clinical use increase the risk of bleeding, partially offsetting the benefits of reduced thrombosis. This review focuses on new targets for anticoagulation that are associated with minimal or no therapy-associated increased bleeding. Data from experimental models using mice and clinical studies of patients with hereditary deficiencies of coagulation factors XI or XII have shown that both of these clotting factors are important for thrombosis, while having minor or no apparent roles in processes that terminate blood loss (hemostasis). Hereditary deficiency of factor XII (Hageman factor) or factor XI, plasma proteases that initiate the intrinsic pathway of coagulation, impairs thrombus formation and provides protection from vascular occlusive events, while having a minimal impact on hemostasis. As the factor XII-factor XI pathway contributes to thrombus formation to a greater extent than to normal hemostasis, pharmacological inhibition of these coagulation factors may offer the exciting possibility of anticoagulation therapies with minimal or no bleeding risk.

  9. ANTI-PARALLEL EUV FLOWS OBSERVED ALONG ACTIVE REGION FILAMENT THREADS WITH HI-C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alexander, Caroline E.; Walsh, Robert W.; Régnier, Stéphane

    Plasma flows within prominences/filaments have been observed for many years and hold valuable clues concerning the mass and energy balance within these structures. Previous observations of these flows primarily come from Hα and cool extreme-ultraviolet (EUV) lines (e.g., 304 Å) where estimates of the size of the prominence threads has been limited by the resolution of the available instrumentation. Evidence of 'counter-steaming' flows has previously been inferred from these cool plasma observations, but now, for the first time, these flows have been directly imaged along fundamental filament threads within the million degree corona (at 193 Å). In this work, wemore » present observations of an AR filament observed with the High-resolution Coronal Imager (Hi-C) that exhibits anti-parallel flows along adjacent filament threads. Complementary data from the Solar Dynamics Observatory (SDO)/Atmospheric Imaging Assembly (AIA) and Helioseismic and Magnetic Imager are presented. The ultra-high spatial and temporal resolution of Hi-C allow the anti-parallel flow velocities to be measured (70-80 km s{sup –1}) and gives an indication of the resolvable thickness of the individual strands (0.''8 ± 0.''1). The temperature of the plasma flows was estimated to be log T (K) = 5.45 ± 0.10 using Emission Measure loci analysis. We find that SDO/AIA cannot clearly observe these anti-parallel flows or measure their velocity or thread width due to its larger pixel size. We suggest that anti-parallel/counter-streaming flows are likely commonplace within all filaments and are currently not observed in EUV due to current instrument spatial resolution.« less

  10. Narrow-band EUV Multilayer Coating for the MOSES Sounding Rocket

    NASA Technical Reports Server (NTRS)

    Owens, Scott M.; Gum, Jeffery S.; Tarrio, Charles; Dvorak, Joseph; Kjornrattanawanich, Benjawan; Keski-Kuha, Ritva; Thomas, Roger J.; Kankelborg, Charles C.

    2005-01-01

    The Multi-order Solar EUV Spectrograph (MOSES) is a slitless spectrograph designed to study solar He II emission at 303.8 Angstroms, to be launched on a sounding rocket payload. One difference between MOSES and other slitless spectrographs is that the images are recorded simultaneously at three spectral orders, m = -1,0, +l. Another is the addition of a narrow-band multilayer coating on both the grating and the fold flat, which will reject out-of-band lines that normally contaminate the image of a slitless instrument. The primary metrics f a the mating were high peak reflectivity and suppression of Fe XV and XVI emission lines at 284 Angstroms and 335 Angstroms, respectively. We chose B4C/Mg2Si for our material combination since it provides better values for all three metrics together than the other leading candidates Si/Ir, Si/B4C or Si/SiC. Measurements of witness flats at NIST indicate the peak reflectivity at 303.6 is 38.5% for a 15 bilayer stack, while the suppression at 284 Angstroms, is 4.5x and at 335 Angstroms is 18.3x for each of two reflections in the instrument. We present the results of coating the MOSES flight gratings and fold flat, including the spectral response of the fold flat and grating as measured at NIST's SURF III and Brookhaven's X24C beamline.

  11. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    NASA Astrophysics Data System (ADS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  12. Manufacturability improvements in EUV resist processing toward NXE:3300 processing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Shimoaoki, Takeshi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie; Shimura, Satoru

    2014-03-01

    As the design rule of semiconductor process gets finer, extreme ultraviolet lithography (EUVL) technology is aggressively studied as a process for 22nm half pitch and beyond. At present, the studies for EUV focus on manufacturability. It requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. In the first half of 2013, a CLEAN TRACKTM LITHIUS ProTMZ-EUV was installed at imec for POR development in preparation of the ASML NXE:3300. This next generation coating/developing system is equipped with state of the art defect reduction technology. This tool with advanced functions can achieve low defect levels. This paper reports on the progress towards manufacturing defectivity levels and latest optimizations towards the NXE:3300 POR for both lines/spaces and contact holes at imec.

  13. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    NASA Astrophysics Data System (ADS)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  14. Enabling laboratory EUV research with a compact exposure tool

    NASA Astrophysics Data System (ADS)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  15. Detection of a compact companion of the mild barium star Xi-1 Ceti

    NASA Technical Reports Server (NTRS)

    Bohm-Vitense, E.; Johnson, H. R.

    1985-01-01

    In the present paper, the observation of a white dwarf companion of the mild Ba star Xi-1 Ceti (= 65 Ceti = HR 649 = HD 13611) is reported, taking into account also the properties of the mild Ba star and of its companion. The UV spectrum of Xi-1 Ceti is discussed along with an interpretation of this spectrum. Attention is given to the effective temperature of the companion, the absorption bands in the spectrum, the radius and mass of the Xi-1 Ceti companion, and questions regarding the obscuration of the companion by the atmosphere of the Ba star. It is found that the overall energy distribution of the Xi-1 Ceti companion can best be matched with a 14,000 K DA white dwarf of log g = 8 or less. However, the absolute intensity is too small and would require a radius too small and a mass too large for such a gravity.

  16. EUV focus sensor: design and modeling

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    2005-05-01

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using a single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wave-lengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput opti-mizing the signal-to-noise ratio in the measured intensity contrast.

  17. EUV Focus Sensor: Design and Modeling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using amore » single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wavelengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput optimizing the signal-to-noise ratio in the measured intensity contrast.« less

  18. 2017 Scientific Sessions Sol Sherry Distinguished Lecture in Thrombosis: Factor XI as a Target for New Anticoagulants.

    PubMed

    Weitz, Jeffrey I; Fredenburgh, James C

    2018-02-01

    The goal of anticoagulant therapy is to attenuate thrombosis without compromising hemostasis. Although the direct oral anticoagulants are associated with less intracranial hemorrhage than vitamin K antagonists, bleeding remains their major side effect. Factor XI has emerged as a promising target for anticoagulants that may be safer than those currently available. The focus on factor XI stems from epidemiological evidence of its role in thrombosis, the observation of attenuated thrombosis in factor XI-deficient mice, identification of novel activators, and the fact that factor XI deficiency is associated with only a mild bleeding diathesis. Proof-of-concept comes from the demonstration that compared with enoxaparin, factor XI knockdown reduces venous thromboembolism without increasing bleeding after elective knee arthroplasty. This article rationalizes the selection of factor XI as a target for new anticoagulants, reviews the agents under development, and outlines a potential path forward for their development. © 2017 American Heart Association, Inc.

  19. Level structure and production cross section of {sub {Xi}}{sup 12} Be studied with coupled-channels antisymmetrized molecular dynamics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matsumiya, H.; Tsubakihara, K.; Kimura, M.

    A theoretical framework of coupled-channels antisymmetrized molecular dynamics that describes the multistrangeness system with mixing between different baryon species is developed and applied to {sub {Lambda}}{sup 12}C and {sub {Xi}}{sup 12}Be. By introducing a minor modification to the YN G-matrix interaction derived from the Nijmegen model-D, the low-lying level structure and production cross section of {sub {Lambda}}{sup 12}C are reasonably described. It is found that the low-lying states of {sub {Xi}}{sup 12}Be are dominated by the {sup 11}B {circle_times} {Xi}{sup -} channel and their order strongly depends on {Xi}N effective interactions used in the calculation. The calculated peak position ofmore » the production cross section depends on the {Xi}N effective interaction and the magnitude of spin-flip and non-spin-flip cross sections of K{sup -}p{yields}K{sup +}{Xi}{sup -} elemental processes. We suggest that the {sup 12}C(K{sup -},K{sup +}){sub {Xi}}{sup 12}Be reaction possibly provides us information about the {Xi}N interaction.« less

  20. Next generation of Z* modelling tool for high intensity EUV and soft x-ray plasma sources simulations

    NASA Astrophysics Data System (ADS)

    Zakharov, S. V.; Zakharov, V. S.; Choi, P.; Krukovskiy, A. Y.; Novikov, V. G.; Solomyannaya, A. D.; Berezin, A. V.; Vorontsov, A. S.; Markov, M. B.; Parot'kin, S. V.

    2011-04-01

    In the specifications for EUV sources, high EUV power at IF for lithography HVM and very high brightness for actinic mask and in-situ inspections are required. In practice, the non-equilibrium plasma dynamics and self-absorption of radiation limit the in-band radiance of the plasma and the usable radiation power of a conventional single unit EUV source. A new generation of the computational code Z* is currently developed under international collaboration in the frames of FP7 IAPP project FIRE for modelling of multi-physics phenomena in radiation plasma sources, particularly for EUVL. The radiation plasma dynamics, the spectral effects of self-absorption in LPP and DPP and resulting Conversion Efficiencies are considered. The generation of fast electrons, ions and neutrals is discussed. Conditions for the enhanced radiance of highly ionized plasma in the presence of fast electrons are evaluated. The modelling results are guiding a new generation of EUV sources being developed at Nano-UV, based on spatial/temporal multiplexing of individual high brightness units, to deliver the requisite brightness and power for both lithography HVM and actinic metrology applications.

  1. Microfabrication of through holes in polydimethylsiloxane (PDMS) sheets using a laser plasma EUV source (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Makimura, Tetsuya; Urai, Hikari; Niino, Hiroyuki

    2017-03-01

    Polydimethylsiloxane (PDMS) is a material used for cell culture substrates / bio-chips and micro total analysis systems / lab-on-chips due to its flexibility, chemical / thermo-dynamic stability, bio-compatibility, transparency and moldability. For further development, it is inevitable to develop a technique to fabricate precise three dimensional structures on micrometer-scale at high aspect ratio. In the previous works, we reported a technique for high-quality micromachining of PDMS without chemical modification, by means of photo direct machining using laser plasma EUV sources. In the present work, we have investigated fabrication of through holes. The EUV radiations around 10 nm were generated by irradiation of Ta targets with Nd:YAG laser light (10 ns, 500 mJ/pulse). The generated EUV radiations were focused using an ellipsoidal mirror. It has a narrower incident angle than those in the previous works in order to form a EUV beam with higher directivity, so that higher aspect structures can be fabricated. The focused EUV beam was incident on PDMS sheets with a thickness of 15 micrometers, through holes in a contact mask placed on top of them. Using a contact mask with holes with a diameter of three micrometers, complete through holes with a diameter of two micrometers are fabricated in the PDMS sheet. Using a contact mask with two micrometer holes, however, ablation holes almost reaches to the back side of the PDMS sheet. The fabricated structures can be explained in terms of geometrical optics. Thus, we have developed a technique for micromachining of PDMS sheets at high aspect ratios.

  2. A volume-limited ROSAT survey of extreme ultraviolet emission from all nondegenerate stars within 10 parsecs

    NASA Technical Reports Server (NTRS)

    Wood, Brian E.; Brown, Alexander; Linsky, Jeffrey L.; Kellett, Barry J.; Bromage, Gordon E.; Hodgkin, Simon T.; Pye, John P.

    1994-01-01

    We report the results of a volume-limited ROSAT Wide Field Camera (WFC) survey of all nondegenerate stars within 10 pc. Of the 220 known star systems within 10 pc, we find that 41 are positive detections in at least one of the two WFC filter bandpasses (S1 and S2), while we consider another 14 to be marginal detections. We compute X-ray luminosities for the WFC detections using Einstein Imaging Proportional Counter (IPC) data, and these IPC luminosities are discussed along with the WFC luminosities throughout the paper for purposes of comparison. Extreme ultraviolet (EUV) luminosity functions are computed for single stars of different spectral types using both S1 and S2 luminosities, and these luminosity functions are compared with X-ray luminosity functions derived by previous authors using IPC data. We also analyze the S1 and S2 luminosity functions of the binary stars within 10 pc. We find that most stars in binary systems do not emit EUV radiation at levels different from those of single stars, but there may be a few EUV-luminous multiple-star systems which emit excess EUV radiation due to some effect of binarity. In general, the ratio of X-ray luminosity to EUV luminosity increases with increasing coronal emission, suggesting that coronally active stars have higher coronal temperatures. We find that our S1, S2, and IPC luminosities are well correlated with rotational velocity, and we compare activity-rotation relations determined using these different luminosities. Late M stars are found to be significantly less luminous in the EUV than other late-type stars. The most natural explanation for this results is the concept of coronal saturation -- the idea that late-type stars can emit only a limited fraction of their total luminosity in X-ray and EUV radiation, which means stars with very low bolometric luminosities must have relatively low X-ray and EUV luminosities as well. The maximum level of coronal emission from stars with earlier spectral types is studied

  3. 42 CFR 476.86 - Correlation of Title XI functions with Title XVIII functions.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 42 Public Health 4 2011-10-01 2011-10-01 false Correlation of Title XI functions with Title XVIII functions. 476.86 Section 476.86 Public Health CENTERS FOR MEDICARE & MEDICAID SERVICES, DEPARTMENT OF...) Qio Review Functions § 476.86 Correlation of Title XI functions with Title XVIII functions. (a...

  4. Absorption and emission of single attosecond light pulses in an autoionizing gaseous medium dressed by a time-delayed control field

    NASA Astrophysics Data System (ADS)

    Chu, Wei-Chun; Lin, C. D.

    2013-01-01

    An extreme ultraviolet (EUV) single attosecond pulse passing through a laser-dressed dense gas is studied theoretically. The weak EUV pulse pumps the helium gas from the ground state to the 2s2p(1P) autoionizing state, which is coupled to the 2s2(1S) autoionizing state by a femtosecond infrared laser with the intensity in the order of 1012 W/cm2. The simulation shows how the transient absorption and emission of the EUV are modified by the coupling laser. A simple analytical expression for the atomic response derived for δ-function pulses reveals the strong modification of the Fano lineshape in the spectra, where these features are quite universal and remain valid for realistic pulse conditions. We further account for the propagation of pulses in the medium and show that the EUV signal at the atomic resonance can be enhanced in the gaseous medium by more than 50% for specifically adjusted laser parameters, and that this enhancement persists as the EUV propagates in the gaseous medium. Our result demonstrates the high-level control of nonlinear optical effects that are achievable with attosecond pulses.

  5. Early evolution of an energetic coronal mass ejection and its relation to EUV waves

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Rui; Wang, Yuming; Shen, Chenglong, E-mail: rliu@ustc.edu.cn

    2014-12-10

    We study a coronal mass ejection (CME) associated with an X-class flare whose initiation is clearly observed in the low corona with high-cadence, high-resolution EUV images, providing us a rare opportunity to witness the early evolution of an energetic CME in detail. The eruption starts with a slow expansion of cool overlying loops (∼1 MK) following a jet-like event in the periphery of the active region. Underneath the expanding loop system, a reverse S-shaped dimming is seen immediately above the brightening active region in hot EUV passbands. The dimming is associated with a rising diffuse arch (∼6 MK), which wemore » interpret as a preexistent, high-lying flux rope. This is followed by the arising of a double hot channel (∼10 MK) from the core of the active region. The higher structures rise earlier and faster than lower ones, with the leading front undergoing extremely rapid acceleration up to 35 km s{sup –2}. This suggests that the torus instability is the major eruption mechanism and that it is the high-lying flux rope rather than the hot channels that drives the eruption. The compression of coronal plasmas skirting and overlying the expanding loop system, whose aspect ratio h/r increases with time as a result of the rapid upward acceleration, plays a significant role in driving an outward-propagating global EUV wave and a sunward-propagating local EUV wave, respectively.« less

  6. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Huadong; Zhang, Jun; Ma, Suli

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearbymore » coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.« less

  7. Sensitizers in EUV chemically amplified resist: mechanism of sensitivity improvement

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; Jiang, Jing; Yamamoto, Hiroki; De Simone, Danilo; Kozawa, Takahiro; De Gendt, Stefan; Vandenberghe, Geert

    2018-03-01

    EUV lithography utilizes photons with 91.6 eV energy to ionize resists, generate secondary electrons, and enable electron driven reactions that produce acid in chemically amplified photoresist. Efficiently using the available photons is of key importance. Unlike DUV lithography, where photons are selectively utilized by photoactive compounds, photons at 13.5nm wavelength ionize almost all materials. Nevertheless, specific elements have a significantly higher atomic photon-absorption cross section at 91.6 eV. To increase photon absorption, sensitizer molecules, containing highly absorbing elements, can be added to photoresist formulations. These sensitizers have gained growing attention in recent years, showing significant sensitivity improvement. But there are few experimental evidences that the sensitivity improvement is due to the higher absorption only, as adding metals salts into the resist formulation can induce other mechanisms, like modification of the dissolution rate, potentially affecting patterning performance. In this work, we used different sensitizers in chemically amplified resist. We measured experimentally the absorption of EUV light, the acid yield, the dissolution rate and the patterning performance of the resists. Surprisingly, the absorption of EUV resist was decreased with addition of metal salt sensitizers. Nevertheless, the resist with sensitizer showed a higher acid yield. Sensitizer helps achieving higher PAG conversion to acid, notably due to an increase of the secondary electron generation. Patterning data confirm a significant sensitivity improvement, but at the cost of roughness degradation at high sensitizer loading. This can be explained by the chemical distribution of the sensitizer in the resist combined with a modification of the dissolution contrast, as observed by Dissolution Rate Monitor.

  8. Da Vinci Xi and Si platforms have equivalent perioperative outcomes during robot-assisted partial nephrectomy: preliminary experience.

    PubMed

    Abdel Raheem, Ali; Sheikh, Abulhasan; Kim, Dae Keun; Alatawi, Atalla; Alabdulaali, Ibrahim; Han, Woong Kyu; Choi, Young Deuk; Rha, Koon Ho

    2017-03-01

    The aims of this study were to compare the perioperative outcomes of da Vinci Xi to Si during robotic-assisted partial nephrectomy (RAPN) and to discuss the feasibility of our novel port placement scheme for the da Vinci Xi platform, to overcome the existing kinetic and technical difficulties we faced with the linear port placement in patients with a small body habitus. A retrospective data analysis of patients who underwent RPN using da Vinci Xi (n = 18) was carried out. The outcomes of the Xi group were compared with the Si group (n = 18) selected using a case-matched methodology. For da Vinci Xi, we applied the universal linear port placement in 12 patients and our modified port placement in the remaining 6 patients. The Xi group had a shorter mean docking time of 17.8 ± 2.6 min compared to the Si group of 20.5 ± 2.1 min (p = 0.002); otherwise, no significant difference was present with regard to the remaining perioperative variables (p > 0.05). The modified Xi port placement had a shorter mean console time of 70.8 ± 9.7 min compared to the universal linear port placement of 89.3 ± 17.2 min (p = 0.03). Moreover, it provided a broader field of vision with excellent robotic arms movement, minimizing collisions and allowing an easier and comfortable surgical assist. Da Vinci Xi appears to be feasible and safe during RPN with similar outcomes to Si. The novel Xi port placement makes surgery easier in patients with low BMI.

  9. EUV Dimmings as a Diagnostic of CMEs and Related Phenomena

    NASA Technical Reports Server (NTRS)

    Thompson, Barbara J.; Mays, M. Leila; Webb, David F.; West, Matthew J.

    2012-01-01

    Large-scale coronal EUV dimmings, developing on timescaJes of minutes to hours in association with a flare or filament eruption, are known to exhibit a high correlation with coronal mass ejections. While most observations indicate that the decrease in emission in a dimming is due, at least in part, to a density decrease, a complete understanding requires us to examine at least four mechanisms that have been observed to cause darkened regions in the corona: 1) mass loss, 2) cooling, 3) heating, and 4) absorption/obscuration. Recent advances in automatic detection, observations with improved cadence and resolution, multi-viewpoint imaging, and spectroscopic studies have continued to shed light on dimming formation, evolution, and recovery. However, there are still some outstanding questions, including 1) Why do some CMEs show dimming and some do not? 2) What determines the location of a dimming? 3) What determines the temporal evolution of a dimming? 4) How does the post-eruption dimming connect to the ICME? 5) What is the relationship between dimmings and other CME-associated phenomena? The talk will emphasize the different formation mechanisms of dimmings and their relationship to CMEs and CME-associated phenomena.

  10. Factor XI and Contact Activation as Targets for Antithrombotic Therapy

    PubMed Central

    Gailani, David; Bane, Charles E.; Gruber, Andras

    2015-01-01

    Summary The most commonly used anticoagulants produce therapeutic antithrombotic effects either by inhibiting thrombin or factor Xa, or by lowering the plasma levels of the precursors of these key enzymes, prothrombin and factor X. These drugs do not distinguish between thrombin generation contributing to thrombosis from thrombin generation required for hemostasis. Thus, anticoagulants increase bleeding risk, and many patients who would benefit from therapy go untreated because of comorbidities that place them at unacceptable risk for hemorrhage. Studies in animals demonstrate that components of the plasma contact activation system contribute to experimentally-induced thrombosis, despite playing little or no role in hemostasis. Attention has focused on factor XII, the zymogen of a protease (factor XIIa) that initiates contact activation when blood is exposed to foreign surfaces; and factor XI, the zymogen of the protease factor XIa, which links contact activation to the thrombin generation mechanism. In the case of factor XI, epidemiologic data indicate this protein contributes to stroke and venous thromboembolism, and perhaps myocardial infarction, in humans. A phase 2 trial showing that reduction of factor XI may be more effective than low-molecular-weight heparin at preventing venous thrombosis during knee replacement surgery provides proof of concept for the premise that an antithrombotic effect can be uncoupled from an anticoagulant effect in humans by targeting components of contact activation. Here we review data on the role of factor XI and factor XII in thrombosis, and results of pre-clinical and human trials for therapies targeting these proteins. PMID:25976012

  11. OMEGA{sup -}, XI*{sup -}, SIGMA*{sup -}, and DELTA{sup -} decuplet baryon magnetic moments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Slaughter, Milton Dean

    The properties of the ground-state U spin =(3/2) baryon decuplet magnetic moments DELTA{sup -}, XI*{sup -}, SIGMA*{sup -}, and OMEGA{sup -} and their ground-state spin-(1/2) cousins p, n, LAMBDA, SIGMA{sup +}, SIGMA{sup 0}, SIGMA{sup -}, XI{sup +}, and XI{sup -} have been studied for many years with a modicum of success. The magnetic moments of many are yet to be determined. Of the decuplet baryons, only the magnetic moment of the OMEGA{sup -} has been accurately determined. We calculate the magnetic moments of the physical decuplet U spin =(3/2) quartet members without ascribing any specific form to their quark structuremore » or intraquark interactions.« less

  12. Study on the lifetime of Mo/Si multilayer optics with pulsed EUV-source at the ETS

    NASA Astrophysics Data System (ADS)

    Schürmann, Mark; Yulin, Sergiy; Nesterenko, Viatcheslav; Feigl, Torsten; Kaiser, Norbert; Tkachenko, Boris; Schürmann, Max C.

    2011-06-01

    As EUV lithography is on its way into production stage, studies of optics contamination and cleaning under realistic conditions become more and more important. Due to this fact an Exposure Test Stand (ETS) has been constructed at XTREME technologies GmbH in collaboration with Fraunhofer IOF and with financial support of Intel Corporation. This test stand is equipped with a pulsed DPP source and allows for the simultaneous exposure of several samples. In the standard set-up four samples with an exposed area larger than 35 mm2 per sample can be exposed at a homogeneous intensity of 0.25 mW/mm2. A recent update of the ETS allows for simultaneous exposures of two samples with intensities up to 1.0 mW/mm2. The first application of this alternative set-up was a comparative study of carbon contamination rates induced by EUV radiation from the pulsed source with contamination rates induced by quasicontinuous synchrotron radiation. A modified gas-inlet system allows for the introduction of a second gas to the exposure chamber. This possibility was applied to investigate the efficiency of EUV-induced cleaning with different gas mixtures. In particular the enhancement of EUV-induced cleaning by addition of a second gas to the cleaning gas was studied.

  13. Driving down defect density in composite EUV patterning film stacks

    NASA Astrophysics Data System (ADS)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  14. Solar Radio Burst Associated with the Falling Bright EUV Blob

    NASA Astrophysics Data System (ADS)

    Karlický, Marian; Zemanová, Alena; Dudík, Jaroslav; Radziszewski, Krzysztof

    2018-02-01

    At the beginning of the 2015 November 4 flare, in the 1300–2000 MHz frequency range, we observed a very rare slow positively drifting burst. We searched for associated phenomena in simultaneous EUV observations made by IRIS, SDO/AIA, and Hinode/XRT, as well as in H α observations. We found that this radio burst was accompanied with the bright blob, visible at transition region, coronal, and flare temperatures, falling down to the chromosphere along the dark loop with a velocity of about 280 km s‑1. The dark loop was visible in H α but disappeared afterward. Furthermore, we found that the falling blob interacted with the chromosphere as expressed by a sudden change of the H α spectra at the location of this interaction. Considering different possibilities, we propose that the observed slow positively drifting burst is generated by the thermal conduction front formed in front of the falling hot EUV blob.

  15. Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Ohnishi, Takayuki; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi; Bai, Shufeng; Wang, Jen-Shiang; Howell, Rafael; Chen, George; Li, Jiangwei; Tao, Jun; Wiley, Jim; Kurosawa, Terunobu; Saito, Yasuko; Takigawa, Tadahiro

    2010-09-01

    In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.

  16. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  17. Thermal characteristics of multi-wavelength emission during a B8.3 flare occurred on July 04, 2009

    NASA Astrophysics Data System (ADS)

    Awasthi, Arun Kumar; Sylwester, Barbara; Sylwester, Janusz; Jain, Rajmal

    2015-08-01

    We explore the temporal evolution of flare plasma parameters including temperature (T) - differential emission measure (DEM) relationship by analyzing high spectral and temporal cadence X-ray emission in 1.2-20 keV energy band, recorded by SphinX (Polish) and Solar X-ray Spectrometer (SOXS; Indian) instruments, during a B8.3 flare which occurred on July 04, 2009. SphinX records X-ray emission in 1.2-15 keV energy band with the temporal and spectral cadence as good as 6µs and 0.4 keV, respectively. On the other hand, SOXS provides X-ray observations in 4-25 keV energy band with the temporal and spectral resolution of 3s and 0.7 keV, respectively. In addition, we integrate co-temporal EUV line emission in 171, 194 and 284 angstrom obtained from STEREO mission in order to explore low-temperature response to the flare emission. In order to fit observed evolution of multi-wavelength emission during the flare, we incorporate multi-Gaussian and well-established Withbroe - Sylwester maximum likelihood DEM inversion algorithms. Thermal energetics are also estimated using geometrically corrected flaring loop structure obtained through EUV images of the active region from STEREO twin satellites. In addition, we also study the trigger and energy release scenario of this low-intensity class flare in terms of magnetic field as well as multi-wavelength emission.

  18. Deteriorating haze situation and the severe haze episode during December 18-25 of 2013 in Xi'an, China, the worst event on record

    NASA Astrophysics Data System (ADS)

    Liu, Xiaodong; Hui, Ying; Yin, Zhi-Yong; Wang, Zhaosheng; Xie, Xiaoning; Fang, Jiangang

    2016-07-01

    Frequent occurrence of haze weather has been considered an urgent environmental problem in China and has attracted much attention worldwide in recent years. In this study, we examined the trend in the occurrence of haze days based on horizontal visibility in Xi'an, a major city in central China, since 2000. There were 49 haze days per year on average in Xi'an during 2000-2013, and the number of haze days has increased significantly since 2008, reaching 102 days in 2013. December is the month of the highest frequency of occurrence. During December 18-25 of 2013, the longest-lasting and most severe haze event in the recent decades occurred. The 8-day mean visibility in Xi'an was only 2.5 km with 5 days below 2 km. The mean air quality index in Xi'an during this period was 486.5, and in four of those days, it reached or exceeded the index's upper limit of 500. The exceptionally high level of PM2.5 concentration was inferred as the main reason of this severe haze episode. The local weather conditions were characterized by weak winds, enhanced atmospheric stability, and high relative humidity. Strong mid-tropospheric zonal flows in combination with weakened East Asian winter monsoon limited the cold air invasion from the higher latitudes, creating a condition of low pressure gradients in the lower troposphere and near the surface for a large region in central and eastern China. With high background emission levels, the suppressed dispersion of air pollutants eventually caused this severe haze episode affecting a large region in China.

  19. Solar Imaging UV/EUV Spectrometers Using TVLS Gratings

    NASA Astrophysics Data System (ADS)

    Thomas, R. J.

    2003-05-01

    It is a particular challenge to develop a stigmatic spectrograph for UV/EUV wavelengths since the very low normal-incidence reflectance of standard materials most often requires that the design be restricted to a single optical element which must simultaneously provide both re-imaging and spectral dispersion. This problem has been solved in the past by the use of toroidal gratings with uniform line-spaced rulings (TULS). A number of solar EUV spectrometers have been based on such designs, including SOHO/CDS, Solar-B/EIS, and the sounding rockets SERTS and EUNIS. More recently, Kita, Harada, and collaborators have developed the theory of spherical gratings with varied line-space rulings (SVLS) operated at unity magnification, which have been flown on several astronomical satellite missions. We now combine these ideas into a spectrometer concept that puts varied-line space rulings onto toroidal gratings. Such TVLS designs are found to provide excellent imaging even at very large spectrograph magnifications and beam-speeds, permitting extremely high-quality performance in remarkably compact instrument packages. Optical characteristics of three new solar spectrometers based on this concept are described: SUMI and RAISE, two sounding rocket payloads, and NEXUS, currently being proposed as a Small-Explorer (SMEX) mission.

  20. Solar Imaging UV/EUV Spectrometers Using TVLS Gratings

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.

    2003-01-01

    It is a particular challenge to develop a stigmatic spectrograph for UV, EUV wavelengths since the very low normal-incidence reflectance of standard materials most often requires that the design be restricted to a single optical element which must simultaneously provide both reimaging and spectral dispersion. This problem has been solved in the past by the use of toroidal gratings with uniform line-spaced rulings (TULS). A number of solar extreme ultraviolet (EUV) spectrometers have been based on such designs, including SOHO/CDS, Solar-B/EIS, and the sounding rockets Solar Extreme ultraviolet Research Telescope and Spectrograph (SERTS) and Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS). More recently, Kita, Harada, and collaborators have developed the theory of spherical gratings with varied line-space rulings (SVLS) operated at unity magnification, which have been flown on several astronomical satellite missions. We now combine these ideas into a spectrometer concept that puts varied-line space rulings onto toroidal gratings. Such TVLS designs are found to provide excellent imaging even at very large spectrograph magnifications and beam-speeds, permitting extremely high-quality performance in remarkably compact instrument packages. Optical characteristics of three new solar spectrometers based on this concept are described: SUMI and RAISE, two sounding rocket payloads, and NEXUS, currently being proposed as a Small-Explorer (SMEX) mission.

  1. Material design of negative-tone polyphenol resist for EUV and EB lithography

    NASA Astrophysics Data System (ADS)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  2. Progress on EUV mask fabrication for 32-nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Zhang, Guojing; Yan, Pei-Yang; Liang, Ted; Park, Seh-jin; Sanchez, Peter; Shu, Emily Y.; Ultanir, Erdem A.; Henrichs, Sven; Stivers, Alan; Vandentop, Gilroy; Lieberman, Barry; Qu, Ping

    2007-05-01

    Extreme ultraviolet lithography (EUVL) tool development achieved a big milestone last year as two full-field Alpha Demo Tools (ADT) were shipped to customers by ASML. In the future horizon, a full field "EUV1" exposure tool from Nikon will be available by the end of 20071 and the pre-production EUV exposure tools from ASML are targeted for 20092. It is essential that high quality EUVL masks can be made and delivered to the EUVL tool users to support the technology development. In the past year, we have demonstrated mask fabrication with low stress absorber deposition and good etch process control yielding a vertical etch profile and a mask CD control of 5.7 nm for 32 nm (1x) space and 7.4 nm for 32 nm (1x) lines. Mask pattern resolution of 15 nm (1x) dense lines was achieved. Full field reflective mask die-to-die inspection at a 125nm pixel size was demonstrated after low defect multilayer blanks became available. In this paper, we will present details of the Intel EUVL Mask Pilot Line progress in EUVL mask defect reduction, pattern CD performance, program defect mask design and inspection, in-house absorber film development and its performance, and EUVL metrology tool development. We will demonstrate an overall improvement in EUV mask manufacturing readiness due to our Pilot Line activities.

  3. SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Balachandran, Dave; He, Long; Kearney, Patrick; Karumuri, Anil; Goodwin, Frank; Cummings, Kevin

    2015-03-01

    Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.

  4. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  5. Quantitative Evaluation of Hard X-ray Damage to Biological Samples using EUV Ptychography

    NASA Astrophysics Data System (ADS)

    Baksh, Peter; Odstrcil, Michal; Parsons, Aaron; Bailey, Jo; Deinhardt, Katrin; Chad, John E.; Brocklesby, William S.; Frey, Jeremy G.

    2017-06-01

    Coherent diffractive imaging (CDI) has become a standard method on a variety of synchrotron beam lines. The high brilliance short wavelength radiation from these sources can be used to reconstruct attenuation and relative phase of a sample with nanometre resolution via CDI methods. However, the interaction between the sample and high energy ionising radiation can cause degradation to sample structure. We demonstrate, using a laboratory based high harmonic generation (HHG) based extreme ultraviolet (EUV) source, imaging a sample of hippocampal neurons using the ptychography method. The significant increase in contrast of the sample in the EUV light allows identification of damage induced from exposure to 7.3 keV photons, without causing any damage to the sample itself.

  6. The UV Spectral Components in RE1938-461, the Brightest ROSAT WFC Discovered Polar with a High Euv/optical Ratio: CYCLE4 Medium.

    NASA Astrophysics Data System (ADS)

    Rosen, Simon

    1994-01-01

    Eight new magnetic cataclysmic variables were discovered during the ROSAT WFC survey. Seven of these have been identified with polar (or AM Her) systems. A striking result that has emerged is that the new polars appear to populate a region of high EUV/optical flux ratio when compared to that measured for the previously known systems that were also detected in the WFC survey. It is highly likely that these new polars also possess large soft/hard X-ray flux ratios. In this case, the WFC result suggests that a) polars with large soft excesses are more common than previously believed and b) that the mode of accretion in these particular systems is likely to be via the direct penetration of the white dwarf's surface by blobs of accreting material rather than by the formation of a hard X-ray emitting column above the surface. The new polars will have a direct bearing on the division between the two different modes of accretion. They also provide the means to probe the detailed nature of the processes occurring in the accretion region. We are proposing low resolution HST FOS observations of the brightest of these EUV luminous polars discovered in the WFC survey to a) search for the tail of the emission component from the heated region around the accreting pole to constrain the luminosity, size and temperature of this constituent and b) to perform an initial study of the UV emission lines, measuring their flux and radial velocity motion to constrain the dynamics and physical (ionization) structure within the accretion flow.

  7. Study on collaborative operation in Xi'an international inland port and airport

    NASA Astrophysics Data System (ADS)

    Jia, Guoling

    2017-10-01

    Xi 'an international inland port and airport are the important fulcrums for Shaanxi province to implement the strategy of "One Belt One Road" and to develop its export-oriented economy. Based on the general development situation of Xi 'an international inland port and airport and analyzing their similarities and differences, the external cause and internal cause of synergy are discussed. The contents of synergy from the strategy level, tactics level and business level are explained respectively.

  8. Model based high NA anamorphic EUV RET

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Wiaux, Vincent; Fenger, Germain; Clifford, Chris; Liubich, Vlad; Hendrickx, Eric

    2018-03-01

    With the announcement of the extension of the Extreme Ultraviolet (EUV) roadmap to a high NA lithography tool that utilizes anamorphic optics design, an investigation of design tradeoffs unique to the imaging of anamorphic lithography tool is shown. An anamorphic optical proximity correction (OPC) solution has been developed that models fully the EUV near field electromagnetic effects and the anamorphic imaging using the Domain Decomposition Method (DDM). Clips of imec representative for the N3 logic node were used to demonstrate the OPC solutions on critical layers that will benefit from the increased contrast at high NA using anamorphic imaging. However, unlike isomorphic case, from wafer perspective, OPC needs to treat x and y differently. In the paper, we show a design trade-off seen unique to Anamorphic EUV, namely that using a mask rule of 48nm (mask scale), approaching current state of the art, limitations are observed in the available correction that can be applied to the mask. The metal pattern has a pitch of 24nm and CD of 12nm. During OPC, the correction of the metal lines oriented vertically are being limited by the mask rule of 12nm 1X. The horizontally oriented lines do not suffer from this mask rule limitation as the correction is allowed to go to 6nm 1X. For this example, the masks rules will need to be more aggressive to allow complete correction, or design rules and wafer processes (wafer rotation) would need to be created that utilize the orientation that can image more aggressive features. When considering VIA or block level correction, aggressive polygon corner to corner designs can be handled with various solutions, including applying a 45 degree chop. Multiple solutions are discussed with the metrics of edge placement error (EPE) and Process Variation Bands (PVBands), together with all the mask constrains. Noted in anamorphic OPC, the 45 degree chop is maintained at the mask level to meet mask manufacturing constraints, but results in skewed

  9. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  10. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    NASA Astrophysics Data System (ADS)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  11. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    NASA Technical Reports Server (NTRS)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  12. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    NASA Astrophysics Data System (ADS)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  13. CONSTRAINING SOLAR FLARE DIFFERENTIAL EMISSION MEASURES WITH EVE AND RHESSI

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caspi, Amir; McTiernan, James M.; Warren, Harry P.

    2014-06-20

    Deriving a well-constrained differential emission measure (DEM) distribution for solar flares has historically been difficult, primarily because no single instrument is sensitive to the full range of coronal temperatures observed in flares, from ≲2 to ≳50 MK. We present a new technique, combining extreme ultraviolet (EUV) spectra from the EUV Variability Experiment (EVE) onboard the Solar Dynamics Observatory with X-ray spectra from the Reuven Ramaty High Energy Solar Spectroscopic Imager (RHESSI), to derive, for the first time, a self-consistent, well-constrained DEM for jointly observed solar flares. EVE is sensitive to ∼2-25 MK thermal plasma emission, and RHESSI to ≳10 MK; together, the twomore » instruments cover the full range of flare coronal plasma temperatures. We have validated the new technique on artificial test data, and apply it to two X-class flares from solar cycle 24 to determine the flare DEM and its temporal evolution; the constraints on the thermal emission derived from the EVE data also constrain the low energy cutoff of the non-thermal electrons, a crucial parameter for flare energetics. The DEM analysis can also be used to predict the soft X-ray flux in the poorly observed ∼0.4-5 nm range, with important applications for geospace science.« less

  14. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    NASA Astrophysics Data System (ADS)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  15. Determination of temperature maps of EUV coronal hole jets

    NASA Astrophysics Data System (ADS)

    Nisticò, Giuseppe; Patsourakos, Spiros; Bothmer, Volker; Zimbardo, Gaetano

    2011-11-01

    Coronal hole jets are fast ejections of plasma occurring within coronal holes, observed at Extreme-UltraViolet (EUV) and X-ray wavelengths. Recent observations of jets by the STEREO and Hinode missions show that they are transient phenomena which occur at much higher rates than large-scale impulsive phenomena like flares and Coronal Mass Ejections (CMEs). In this paper we describe some typical characteristics of coronal jets observed by the SECCHI instruments of STEREO spacecraft. We show an example of 3D reconstruction of the helical structure for a south pole jet, and present how the angular distribution of the jet position angles changes from the Extreme-UltraViolet-Imager (EUVI) field of view to the CORonagraph1 (COR1) (height ∼2.0 R⊙ heliocentric distance) field of view. Then we discuss a preliminary temperature determination for the jet plasma by using the filter ratio method at 171 and 195 Å and applying a technique for subtracting the EUV background radiation. The results show that jets are characterized by electron temperatures ranging between 0.8 and 1.3 MK. We present the thermal structure of the jet as temperature maps and we describe its thermal evolution.

  16. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    NASA Astrophysics Data System (ADS)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  17. EUV via hole pattern fidelity enhancement through novel resist and post-litho plasma treatment

    NASA Astrophysics Data System (ADS)

    Yaegashi, Hidetami; Koike, Kyohei; Fonseca, Carlos; Yamashita, Fumiko; Kaushik, Kumar; Morikita, Shinya; Ito, Kiyohito; Yoshimura, Shota; Timoshkov, Vadim; Maslow, Mark; Jee, Tae Kwon; Reijnen, Liesbeth; Choi, Peter; Feng, Mu; Spence, Chris; Schoofs, Stijn

    2018-03-01

    Extreme UV(EUV) technology must be potential solution for sustainable scaling, and its adoption in high volume manufacturing(HVM) is getting realistic more and more. This technology has a wide capability to mitigate various technical problem in Multi-patterning (LELELE) for via hole patterning with 193-i. It induced local pattern fidelity error such like CDU, CER, Pattern placement error. Exactly, EUV must be desirable scaling-driving tool, however, specific technical issue, named RLS (Resolution-LER-Sensitivity) triangle, obvious remaining issue. In this work, we examined hole patterning sensitizing (Lower dose approach) utilizing hole patterning restoration technique named "CD-Healing" as post-Litho. treatment.

  18. Comparative lifetesting results for microchannel plates in windowless EUV photon detectors

    NASA Technical Reports Server (NTRS)

    Malina, R. F.; Coburn, K. R.

    1984-01-01

    Microchannel plates (MCPs) from seven manufacturers were subjected to a series of tests to determine their suitability for the Extreme Ultraviolet Explorer satellite. Comparative data are presented for sixteen MCP tandem pairs with channel length to diameter ratios (l/d) ranging from 40:1 to 60:1 and for two saturable (curved channel) MCPs with l/d's of 80:1. Results for MCPs with funnelled channel throats are also discussed. Properties of the MCPs which were monitored include: background count rate, output charge pulse height distribution (PHD), modal gain, PHD full width half maximum (FWHM), and extreme ultraviolet (EUV) photon quantum efficiency. Five detectors were chosen for further lifetime testing consisting of a mild bake to 100 C, and charge extraction to 0.01 coulombs, repeated high voltage cycling and reexposure to one atmosphere conditions. The results of these tests and their implications for the flight detectors are discussed. Erratic events in the detector background were recorded, probably due to field emission from high voltage surfaces or the absorption of water vapor into the electrode following exposure to air. The steps taken to control the detector background are discussed.

  19. Educational Pico-Satellite Project CUBESAT - University of Tokyo's CUBESAT XI and its Operation Plan

    NASA Astrophysics Data System (ADS)

    Tsuda, Y.; Sako, N.; Eishima, T.; Ito, T.; Arikawa, Y.; Miyamura, N.

    2002-01-01

    University of Tokyo ISSL (Intelligent Space Systems Laboratory) has been developing a pico-satellite called "CubeSat" as an international joint program. In CubeSat project, 10cm cubic satellites have been developed by several universities and launched to the low-earth orbit altogether by Russian rocket "Dnepr". ISSL has developed "XI" series ([sai]: X-factor Investigator) satellites, and the flight model is already fabricated and ready for delivery. The mission of XI satellite is the on-orbit technology demonstration of the ultra-small satellite bus system with an extensive use of commercial-off-the-shelf components. XI transmits the Morse beacon and FM packet telemetry which provides the health data of the satellite. Additionally, XI has a CMOS camera which provides 15,000 pixels panchromatic images as an advanced mission. Ground operation is one of the key issues for CubeSats. Now we are promoting international ground station network in which several universities' ground stations connected by internet collaboratively operate university-built small satellites, which enlarges the link opportunity. Collaboration with amateur HAM engineers is also indispensable for search for the satellite or get beacon signal to estimate the satellite orbit. We are now developing operation concept based on these ideas. As the launch is scheduled in this fall, the operation plan will be fixed at the time of this conference. In this presentation the final design of ISSL's CubeSat XI and operation plan will be presented.

  20. Prospect of EUV mask repair technology using e-beam tool

    NASA Astrophysics Data System (ADS)

    Kanamitsu, Shingo; Hirano, Takashi; Suga, Osamu

    2010-09-01

    Currently, repair machines used for advanced photomasks utilize principle method like as FIB, AFM, and EB. There are specific characteristic respectively, thus they have an opportunity to be used in suitable situation. But when it comes to EUV generation, pattern size is so small highly expected as under 80nm that higher image resolution and repair accuracy is needed for its machines. Because FIB machine has intrinsic damage problem induced by Ga ion and AFM machine has critical tip size issue, those machines are basically difficult to be applied for EUV generation. Consequently, we focused on EB repair tool for research work. EB repair tool has undergone practical milestone about MoSi based masks. We have applied same process which is used for MoSi to EUV blank and confirmed its reaction. Then we found some severe problems which show uncontrollable feature due to its enormously strong reaction between etching gas and absorber material. Though we could etch opaque defect with conventional method and get the edge shaped straight by top-down SEM viewing, there were problems like as sidewall undercut or local erosion depending on defect shape. In order to cope with these problems, the tool vender has developed a new process and reported it through an international conference [1]. We have evaluated the new process mentioned above in detail. In this paper, we will bring the results of those evaluations. Several experiments for repair accuracy, process stability, and other items have been done under estimation of practical condition assuming diversified size and shape defects. A series of actual printability tests will be also included. On the basis of these experiments, we consider the possibility of EB-repair application for 20nm pattern.

  1. Uncovering the Spectral Energy Distribution in Active Galaxies Using High Ionization Mid-Infrared Emission Lines

    NASA Technical Reports Server (NTRS)

    Melendez, M.; Kraemer, S. B.; Weaver, K. A.; Mushotzky, R. F.

    2011-01-01

    The shape of the spectral energy distribution of active galaxies in the EUV soft X-ray band (13.6 eV to 1 keV) is uncertain because obscuration by dust and gas can hamper our view of the continuum. To investigate the shape of the spectral energy distribution in this energy band, we have generated a set of photoionization models which reproduce the small dispersion found in correlations between high-ionization mid-infrared emission lines in a sample of hard X-ray selected AGN. Our calculations show that a broken power-law continuum model is sufficient to reproduce the [Ne V]14.32 microns/[Ne III], [Ne V]24.32 microns/[O IV]25.89 micron and [O IV] 25.89 microns/[Ne III] ratios, and does not require the addition of a "big bump" EUV model component. We constrain the EUV-soft X-ray slope, alpha(sub i), to be between 1.5 - 2.0 and derive a best fit of alpha(sub i) approx. 1.9 for Seyfert 1 galaxies, consistent with previous studies of intermediate redshift quasars. If we assume a blue bump model, most sources in our sample have derived temperatures between T(sub BB) = 10(exp 5.18) K to 10(exp 5.7) K, suggesting that the peak of this component spans a large range of energies extending from approx. (Lambda)600 A to > (Lambda)1900 A. In this case, the best fitting peak energy that matches the mid-infrared line ratios of Seyfert 1 galaxies occurs between approx. (Lambda)700-(Lambda)1000 A. Despite the fact that our results do not rule out the presence of an EUV bump, we conclude that our power-law model produces enough photons with energies > 4 Ry to generate the observed amount of mid-infrared emission in our sample of BAT AGN.

  2. Early clinical experience with the da Vinci Xi Surgical System in general surgery.

    PubMed

    Hagen, Monika E; Jung, Minoa K; Ris, Frederic; Fakhro, Jassim; Buchs, Nicolas C; Buehler, Leo; Morel, Philippe

    2017-09-01

    The da Vinci Xi Surgical System (Intuitive Surgical Inc., Sunnyvale, CA, USA) has been released in 2014 to facilitate minimally invasive surgery. Novel features are targeted towards facilitating complex multi-quadrant procedures, but data is scarce so far. Perioperative data of patients who underwent robotic general surgery with the da Vinci Xi system within the first 6 month after installation were collected and analyzed. The gastric bypass procedures performed with the da Vinci Xi Surgical System were compared to an equal amount of the last procedures with the da Vinci Si Surgical System. Thirty-one foregut (28 Roux-en-Y gastric bypasses), 6 colorectal procedures and 1 revisional biliary procedure were performed. The mean operating room (OR) time was 221.8 (±69.0) minutes for gastric bypasses and 306.5 (±48.8) for colorectal procedures with mean docking time of 9.4 (±3.8) minutes. The gastric bypass procedure was transitioned from a hybrid to a fully robotic approach. In comparison to the last 28 gastric bypass procedures performed with the da Vinci Si Surgical System, the OR time was comparable (226.9 versus 230.6 min, p = 0.8094), but the docking time significantly longer with the da Vinci Xi Surgical System (8.5 versus 6.1 min, p = 0.0415). All colorectal procedures were performed with a single robotic docking. No intraoperative and two postoperative complications occurred. The da Vinci Xi might facilitate single-setups of totally robotic gastric bypass and colorectal surgeries. However, further comparable research is needed to clearly determine the significance of this latest version of the da Vinci Surgical System.

  3. Single exposure EUV patterning of BEOL metal layers on the IMEC iN7 platform

    NASA Astrophysics Data System (ADS)

    Blanco Carballo, V. M.; Bekaert, J.; Mao, M.; Kutrzeba Kotowska, B.; Larivière, S.; Ciofi, I.; Baert, R.; Kim, R. H.; Gallagher, E.; Hendrickx, E.; Tan, L. E.; Gillijns, W.; Trivkovic, D.; Leray, P.; Halder, S.; Gallagher, M.; Lazzarino, F.; Paolillo, S.; Wan, D.; Mallik, A.; Sherazi, Y.; McIntyre, G.; Dusa, M.; Rusu, P.; Hollink, T.; Fliervoet, T.; Wittebrood, F.

    2017-03-01

    This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho and after etch, and variability was characterized both with conventional CD-SEM measurements as well as Hitachi contouring method. After analyzing the patterning of these layers, the impact of variability on potential interconnect reliability was studied by using MonteCarlo and process emulation simulations to determine if current litho/etch performance would meet success criteria for the given platform design rules.

  4. Coordinated Observations of AR 11726 by Hinode/EIS and EUNIS-2013

    NASA Astrophysics Data System (ADS)

    Ancheta, A. J.; Daw, A. N.; Brosius, J. W.

    2016-12-01

    The Extreme-Ultraviolet Normal-Incidence Spectrograph (EUNIS) sounding rocket payload was flown on 2013 April 23 with two independent channels covering the 300-370 A and 525-635 A wavebands. EUNIS-2013 observed two targets on the solar disk that included quiet sun, active regions, a flare, and a micro-flare. The active region AR 11726 was co-observed with the EUV Imaging Spectrometer (EIS) on Hinode. The radiometric response of EUNIS is measured in the laboratory using a NIST-calibrated photodiode and hollow cathode discharge lamp. A density- and temperature- insensitive line intensity ratio technique can be used to derive an in-flight calibration update of Hinode/EIS. Measurements of EIS emission lines with respect to EUNIS lines, including Fe X to Fe XII and Si X, provide a comparison between the calibrations of the two instruments. The radiometric calibration of EUNIS-2013 is also validated using the same insensitive ratio technique with emission lines such as Mg VIII, Fe XI, Fe XVI, and Si IX.

  5. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    NASA Astrophysics Data System (ADS)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  6. Rocket flight of a multilayer coated high-density EUV toroidal grating

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  7. Use of a mobile tower-based robot--The initial Xi robot experience in surgical oncology.

    PubMed

    Yuh, Bertram; Yu, Xian; Raytis, John; Lew, Michael; Fong, Yuman; Lau, Clayton

    2016-01-01

    The da Vinci Xi platform provides expanded movement of the arms relative to the base, theoretically allowing increased versatility in complex multi-field or multi-quadrant surgery. We describe the initial Xi experience in oncologic surgery at a tertiary cancer center. One hundred thirty unique robot-assisted procedures were performed using the Xi between 2014 and 2015, 112 of which were oncology surgeries. For procedures involving multiple quadrants, the robot was re-targeted. Complications were assessed according to Martin criteria and the Clavien-Dindo classification up to 90 days after operation. Thirteen different operations were performed in five oncology subspecialties (urology, gynecology, thoracic, hepatobiliary, and gastrointestinal surgery). Median operative times ranged from 183 min for nephroureterectomy to 543 min for esophagogastrectomy. Median estimated blood loss did not exceed 200 ml for any of the categorized procedures . No patients were transfused intraoperatively and no positioning injuries occurred. Conversions to open operation occurred in three cases (2.7%), though not related to complications or technical considerations. Overall complication rate was 26% with major complication rate of 4%. Readmissions were necessary in 11 (10%) patients. The da Vinci Xi can be safely assimilated into a surgical oncology program. The Xi offers versatility to various oncologic procedures with satisfactory complication and readmission rates. © 2015 Wiley Periodicals, Inc.

  8. Observations of X-ray and EUV fluxes during X-class solar flares and response of upper ionosphere

    NASA Astrophysics Data System (ADS)

    Mahajan, K. K.; Lodhi, Neelesh K.; Upadhayaya, Arun K.

    2010-12-01

    Most studies dealing with solar flare effects in the upper ionosphere, where ionization is caused by EUV photons, have been based upon X-ray fluxes measured by the SOLRAD and GOES series of satellites. To check the validity of such studies, we compare simultaneous observations of GOES X-ray fluxes and SOHO EUV fluxes for 10 X-class solar flares which occurred during the maximum phase of sunspot cycle 23. These include the greatest flare of 4 November 2003, the fourth greatest flare of 28 October 2003 and the 14 July 2000 Bastille Day flare. We find that the peak intensities of the X-ray and EUV fluxes for these flares are poorly correlated, and this poor correlation is again seen when larger data containing 70 X-class flares, which occurred during the period January 1996 to December 2006, are examined. However, this correlation improves vastly when the central meridian distance (CMD) of the flare location is taken into account. We also study the response of the upper ionosphere to these fluxes by using the midday total electron content (TEC), observed for these flares by Liu et al. (2006). We find that peak enhancement in TEC is highly correlated with peak enhancement in EUV flux. The correlation, though poor with the X-ray flux, improves greatly when the CMD of flare location is considered.

  9. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    NASA Astrophysics Data System (ADS)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  10. Heating mechanisms for intermittent loops in active region cores from AIA/SDO EUV observations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cadavid, A. C.; Lawrence, J. K.; Christian, D. J.

    2014-11-01

    We investigate intensity variations and energy deposition in five coronal loops in active region cores. These were selected for their strong variability in the AIA/SDO 94 Å intensity channel. We isolate the hot Fe XVIII and Fe XXI components of the 94 Å and 131 Å by modeling and subtracting the 'warm' contributions to the emission. HMI/SDO data allow us to focus on 'inter-moss' regions in the loops. The detailed evolution of the inter-moss intensity time series reveals loops that are impulsively heated in a mode compatible with a nanoflare storm, with a spike in the hot 131 Å signalsmore » leading and the other five EUV emission channels following in progressive cooling order. A sharp increase in electron temperature tends to follow closely after the hot 131 Å signal confirming the impulsive nature of the process. A cooler process of growing emission measure follows more slowly. The Fourier power spectra of the hot 131 Å signals, when averaged over the five loops, present three scaling regimes with break frequencies near 0.1 min{sup –1} and 0.7 min{sup –1}. The low frequency regime corresponds to 1/f noise; the intermediate indicates a persistent scaling process and the high frequencies show white noise. Very similar results are found for the energy dissipation in a 2D 'hybrid' shell model of loop magneto-turbulence, based on reduced magnetohydrodynamics, that is compatible with nanoflare statistics. We suggest that such turbulent dissipation is the energy source for our loops.« less

  11. Separating the optical contributions to line-edge roughness in EUV lithography using stochastic simulations

    NASA Astrophysics Data System (ADS)

    Chunder, Anindarupa; Latypov, Azat; Chen, Yulu; Biafore, John J.; Levinson, Harry J.; Bailey, Todd

    2017-03-01

    Minimization and control of line-edge roughness (LER) and contact-edge roughness (CER) is one of the current challenges limiting EUV line-space and contact hole printability. One significant contributor to feature roughness and CD variability in EUV is photon shot noise (PSN); others are the physical and chemical processes in photoresists, known as resist stochastic effect. Different approaches are available to mitigate each of these contributions. In order to facilitate this mitigation, it is important to assess the magnitude of each of these contributions separately from others. In this paper, we present and test a computational approach based on the concept of an `ideal resist'. An ideal resist is assumed to be devoid of all resist stochastic effects. Hence, such an ideal resist can only be simulated as an `ideal resist model' (IRM) through explicit utilization of the Poisson statistics of PSN2 or direct Monte Carlo simulation of photon absorption in resist. LER estimated using IRM, thus quantifies the exclusive contribution of PSN to LER. The result of the simulation study done using IRM indicates higher magnitude of contribution (60%) from PSN to LER with respect to total or final LER for a sufficiently optimized high dose `state of the art' EUV chemically amplified resist (CAR) model.

  12. On the Failure of Standard Emission Measure Analysis for Solar Extreme-Ultraviolet and Ultraviolet Irradiance Spectra

    NASA Astrophysics Data System (ADS)

    Judge, P. G.; Woods, T. N.; Brekke, P.; Rottman, G. J.

    1995-12-01

    We perform emission measure analysis of new and accurate UV ( lambda > 1200 A) and extreme-ultraviolet (EUV) ( lambda <= 1200 A) irradiance ("Sun-as-a-star") emission-line spectra of the Sun. Our data consist of (1) daily averaged UV irradiances from the SOLSTICE on the UARS spacecraft and (2) EUV irradiances obtained on the same date from a \\frac {1}{4} m spectrograph flown on a sounding rocket. Both instruments have a spectral resolution of roughly 1 A. The absolute uncertainties in these data are at most +/-15% (+/-2 sigma ), one of the highest photometric accuracies yet achieved. We find large, highly significant and systematic discrepancies in the emission measure analysis of transition region lines which can only be accounted for by a breakdown of one or more standard assumptions. All strong lines above 1000 A, which are from the Li and Na isoelectronic sequences, are too strong by factors of between 2.5 and 7 compared with their counterparts in the EUV region. Previous studies were tantalizingly close to finding these discrepancies, but those data lacked the wavelength coverage and relative photometric precision necessary for definitive conclusions. We argue that either dynamical effects, inaccurate treatments of atomic processes, and/or Lyman continuum absorption are the culprits. However, we favor the former explanation. In any event, this study should have implications for models of the solar transition region, for observing programs with the CDS and SUMER instruments on SOHO, and for analysis of UV spectra for stars across the cool half of the H-R diagram. Finally, the discrepancy is not seen for the "coronal" Li-like ions.

  13. Quasi-periodic Fast-mode Wave Trains Within a Global EUV Wave and Sequential Transverse Oscillations Detected by SDO-AIA

    NASA Technical Reports Server (NTRS)

    Liu, Wei; Ofman, Leon; Nitta, Nariaki; Aschwanden, Markus J.; Schrijver, Carolus J.; Title, Alan M.; Tarbell, Theodore D.

    2012-01-01

    We present the first unambiguous detection of quasi-periodic wave trains within the broad pulse of a global EUV wave (so-called EIT wave) occurring on the limb. These wave trains, running ahead of the lateral coronal mass ejection (CME) front of 2-4 times slower, coherently travel to distances greater than approximately solar radius/2 along the solar surface, with initial velocities up to 1400 kilometers per second decelerating to approximately 650 kilometers per second. The rapid expansion of the CME initiated at an elevated height of 110 Mm produces a strong downward and lateral compression, which may play an important role in driving the primary EUV wave and shaping its front forwardly inclined toward the solar surface. The wave trains have a dominant 2 minute periodicity that matches the X-ray flare pulsations, suggesting a causal connection. The arrival of the leading EUV wave front at increasing distances produces an uninterrupted chain sequence of deflections and/or transverse (likely fast kink mode) oscillations of local structures, including a flux-rope coronal cavity and its embedded filament with delayed onsets consistent with the wave travel time at an elevated (by approximately 50%) velocity within it. This suggests that the EUV wave penetrates through a topological separatrix surface into the cavity, unexpected from CME-caused magnetic reconfiguration. These observations, when taken together, provide compelling evidence of the fast-mode MHD wave nature of the primary (outer) fast component of a global EUV wave, running ahead of the secondary (inner) slow component of CME-caused restructuring.

  14. Cristobalite X-I: A bridge between low and high density silica polymorphs

    NASA Astrophysics Data System (ADS)

    Shelton, H.; Tiange, B.; Zurek, E.; Smith, J.; Dera, P.

    2017-12-01

    SiO2 is one of the most common compounds found on Earth. Despite its chemical simplicity, and because of its crystal chemical characteristics, SiO2 exhibits a complex phase diagram. SiO2 has a wide variety of thermodynamically stable crystalline phases, as well as numerous metastable crystalline and amorphous polymorphs. Many of the phase transition sequences that produce metastable phases of SiO2 are strongly path-dependent, where the rate of change controls the transition just as much as the final conditions. The elusive metastable polymorphs of SiO2 may provide a better understanding of the factors controlling its densification. On compression of α-cristobalite (the high temperature tetrahedral phase of SiO2) to pressures above 12 GPa, a new polymorph known as cristobalite X-I forms. Existence of cristobalite X-I has been known for several decades, however, consensus regarding the exact atomic arrangement has not yet been reached. The X-I phase constitutes an important step in the silica densification process, separating low-density tetrahedral framework structures from high-density octahedral polymorphs. It is unique in being the only non-quenchable high-density SiO2 phase, which reverts back to the tetrahedral low-density form on decompression at ambient temperature. Our new single crystal synchrotron X-ray diffraction experiments, with quasihydrostatic neon as the pressure medium, revealed the structure of this enigmatic phase to consist of octahedral silicate chains with 4-60°-2 zigzag chain geometry. This geometry has not been considered before, but is closely related to post-quartz, stishovite and seifertite. Density functional theory calculations support this observation, confirming the dynamic stability of the X-I arrangement and reasonably reproducing the pressure at which the transformation takes place. The enthalpy of cristobalite X-I is higher than stishovite and seifertite, but it is favored as a high-pressure successor of cristobalite due to a

  15. Robotic da Vinci Xi-assisted nipple-sparing mastectomy: First clinical report.

    PubMed

    Sarfati, Benjamin; Honart, Jean-Francois; Leymarie, Nicolas; Rimareix, Francoise; Al Khashnam, Heba; Kolb, Frederic

    2018-05-01

    Nipple-sparing mastectomy (NSM) is increasingly popular for the treatment of selected breast cancers and prophylactic mastectomy. Surgical scarring and esthetic outcomes are important patient-related cosmetic considerations. Today, the concept of minimally invasive surgery has become popular, especially using robotic surgery. The authors report the first case of NSM using the latest version of the da Vinci Xi surgical system (Xi). The final incision used to remove the entire mammary gland was located behind the axillary line. In this position, hidden by the arm of the patient, the incision was not visible and was compatible with immediate breast reconstruction. © 2017 Wiley Periodicals, Inc.

  16. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  17. Fibrillar Chromospheric Spicule-Like Counterparts to an EUV and Soft X-Ray Blowout Coronal Jet

    NASA Technical Reports Server (NTRS)

    Sterling, Alphonse C.; Harra, Louise K.; Moore, Ronald L.

    2010-01-01

    We observe an erupting jet feature in a solar polar coronal hole, using data from Hinode/SOT, EIS, and XRT, with supplemental data from STEREO/EUVI. From EUV and soft X-ray (SXR) images we identify the erupting feature as a blowout coronal jet: in SXRs it is a jet with bright base, and in EUV it appears as an eruption of relatively cool (approximately 50,000 K) material of horizontal size scale approximately 30" originating from the base of the SXR jet. In SOT Ca II H images the most pronounced analog is a pair of thin (approximately 1") ejections, at the locations of either of the two legs of the erupting EUV jet. These Ca II features eventually rise beyond 45", leaving the SOT field of view, and have an appearance similar to standard spicules except that they are much taller. They have velocities similar to that of "type II" spicules, approximately 100 kilometers per second, and they appear to have spicule-like substructures splitting off from them with horizontal velocity approximately 50 kilometers per second, similar to the velocities of splitting spicules measured by Sterling et al. (2010). Motions of splitting features and of other substructures suggest that the macroscopic EUV jet is spinning or unwinding as it is ejected. This and earlier work suggests that a sub-population of Ca II type II spicules are the Ca II manifestation of portions of larger-scale erupting magnetic jets. A different sub-population of type II spicules could be blowout jets occurring on a much smaller horizontal size scale than the event we observe here.

  18. Measurement of EUV lithography pupil amplitude and phase variation via image-based methodology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Levinson, Zachary; Verduijn, Erik; Wood, Obert R.

    2016-04-01

    Here, an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function is presented. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We seek to examine the behavior of pupil amplitude variation in real-optical systems. Optimized target images were captured under several conditions to fit the resulting pupil responses. Both the amplitude and phase components of the pupil function were extracted from a zone-plate-based EUV mask microscope. The pupil amplitude variation was expanded in three different bases: Zernike polynomials,more » Legendre polynomials, and Hermite polynomials. It was found that the Zernike polynomials describe pupil amplitude variation most effectively of the three.« less

  19. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    NASA Astrophysics Data System (ADS)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  20. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  1. Determining the Differential Emission Measure from EIS, XRT, and AIA

    NASA Technical Reports Server (NTRS)

    Winebarger, Amy R.; Warren, H.P.; Schmelz, J.

    2010-01-01

    This viewgraph presentation determines the Differential Emission Measure (DEM) from the EUV Imaging Spectrometer (EIS), X Ray Telescope (XRT), and Atmospheric Imaging Array (AIA). Common observations with Fe, Si, and Ca EIS lines are shown along with observations with Al-mesh, Ti-poly Al-thick and Be-thick XRT filters. Results from these observations are shown to determine what lines and filters are important to better constrain the hot component.

  2. Distribution and source analysis of aluminum in rivers near Xi'an City, China.

    PubMed

    Wang, Dongqi; He, Yanling; Liang, Jidong; Liu, Pei; Zhuang, Pengyu

    2013-02-01

    To study the status and source of aluminum (Al) contamination, a total of 21 sampling sites along six rivers near Xi'an City (Shaanxi province, China) were investigated during 2008-2010. The results indicated that the average concentration of total Al (Al(t)) in the six rivers increased by 1.6 times from 2008 to 2010. The spatial distribution of Al(t) concentrations in the rivers near Xi'an City was significantly different, ranged from 367 μg/L (Bahe River) to 1,978 μg/L (Taiping River). The Al(t) concentration was highest near an industrial area for pulp and paper-making (2,773 μg/L), where the Al level greatly exceeded the water quality criteria of both the USA (Criterion Continuous Concentration, 87 μg/L) and Canada (100 μg/L). The average concentration of inorganic monometric aluminum (Al(im)) was 72 μg/L which would pose threats to fishes and other aquatic lives in the rivers. The concentrations of exchangeable Al (Al(ex)) in the sediment of the Taiping River sampled were relatively high, making it to be an alternative explanation of increasing Al concentrations in the rivers near Xi'an City. Furthermore, an increasing Al level has been detected in the upstream watershed near Xi'an City in recent years, which might indicate another notable pollution source of Al.

  3. Monitoring on Xi'an ground fissures deformation with TerraSAR-X data

    USGS Publications Warehouse

    Zhao, C.; Zhang, Q.; Zhu, W.; Lu, Z.

    2012-01-01

    Owing to the fine resolution of TerraSAR-X data provided since 2007, this paper applied 6 TerraSAR data (strip mode) during 3rd Dec. 2009 to 23rd Mar. 2010 to detect and monitor the active fissures over Xi'an region. Three themes have been designed for high precision detection and monitoring of Xi'an-Chang'an fissures, as small baseline subsets (SBAS) to test the atmospheric effects of differential interferograms pair stepwise, 2-pass differential interferogram with very short baseline perpendicular to generate the whole deformation map with 44 days interval, and finally, corner reflector (CR) technique was used to closely monitor the relative deformation time series between two CRs settled crossing two ground fissures. Results showed that TerraSAR data are a good choice for small-scale ground fissures detection and monitoring, while special considerations should be taken for their great temporal and baseline decorrelation. Secondly, ground fissures in Xi'an were mostly detected at the joint section of stable and deformable regions. Lastly, CR-InSAR had potential ability to monitor relative deformation crossing fissures with millimeter precision.

  4. Prototype through-pellicle coherent imaging using a 30nm tabletop EUV source

    NASA Astrophysics Data System (ADS)

    Bevis, Charles S.; Karl, Robert M.; Wang, Bin; Esashi, Yuka; Tanksalvala, Michael; Porter, Christina L.; Johnsen, Peter; Adams, Daniel E.; Murnane, Margaret M.; Kapteyn, Henry C.

    2018-03-01

    We present preliminary through-pellicle imaging using a 30nm tabletop extreme ultraviolet (EUV) coherent diffractive imaging microscope. We show that even in a non-optimized setup, this technique enables through-pellicle imaging of a sample with no detectable impact on image fidelity or resolution.

  5. Spatial discrimination of persistent EUV oscillations in a hot waning light bridge

    NASA Astrophysics Data System (ADS)

    Walsh, D.; Yuan, R. W.

    2016-10-01

    A light bridge is usually formed as a lower atmospheric structure in nascent or decaying sunspots; it divides the umbra into separate regions. Convection, which is normally suppressed by a sunspot's strong magnetic field, is partially restored and upflows are usually observed at the spine of a bridge with downflows (or return flows) at the two flanks. This study outlines observations a light bridge unusually sustained at coronal temperatures. Viewed in AR11520 on 12th July 2012 by the High Resolution Coronal Imager (HiC) and AIA/SDO, the EUV emission intensity exhibits two persistent oscillations. The approximate 5-minute oscillations are distributed along the spine of the light bridge whereas sub-minute oscillations are distinctively co-spatial along each bridge flank (though there is a distinct time-lag between them). This indicates strongly that (i) the oscillatory driver at the flanks is connected with the collective interactions between magnetic fields of the bridge and the sunspot itself and (ii) the internal magnetic structure of the bridge is twisted. The presentation will outline the distinct oscillatory maps generated and provide insight into determining the magnetic morphology until the bridge wans several hours later.

  6. Laboratory Studies in UV and EUV Solar Physics

    NASA Technical Reports Server (NTRS)

    Parkinson, William

    2003-01-01

    The Ion Beam Experiment at the Center for Astrophysics is dedicated to the study of ion-electron collision processes of importance in solar physics. A paper describing our most recent measurement 'Absolute cross section for Si(2+)(3s3p(sup 3)Rho (sup 0) yields 3s3p(sup 1)Rho(sup 0)) electron-impact excitation' was published during the past year. Dr. Paul Janzen received his PhD. from the Harvard Physics Department on the basis of this and other work, such as the new electron cyclotron resonance (ECR) ion source. The ion source is producing stable beams with large currents for our present work on C(2+), and it also produces stable beams with large currents of more highly charged systems, for future work on systems such as O(4+). The past year has been focussed on our current program to measure absolute cross sections for Electron Impact Excitation (EIE) in C(2+), one of the primary ions used for probing the solar transition region. C(2+) beams produced by the ion source have been transported to the interaction region of the experiment, where the collisions are studied, and Visiting Scientist Dr. Adrian Daw is currently collecting data to measure the C(2+)(2s2p(sup 3)Rho(sup 0) yields 2p(sup 2)(sup 3)Rho) EIE cross section as a function of collision energy, under the guidance of Drs. John Kohl, Larry Gardner and Bill Parkinson. Also this year, modifications were made to the ECR ion source in order to produce greater currents of highly charged ions. Testing of the ion source was completed. Modifications were designed to extend the photon detection capabilities of the apparatus to shorter UV wavelengths, or EUV. Following the work on C(2+)(2s2p(sup 3)Pho(sup 0) yields 2p(sup 2)(sup 3)Rho), the extended UV detection capabilities will be used to measure the C(2+)(2s(sup 2)(sup 1)S yields 2s2p(sup 1)Rho(sup 0)) EIE cross section. The EUV modifications complement those of the new ion source, by enabling detection of EUV light generated by high charge state ions and putting

  7. [HIV/STD prevalence and related behaviors among male STD clinic attendees in Xi'an and Xianyang cities, Shaanxi province].

    PubMed

    Hu, T; Dong, L F; Ding, Z W; Jia, H; Li, X; Zhang, J S; Song, Y L; Chang, W H

    2017-12-10

    Objective: To compare the prevalence of HIV/STD and related health care seeking behaviors among male STD clinic attendees between Xi'an and Xianyang cities. Methods: During June and July 2016, 206 male STD clinic attendees were studied in Xi'an city, with another 221 male STD clinic attendees in Xianyang city. Cross-sectional questionnaire survey was used to collect attendees'behavioral information. Blood samples were collected via HIV/HCV/Syphilis testing. Results: The prevalence rate of HIV infection was 2.4% (5/206) in Xi'an and 0.9% (2/221) in Xianyang, with no statistical significant difference between the two cities. The prevalence rate of syphilis was 4.9% (10/206) in Xi'an, which was significantly lower than 13.6% (30/221) in Xianyang. The proportion of respondents, diagnosed with other sexually transmitted diseases, in Xi'an was higher than that of Xianyang. The proportions of commercial heterosexual sex and sex with temporary sexual partners in the past 3 months were 18.0% (37/206) and 15.5% (32/206) in Xi'an, lower than 46.6% (103/221) and 15.8% (35/221) in Xianyang ( χ (2)=39.70, Р <0.01; χ (2)=0.01, P =0.93) . The proportions of condom use with commercial sex workers or temporary sexual partners in the past 3 months among Xi'an were 37.8% (14/37) and 6.3% (2/32) , lower than 93.1% (95/102) and 57.1% (20/35) in Xianyang ( χ (2)=49.06, Р <0.01; χ (2)=19.63, Р <0.01) . Conclusion: Differences were noticed between Xi'an and Xianyang city in terms of STD and HIV prevalences, behaviors related to commercial sex and use of condoms among the male STD clinic attendees that calling for targeted actions in control of high risk behavlors in both HIV/AIDS and STDs transmission.

  8. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    PubMed Central

    Makhotkin, Igor A.; Sobierajski, Ryszard; Chalupský, Jaromir; Tiedtke, Kai; de Vries, Gosse; Störmer, Michael; Scholze, Frank; Siewert, Frank; van de Kruijs, Robbert W. E.; Milov, Igor; Louis, Eric; Jacyna, Iwanna; Jurek, Marek; Klinger, Dorota; Syryanyy, Yevgen; Juha, Libor; Hájková, Věra; Saksl, Karel; Faatz, Bart; Keitel, Barbara; Plönjes, Elke; Toleikis, Sven; Loch, Rolf; Hermann, Martin; Strobel, Sebastian; Nienhuys, Han-Kwang; Gwalt, Grzegorz; Mey, Tobias; Enkisch, Hartmut

    2018-01-01

    The durability of grazing- and normal-incidence optical coatings has been experimentally assessed under free-electron laser irradiation at various numbers of pulses up to 16 million shots and various fluence levels below 10% of the single-shot damage threshold. The experiment was performed at FLASH, the Free-electron LASer in Hamburg, using 13.5 nm extreme UV (EUV) radiation with 100 fs pulse duration. Polycrystalline ruthenium and amorphous carbon 50 nm thin films on silicon substrates were tested at total external reflection angles of 20° and 10° grazing incidence, respectively. Mo/Si periodical multilayer structures were tested in the Bragg reflection condition at 16° off-normal angle of incidence. The exposed areas were analysed post-mortem using differential contrast visible light microscopy, EUV reflectivity mapping and scanning X-ray photoelectron spectroscopy. The analysis revealed that Ru and Mo/Si coatings exposed to the highest dose and fluence level show a few per cent drop in their EUV reflectivity, which is explained by EUV-induced oxidation of the surface. PMID:29271755

  9. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold.

    PubMed

    Makhotkin, Igor A; Sobierajski, Ryszard; Chalupský, Jaromir; Tiedtke, Kai; de Vries, Gosse; Störmer, Michael; Scholze, Frank; Siewert, Frank; van de Kruijs, Robbert W E; Milov, Igor; Louis, Eric; Jacyna, Iwanna; Jurek, Marek; Klinger, Dorota; Nittler, Laurent; Syryanyy, Yevgen; Juha, Libor; Hájková, Věra; Vozda, Vojtěch; Burian, Tomáš; Saksl, Karel; Faatz, Bart; Keitel, Barbara; Plönjes, Elke; Schreiber, Siegfried; Toleikis, Sven; Loch, Rolf; Hermann, Martin; Strobel, Sebastian; Nienhuys, Han Kwang; Gwalt, Grzegorz; Mey, Tobias; Enkisch, Hartmut

    2018-01-01

    The durability of grazing- and normal-incidence optical coatings has been experimentally assessed under free-electron laser irradiation at various numbers of pulses up to 16 million shots and various fluence levels below 10% of the single-shot damage threshold. The experiment was performed at FLASH, the Free-electron LASer in Hamburg, using 13.5 nm extreme UV (EUV) radiation with 100 fs pulse duration. Polycrystalline ruthenium and amorphous carbon 50 nm thin films on silicon substrates were tested at total external reflection angles of 20° and 10° grazing incidence, respectively. Mo/Si periodical multilayer structures were tested in the Bragg reflection condition at 16° off-normal angle of incidence. The exposed areas were analysed post-mortem using differential contrast visible light microscopy, EUV reflectivity mapping and scanning X-ray photoelectron spectroscopy. The analysis revealed that Ru and Mo/Si coatings exposed to the highest dose and fluence level show a few per cent drop in their EUV reflectivity, which is explained by EUV-induced oxidation of the surface.

  10. Performance of repaired defects and attPSM in EUV multilayer masks

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; La Fontaine, Bruno; Neureuther, Andrew R.

    2002-12-01

    The imaging performance of non-planar topographies in EUV masks for both partially repaired defects and non-planar attenuating phase-shifting masks made with repair treatments are evaluated using rigorous electromagnetic simulation with TEMPEST. Typical topographies produced by treatment techniques in the literature such as removal of top layers and compaction produced by electron-beam heating are considered. Isolated defects on/near the surface repaired by material removal are shown to result in an image intensity within 5% of the clear field value. Deeply buried defects within the multilayer treated by electron-beam heating can be repaired to 3% of the clear field but over repair can result in some degradation. Compaction from a 6.938 nm period to a 6.312 nm period shows a 540° phase-shift and an intensity reduced to about 6% suggesting such a treatment may be used to create attenuated phase-shifting masks for EUV. The quality of the aerial image for such a mask is studied as a function of the lateral transition distance between treated and untreated regions.

  11. Synchrotron-based EUV lithography illuminator simulator

    DOEpatents

    Naulleau, Patrick P.

    2004-07-27

    A lithographic illuminator to illuminate a reticle to be imaged with a range of angles is provided. The illumination can be employed to generate a pattern in the pupil of the imaging system, where spatial coordinates in the pupil plane correspond to illumination angles in the reticle plane. In particular, a coherent synchrotron beamline is used along with a potentially decoherentizing holographic optical element (HOE), as an experimental EUV illuminator simulation station. The pupil fill is completely defined by a single HOE, thus the system can be easily modified to model a variety of illuminator fill patterns. The HOE can be designed to generate any desired angular spectrum and such a device can serve as the basis for an illuminator simulator.

  12. Optimized capping layers for EUV multilayers

    DOEpatents

    Bajt, Sasa [Livermore, CA; Folta, James A [Livermore, CA; Spiller, Eberhard A [Livermore, CA

    2004-08-24

    A new capping multilayer structure for EUV-reflective Mo/Si multilayers consists of two layers: A top layer that protects the multilayer structure from the environment and a bottom layer that acts as a diffusion barrier between the top layer and the structure beneath. One embodiment combines a first layer of Ru with a second layer of B.sub.4 C. Another embodiment combines a first layer of Ru with a second layer of Mo. These embodiments have the additional advantage that the reflectivity is also enhanced. Ru has the best oxidation resistance of all materials investigated so far. B.sub.4 C is an excellent barrier against silicide formation while the silicide layer formed at the Si boundary is well controlled.

  13. Resonance Scattering of Fe XVII X-ray and EUV Lines

    NASA Technical Reports Server (NTRS)

    Bhatia, A. K.; Saba, J. L. R.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    Over the years a number of calculations have been carried out to derive intensities of various X-ray and EUV lines in Fe XVII to compare with observed spectra. The predicted intensities have not agreed with solar observations, particularly for the line at 1.5.02 Angstroms; resonance scattering has been suggested as the source for much of the disagreement. The atomic data calculated earlier used seven configurations having n=3 orbitals and the scattering calculations were carried out only for incident energies above the threshold of the highest fine-structure level. These calculations have now been extended to thirteen configurations having n=4 orbitals and the scattering calculations are carried out below as well as above the threshold of the highest fine structure level. These improved calculations of Fe XVII change the intensity ratios compared to those obtained earlier, bringing the optically thin F(15.02)/F(16.78) ratio and several other ratios closer to the observed values. However, some disagreement with the solar observations still persists, even thought the agreement of the presently calculated optically thin F(15.02)/F(15.26) ratio with the experimental results of Brown et al. (1998) and Laming et al. (2000) has improved. Some of the remaining discrepancy is still thought to be the effect of opacity, which is consistent with expected physical conditions for solar sources. EUV intensity ratios are also calculated and compared with observations. Level populations and intensity ratios are calculated, as a function of column density of Fe XVII, in the slab and cylindrical geometries. As found previously, the predicted intensities for the resonance lines at 15.02 and 15.26 Angstroms exhibit initial increases in flux relative to the forbidden line at 17.10 Angstroms and the resonance line at 16.78 Angstroms as optical thickness increases. The same behavior is predicted for the lines at 12.262 and 12.122 Angstroms. Predicted intensities for some of the allowed

  14. Automated detection of open magnetic field regions in EUV images

    NASA Astrophysics Data System (ADS)

    Krista, Larisza Diana; Reinard, Alysha

    2016-05-01

    Open magnetic regions on the Sun are either long-lived (coronal holes) or transient (dimmings) in nature, but both appear as dark regions in EUV images. For this reason their detection can be done in a similar way. As coronal holes are often large and long-lived in comparison to dimmings, their detection is more straightforward. The Coronal Hole Automated Recognition and Monitoring (CHARM) algorithm detects coronal holes using EUV images and a magnetogram. The EUV images are used to identify dark regions, and the magnetogam allows us to determine if the dark region is unipolar - a characteristic of coronal holes. There is no temporal sensitivity in this process, since coronal hole lifetimes span days to months. Dimming regions, however, emerge and disappear within hours. Hence, the time and location of a dimming emergence need to be known to successfully identify them and distinguish them from regular coronal holes. Currently, the Coronal Dimming Tracker (CoDiT) algorithm is semi-automated - it requires the dimming emergence time and location as an input. With those inputs we can identify the dimming and track it through its lifetime. CoDIT has also been developed to allow the tracking of dimmings that split or merge - a typical feature of dimmings.The advantage of these particular algorithms is their ability to adapt to detecting different types of open field regions. For coronal hole detection, each full-disk solar image is processed individually to determine a threshold for the image, hence, we are not limited to a single pre-determined threshold. For dimming regions we also allow individual thresholds for each dimming, as they can differ substantially. This flexibility is necessary for a subjective analysis of the studied regions. These algorithms were developed with the goal to allow us better understand the processes that give rise to eruptive and non-eruptive open field regions. We aim to study how these regions evolve over time and what environmental

  15. Prospect of space-based interferometry at EUV and soft X-ray wavelengths

    NASA Technical Reports Server (NTRS)

    Welsh, Barry Y.; Chakrabarti, Supriya

    1992-01-01

    We review the current capabilities of high-resolution, spectroscopic, space-borne instrumentation available for both solar and stellar observations in the EUV and soft X-ray wavelength regimes, and describe the basic design of a compact, all-reflection interferometer based on the spatial heterodyne technique; this is capable of producing a resolving power (lambda/Delta-lambda) of about 20,000 in the 100-200 A region using presently available multilayer optical components. Such an instrument can be readily constructed with existing technology. Due to its small size and lack of moving parts, it is ideally suited to spaceborne applications. Based on best estimates of the efficiency of this instrument at soft X-ray wavelengths, we review the possible use of this high-resolution interferometer in obtaining high-resolution full-disk spectroscopy of the sun. We also discuss its possible use for observations of diffuse sources such as the EUV interstellar background radiation.

  16. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    NASA Astrophysics Data System (ADS)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  17. Operative technique and early experience for robotic-assisted laparoscopic nephroureterectomy (RALNU) using da Vinci Xi.

    PubMed

    Darwiche, Fadi; Swain, Sanjaya; Kallingal, George; Punnen, Sanoj; Manoharan, Murugesan; Parekh, Dipen J; Gonzalgo, Mark L

    2015-01-01

    Robotic-assisted laparoscopic nephroureterectomy (RALNU) has been previously utilized for management of upper tract urothelial carcinoma. The da Vinci Xi surgical system was released in April of 2014. We describe our operative technique and early experience for RALNU using the da Vinci Xi system highlighting unique features of this surgical platform. A total of 10 patients with a diagnosis of upper tract urothelial carcinoma underwent RALNU using the da Vinci Xi system between April and November of 2014. A novel, oblique "in line" robotic trocar configuration was utilized to access the upper abdomen (nephrectomy portion) and pelvis (bladder cuff excision) without undocking. The port hopping feature of da Vinci Xi was utilized to facilitate optimal, multi-quadrant visualization during RALNU. Robotic-assisted laparoscopic nephroureterectomy was successfully completed without open conversion in all 10 patients. Mean operative time was 184 min (range 140-300 min), mean estimated blood loss was 121 cc (range 60-300 cc), and mean hospital stay was 2.4 days. Final pathology demonstrated high grade urothelial carcinoma in all patients. Surgical margins were negative in all patients. No intra-operative complications were encountered. One patient developed a pulmonary embolus after being discharged. No patients required a blood transfusion. Mean patient follow-up was 130 days (range 15-210 days). The use of da Vinci Xi with a novel, oblique "in line" port configuration and camera port hopping technique allows for an efficient and reproducible method for RALNU without the need for repositioning the patient or the robot during surgery.

  18. 25 CFR 36.31 - Standard XI-Student promotion requirements.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 25 Indians 1 2012-04-01 2011-04-01 true Standard XI-Student promotion requirements. 36.31 Section 36.31 Indians BUREAU OF INDIAN AFFAIRS, DEPARTMENT OF THE INTERIOR EDUCATION MINIMUM ACADEMIC STANDARDS FOR THE BASIC EDUCATION OF INDIAN CHILDREN AND NATIONAL CRITERIA FOR DORMITORY SITUATIONS Student...

  19. 25 CFR 36.31 - Standard XI-Student promotion requirements.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 25 Indians 1 2011-04-01 2011-04-01 false Standard XI-Student promotion requirements. 36.31 Section 36.31 Indians BUREAU OF INDIAN AFFAIRS, DEPARTMENT OF THE INTERIOR EDUCATION MINIMUM ACADEMIC STANDARDS FOR THE BASIC EDUCATION OF INDIAN CHILDREN AND NATIONAL CRITERIA FOR DORMITORY SITUATIONS Student...

  20. Cloning and characterization of XiR1, a locus responsible for dagger nematode resistance in grape.

    PubMed

    Hwang, Chin-Feng; Xu, Kenong; Hu, Rong; Zhou, Rita; Riaz, Summaira; Walker, M Andrew

    2010-08-01

    The dagger nematode, Xiphinema index, feeds aggressively on grape roots and in the process, vectors grapevine fanleaf virus (GFLV) leading to the severe viral disease known as fanleaf degeneration. Resistance to X. index and GFLV has been the key objective of grape rootstock breeding programs. A previous study found that resistance to X. index derived from Vitis arizonica was largely controlled by a major quantitative trait locus, XiR1 (X. index Resistance 1), located on chromosome 19. The study presented here develops high-resolution genetic and physical maps in an effort to identify the XiR1 gene(s). The mapping was carried out with 1,375 genotypes in three populations derived from D8909-15, a resistant selection from a cross of V. rupestris A. de Serres (susceptible) x V. arizonica b42-26 (resistant). Resistance to X. index was evaluated on 99 informative recombinants that were identified by screening the three populations with two markers flanking the XiR1 locus. The high-resolution genetic map of XiR1 was primarily constructed with seven DNA markers developed in this study. Physical mapping of XiR1 was accomplished by screening three bacterial artificial chromosome (BAC) libraries constructed from D8909-15, V. vinifera Cabernet Sauvignon and V. arizonica b42-26. A total of 32 BAC clones were identified and the XiR1 locus was delineated within a 115 kb region. Sequence analysis of three BAC clones identified putative nucleotide binding/leucine-rich repeat (NB-LRR) genes. This is the first report of a closely linked major gene locus responsible for ectoparasitic nematode resistance. The markers developed from this study are being used to expedite the breeding of resistant grape rootstocks.

  1. Cloning and characterization of XiR1, a locus responsible for dagger nematode resistance in grape

    PubMed Central

    Hwang, Chin-Feng; Xu, Kenong; Hu, Rong; Zhou, Rita; Riaz, Summaira

    2010-01-01

    The dagger nematode, Xiphinemaindex, feeds aggressively on grape roots and in the process, vectors grapevine fanleaf virus (GFLV) leading to the severe viral disease known as fanleaf degeneration. Resistance to X. index and GFLV has been the key objective of grape rootstock breeding programs. A previous study found that resistance to X. index derived from Vitis arizonica was largely controlled by a major quantitative trait locus, XiR1 (X. index Resistance 1), located on chromosome 19. The study presented here develops high-resolution genetic and physical maps in an effort to identify the XiR1 gene(s). The mapping was carried out with 1,375 genotypes in three populations derived from D8909-15, a resistant selection from a cross of V. rupestris A. de Serres (susceptible) × V. arizonica b42-26 (resistant). Resistance to X. index was evaluated on 99 informative recombinants that were identified by screening the three populations with two markers flanking the XiR1 locus. The high-resolution genetic map of XiR1 was primarily constructed with seven DNA markers developed in this study. Physical mapping of XiR1 was accomplished by screening three bacterial artificial chromosome (BAC) libraries constructed from D8909-15, V. vinifera Cabernet Sauvignon and V. arizonica b42-26. A total of 32 BAC clones were identified and the XiR1 locus was delineated within a 115 kb region. Sequence analysis of three BAC clones identified putative nucleotide binding/leucine-rich repeat (NB-LRR) genes. This is the first report of a closely linked major gene locus responsible for ectoparasitic nematode resistance. The markers developed from this study are being used to expedite the breeding of resistant grape rootstocks. PMID:20490447

  2. Unraveling the role of secondary electrons upon their interaction with photoresist during EUV exposure

    NASA Astrophysics Data System (ADS)

    Pollentier, Ivan; Vesters, Yannick; Jiang, Jing; Vanelderen, Pieter; de Simone, Danilo

    2017-10-01

    The interaction of 91.6eV EUV photons with photoresist is very different to that of optical lithography at DUV wavelength. The latter is understood quite well and it is known that photons interact with the resist in a molecular way through the photoacid generator (PAG) of the chemically amplified resist (CAR). In EUV however, the high energy photons interact with the matter on atomic scale, resulting in the generation of secondary electrons. It is believed that these secondary electrons in their turn are responsible in chemical modification and lead to switching reactions that enable resist local dissolution. However, details of the interaction are still unclear, e.g. which reaction an electron with a given energy can initiate. In this work we have introduced a method to measure the chemical interaction of the secondary electrons with the EUV resist. The method is based on electron gun exposures of low energy electrons (range 1eV to 80eV) in the photoresist. The chemical interaction is then measured by Residual Gas Analysis (RGA), which can analyze out of the outgassing which and how much reaction products are generated. In this way a `chemical yield' can be quantified as function of electron energy. This method has been successfully applied to understand the interaction of secondary electrons on the traditional CAR materials. The understanding was facilitated by testing different compositions of an advanced EUV CAR, where resp. polymer only, polymer+PAG, and polymer+PAG+quencher are tested with the electron gun. It was found that low energy electrons down to 3-4eV can activate PAG dissociation, which can lead to polymer deprotection. However it was observed too that energy electrons of 12eV and higher can do direct deprotection even in absence of the PAG. In addition, testing suggests that electrons can generate also other chemical changes on the polymer chain that could lead to cross-linking.

  3. Ground Subsidence Monitoring with MT-InSAR and Mechanism Inversion Over Xi'an, China

    NASA Astrophysics Data System (ADS)

    Peng, M. M.; Zhao, C. Y.; Zhang, Q.; Zhang, J.; Liu, Y. Y.

    2018-04-01

    The ancient Xi'an, China, has been suffering severe land subsidence and ground fissure hazards since the 1960s, which has affected the safety of Subways. Multi-sensor SAR data are conducted to monitor the latest complex ground deformation and its influence on subway line No.3 over Xi'an. Annual deformation rates have been retrieved to reveal the spatiotemporal evolution of ground subsidence in Xi'an city from 2013 to 2017. Meanwhile, the correlation between land subsidence and ground fissures are analyzed by retrieving the deformation differences in both sides of the fissures. Besides, the deformation along subway line No. 3 is analyzed, and the fast deformation section is quantitatively studied. Finally, a flat lying sill model with distributed contractions is implemented to model the InSAR deformation over YHZ subsidence center, which manifests that the ground deformation is mainly caused by groundwater withdrawal.

  4. EUV-induced oxidation of carbon on TiO2.

    PubMed

    Faradzhev, Nadir S; Hill, Shannon B

    2016-10-01

    Previously we reported estimates of the maximum etch rates of C on TiO 2 by oxidizers including NO, O 3 and H 2 O 2 when irradiated by a spatially-non-uniform beam of extreme ultraviolet (EUV) radiation at 13.5 nm (Faradzhev et al., 2013). Here we extend that work by presenting temporally and spatially resolved measurements of the C etching by these oxidizers as a function of EUV intensity in the range (0.3 to 3) mW/mm 2 [(0.2 to 2) × 10 16 photons s -1 cm -2 ]. We find that the rates for NO scale linearly with intensity and are smaller than those for O 3 , which exhibit a weak, sub-linear intensity dependence in this range. We demonstrate that these behaviors are consistent with adsorption of the oxidizing precursor on the C surface followed by a photon-stimulated reaction resulting in volatile C-containing products. The kinetics of photon-induced C etching by hydrogen peroxide, however, appear to be more complex. The spatially resolved measurements reveal that C removal by H 2 O 2 begins at the edges of the C spot, where the light intensity is the lowest, and proceeds toward the center of the spot. This localization of the reaction may occur because hydroxyl radicals are produced efficiently on the catalytically active TiO 2 surface.

  5. [Heavy metals pollution and analysis of seasonal variation runoff in Xi'an].

    PubMed

    Yuan, Hong-Lin; Li, Xing-Yu; Wang, Xiao-Chang

    2014-11-01

    In order to explore heavy metals pollution situation,changes in characteristics, the correlation between each heavy mental and pollution source analysis of Xi'an various regions in different season in one year. This study collected several samples of Xi'an rainfall typical urban trunk roads throughout the year in 2013 and used inductively coupled plasma mass spectrometry (ICP-MS) to determine the level of Fe, Mn, Pb, Zn, Al, Cd of the samples, then, analyzed the seasonal change of heavy mental. Studies have shown that: the heavy metal of Xi'an road runoff pollutes seriously, the concentration of Fe over three times of the national standard and maintain the higher levels throughout the year, meanwhile the concentration with the intensity of human activities increases. The concentration of Mn and Zn in one year show a trends: winter > autumn > summer> spring. Pb concentration increases with the increase in traffic volume, while showing: winter > spring > summer > autumn. Factor analysis shows: Fe and Al was affected by the same sources-natural sources; Zn, Cd affected by anthropogenic sources of large; Mn, Pb affected by the larger traffic sources.

  6. Inhomogeneity of PAGs in resist film studied by molecular-dynamics simulations for EUV lithography

    NASA Astrophysics Data System (ADS)

    Toriumi, Minoru; Itani, Toshiro

    2014-03-01

    EUV resist materials are requested simultaneously to improve the resolution, line-edge roughness (LER), and sensitivity (RLS). In a resist film inhomogeneous structures in nanometer region may have large effects on directly the resolution and LER and indirectly on sensitivity. Inhomogeneity of PAGs in a hybrid resist for EUV lithography was investigated using molecular dynamics simulations. The hybrid resist film showed the inhomogeneous positions and motions of PAG cations and anions. Free volumes in resist matrix influence the motions of PAGs. Molecular structure such as bulky phenyl groups of a PAG cation localize the positions and reduce the motion of a cation. Chemical properties such as ionic interactions and lone-pair interaction also play an important role to determine the inhomogeneity of PAGs. Fluorine interaction enables active motions of PAG anions.

  7. Inter-Comparison between July 24, 2014 EUV Data from NASA Sounding Rocket 36.289 and Concurrent Measurements from Orbital Solar Observatories

    NASA Astrophysics Data System (ADS)

    Didkovsky, L. V.; Wieman, S. R.; Judge, D. L.

    2014-12-01

    Sounding rocket mission NASA 36.289 Didkovsky provided solar EUV irradiance measurements from four instruments built at the USC Space Sciences Center: the Rare Gas Ionization Cell (RGIC), the Solar Extreme ultraviolet Monitor (SEM), the Dual Grating Spectrometer (DGS), and the Optics-Free Spectrometer (OFS), thus meeting the mission comprehensive success criteria. These sounding rocket data allow us to inter-compare the observed absolute EUV irradiance with the data taken at the same time from the SOHO and SDO solar observatories. The sounding rocket data from the two degradation-free instruments (DGS and OFS) can be used to verify the degradation rates of SOHO and SDO EUV channels and serve as a flight-proven prototypes for future improvements of degradation-free instrumentation for solar physics.

  8. 25 CFR 36.31 - Standard XI-Student promotion requirements.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 25 Indians 1 2014-04-01 2014-04-01 false Standard XI-Student promotion requirements. 36.31 Section 36.31 Indians BUREAU OF INDIAN AFFAIRS, DEPARTMENT OF THE INTERIOR EDUCATION MINIMUM ACADEMIC... programs, in a minimum of 160 instructional days per academic term or 80 instructional days per semester...

  9. 25 CFR 36.31 - Standard XI-Student promotion requirements.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 25 Indians 1 2013-04-01 2013-04-01 false Standard XI-Student promotion requirements. 36.31 Section 36.31 Indians BUREAU OF INDIAN AFFAIRS, DEPARTMENT OF THE INTERIOR EDUCATION MINIMUM ACADEMIC... programs, in a minimum of 160 instructional days per academic term or 80 instructional days per semester...

  10. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  11. Research on Xi Jinping's Thought of Ecological Civilization and Environment Sustainable Development

    NASA Astrophysics Data System (ADS)

    Xiang-chao, Pan

    2018-05-01

    Since the reform and opening up, China’s sustained and rapid economic development, but the environment problem increasingly is prominent in our country. It has seriously affected the sustainability of economic development in China. Environment overall situation is not optimistic, and environmental management is imperative. Since the 18th national congress of the Communist Party of China (CPC), Xi Jin-ping has put forward the thought of building a beautiful China with ecological civilization and realizing the sustainable development of economic construction and environmental protection. Sticking to Xi's Thought of Ecological Civilization is a fundamental guarantee for the sustainable development of environment and building a new era of ecological civilization.

  12. Transoral robotic surgery for the base of tongue squamous cell carcinoma: a preliminary comparison between da Vinci Xi and Si.

    PubMed

    Alessandrini, Marco; Pavone, Isabella; Micarelli, Alessandro; Caporale, Claudio

    2017-09-13

    Considering the emerging advantages related to da Vinci Xi robotic platform, the aim of this study is to compare for the first time the operative outcomes of this tool to the previous da Vinci Si during transoral robotic surgery (TORS), both performed for squamous cell carcinomas (SCC) of the base of tongue (BOT). Intra- and peri-operative outcomes of eight patients with early stage (T1-T2) of the BOT carcinoma and undergoing TORS by means of the da Vinci Xi robotic platform (Xi-TORS) are compared with the da Vinci Si group ones (Si-TORS). With respect to Si-TORS group, Xi-TORS group demonstrated a significantly shorter overall operative time, console time, and intraoperative blood loss, as well as peri-operative pain intensity and length of mean hospital stays and nasogastric tube positioning. Considering recent advantages offered by surgical robotic techniques, the da Vinci Xi Surgical System preliminary outcomes could suggest its possible future routine implementation in BOT squamous cell carcinoma procedures.

  13. Plasmaspheric Erosion via Plasmasphere Coupling to Ring Current Plasmas: EUV Observations and Modeling

    NASA Technical Reports Server (NTRS)

    Adrian, M. L.; Gallagher, D. L.; Khazanov, G. V.; Chsang, S. W.; Liemohn, M. W.; Perez, J. D.; Green, J. L.; Sandel, B. R.; Mitchell, D. G.; Mende, S. B.; hide

    2002-01-01

    During a geomagnetic storm on 24 May 2000, the IMAGE Extreme Ultraviolet (EUV) camera observed a plasmaspheric density trough in the evening sector at L-values inside the plasmapause. Forward modeling of this feature has indicated that plasmaspheric densities beyond the outer wall of the trough are well below model expectations. This diminished plasma condition suggests the presence of an erosion process due to the interaction of the plasmasphere with ring current plasmas. We present an overview of EUV, energetic neutral atom (ENA), and Far Ultraviolet (FUV) camera observations associated with the plasmaspheric density trough of 24 May 2000, as well as forward modeling evidence of the lie existence of a plasmaspheric erosion process during this period. FUV proton aurora image analysis, convolution of ENA observations, and ring current modeling are then presented in an effort to associate the observed erosion with coupling between the plasmasphere and ring-current plasmas.

  14. Characterization of parent and oxygenated-polycyclic aromatic hydrocarbons (PAHs) in Xi'an, China during heating period: An investigation of spatial distribution and transformation.

    PubMed

    Wang, Jingzhi; Hang Ho, Steven Sai; Huang, Rujin; Gao, Meiling; Liu, Suixin; Zhao, Shuyu; Cao, Junji; Wang, Gehui; Shen, Zhenxing; Han, Yongming

    2016-09-01

    Polycyclic aromatic hydrocarbons (PAHs) and its oxygenated derivatives (OPAHs) are toxins in PM2.5. Little information has been known for their transformation in the ambient airs. In this study, PM2.5 samples were collected at 19 sampling sites in Xi'an, China during the heating period, which is classified into: urban residential, university, commercial area, suburban region, and industry. Organic compounds including PAHs, OPAHs, hopanes and cholestanes were quantified. The average of total quantified PAHs and OPAHs concentrations were 196.5 ng/m(3) and 29.4 ng/m(3), respectively, which were consistent with other northern cities in China. Statistical analyses showed that there were significant differences on the distributions of PAHs between urban and suburban regions. The industry also had distinguishable profiles compared with urban residential and commercial area for OPAHs. The greater diversity of OPAHs than PAHs might be due to different primary emission sources and transformation and degradation pathways. The ratios of OPAHs to the corresponding parent PAHs, including 9-fluorenone/fluorene, anthraquinone/anthracene, benz[a]anthracene-7,12-dione/benzo[a]anthracene were 6.2, 12.7, and 1.4, respectively, which were much higher than those for the fresh emissions from coal combustion and biomass burning. These prove the importance of secondary formation and transformation of OPAHs in the ambient airs. Biomarkers such as retene, cyclopenta[CD]pyrene and αα-homohopane were characterized for the source apportionment. With Positive Matrix Factorization (PMF) model analysis, biomass burning was recognized as the most dominant pollution sources for PAHs during the heading period, which accounted for a contribution of 37.1%. Vehicle emission (22.8%) and coal combustion (22.6%) were also contributors in Xi'an. Copyright © 2016 Elsevier Ltd. All rights reserved.

  15. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    NASA Technical Reports Server (NTRS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  16. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    NASA Astrophysics Data System (ADS)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  17. 40 CFR Appendix Xi to Part 86 - Sampling Plans for Selective Enforcement Auditing of Light-Duty Vehicles

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 19 2010-07-01 2010-07-01 false Sampling Plans for Selective Enforcement Auditing of Light-Duty Vehicles XI Appendix XI to Part 86 Protection of Environment ENVIRONMENTAL... Enforcement Auditing of Light-Duty Vehicles 40% AQL Table 1—Sampling Plan Code Letter Annual sales of...

  18. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    NASA Astrophysics Data System (ADS)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  19. Surface characterization of tin-based inorganic EUV resists

    NASA Astrophysics Data System (ADS)

    Frederick, Ryan T.; Diulus, J. Trey; Lyubinetsky, Igor; Hutchison, Danielle C.; Olsen, Morgan R.; Nyman, May; Herman, Gregory S.

    2018-03-01

    Metal oxide nanomaterials have shown promise for use as EUV resists. Recently, significant efforts have focused on tinoxo clusters that have high absorption coefficient Sn centers and radiation sensitive organic ligands. In our studies, we have investigated a β-Keggin butyl-Sn cluster (β-NaSn13), which is charge-neutral and allows studying radiation induced chemistries without interference from counterions. We have used ambient pressure X-ray photoelectron spectroscopy (APXPS) to investigate the contrast properties of the β-NaSn13 in ultrahigh vacuum (UHV) and in the presence of ambient oxygen. These contrast studies indicate that ambient oxygen reduces the dose requirements for the solubility transition of the β-NaSn13 photoresists. APXPS spectra collected before and after the solubility transition shows that ambient oxygen causes a greater loss of butyl ligands from the samples and the formation of more tin oxide for larger doses, suggesting the presence of reactive oxygen species. APXPS was also used to study processes during the post exposure bake, where we compared the differences in film chemistries in ambient oxygen or in UHV. There were only very small differences in the APXPS spectra before exposure and after exposure and the post exposure bake. However, ambient oxygen resulted in some changes for unexposed regions during the post exposure bake; there was a greater ratio of tin oxide to other oxygen species (alkoxy ligands, hydroxyls) for samples annealed in oxygen. These results have significance for EUV and e-beam lithography processing parameters, as well as implications for cluster design and ligand chemistries.

  20. 25 CFR 36.31 - Standard XI-Student promotion requirements.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 25 Indians 1 2010-04-01 2010-04-01 false Standard XI-Student promotion requirements. 36.31 Section... Instructional Evaluation § 36.31 Standard XI—Student promotion requirements. Each school shall establish and implement a promotion policy which shall be submitted to and approved by the local school board and Agency...

  1. Optical coating technology for the EUV

    NASA Astrophysics Data System (ADS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    Adavaces in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  2. Optical coating technology for the EUV

    NASA Technical Reports Server (NTRS)

    Osantowski, J. F.; Keski-Kuha, R. A. M.; Herzig, H.; Toft, A. R.; Gum, J. S.; Fleetwood, C. M.

    1991-01-01

    Advances in optical coating and materials technology are one of the key motivators for the development of missions such as the Far Ultraviolet Spectroscopic Explorer recently selected by NASA for an Explorer class mission in the mid 1990's. The performance of a range of candidate coatings are reviewed for normal-incidence and glancing-incidence applications, and attention is given to strengths and problem areas for their use in space. The importance of recent developments in multilayer films, chemical-vapor deposited SiC (CVD-SiC) mirrors, and SiC films are discussed in the context of EUV instrumentation design. For example, the choice of optical coatings is a design driver for the selection of the average glancing angle for the FUSE telescope, and impacts efficiency, short-wavelength cut-off, and physical size.

  3. Does transition from the da Vinci Si to Xi robotic platform impact single-docking technique for robot-assisted laparoscopic nephroureterectomy?

    PubMed

    Patel, Manish N; Aboumohamed, Ahmed; Hemal, Ashok

    2015-12-01

    To describe our robot-assisted nephroureterectomy (RNU) technique for benign indications and RNU with en bloc excision of bladder cuff (BCE) and lymphadenectomy (LND) for malignant indications using the da Vinci Si and da Vinci Xi robotic platform, with its pros and cons. The port placement described for Si can be used for standard and S robotic systems. This is the first report in the literature on the use of the da Vinci Xi robotic platform for RNU. After a substantial experience of RNU using different da Vinci robots from the standard to the Si platform in a single-docking fashion for benign and malignant conditions, we started using the newly released da Vinci Xi robot since 2014. The most important differences are in port placement and effective use of the features of da Vinci Xi robot while performing simultaneous upper and lower tract surgery. Patient positioning, port placement, step-by-step technique of single docking RNU-LND-BCE using the da Vinci Si and da Vinci Xi robot are shown in an accompanying video with the goal that centres using either robotic system benefit from the hints and tips. The first segment of video describes RNU-LND-BCE using the da Vinci Si followed by the da Vinci Xi to highlight differences. There was no need for patient repositioning or robot re-docking with the new da Vinci Xi robotic platform. We have experience of using different robotic systems for single docking RNU in 70 cases for benign (15) and malignant (55) conditions. The da Vinci Xi robotic platform helps operating room personnel in its easy movement, allows easier patient side-docking with the help of its boom feature, in addition to easy and swift movements of the robotic arms. The patient clearance feature can be used to avoid collision with the robotic arms or the patient's body. In patients with challenging body habitus and in situations where bladder cuff management is difficult, modifications can be made through reassigning the camera to a different port with

  4. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    NASA Astrophysics Data System (ADS)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  5. Pulsations in the Earth's Lower Ionosphere Synchronized With Solar Flare Emission

    NASA Astrophysics Data System (ADS)

    Hayes, Laura A.; Gallagher, Peter T.; McCauley, Joseph; Dennis, Brian R.; Ireland, Jack; Inglis, Andrew

    2017-10-01

    Solar flare emission at X-ray and extreme ultraviolet (EUV) energies can cause substantial enhancements in the electron density in the Earth's lower ionosphere. It has now become clear that flares exhibit quasi-periodic pulsations with timescales of minutes at X-ray energies, but to date, it has not been known if the ionosphere is sensitive to this variability. Here using a combination of very low frequency (24 kHz) measurement together with space-based X-ray and EUV observations, we report pulsations of the ionospheric D region, which are synchronized with a set of pulsating flare loops. Modeling of the ionosphere show that the D region electron density varies by up to an order of magnitude over the timescale of the pulsations (˜ 20 min). Our results reveal that the Earth's ionosphere is more sensitive to small-scale changes in solar soft X-ray flux than previously thought and implies that planetary ionospheres are closely coupled to small-scale changes in solar/stellar activity.

  6. Comparison of Helioseismic Far-Side Active Region Detections with STEREO Far-Side EUV Observations of Solar Activity

    NASA Astrophysics Data System (ADS)

    Liewer, P. C.; Qiu, J.; Lindsey, C.

    2017-10-01

    Seismic maps of the Sun's far hemisphere, computed from Doppler data from the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO) are now being used routinely to detect strong magnetic regions on the far side of the Sun (http://jsoc.stanford.edu/data/farside/). To test the reliability of this technique, the helioseismically inferred active region detections are compared with far-side observations of solar activity from the Solar TErrestrial RElations Observatory (STEREO), using brightness in extreme-ultraviolet light (EUV) as a proxy for magnetic fields. Two approaches are used to analyze nine months of STEREO and HMI data. In the first approach, we determine whether new large east-limb active regions are detected seismically on the far side before they appear Earth side and study how the detectability of these regions relates to their EUV intensity. We find that while there is a range of EUV intensities for which far-side regions may or may not be detected seismically, there appears to be an intensity level above which they are almost always detected and an intensity level below which they are never detected. In the second approach, we analyze concurrent extreme-ultraviolet and helioseismic far-side observations. We find that 100% (22) of the far-side seismic regions correspond to an extreme-ultraviolet plage; 95% of these either became a NOAA-designated magnetic region when reaching the east limb or were one before crossing to the far side. A low but significant correlation is found between the seismic signature strength and the EUV intensity of a far-side region.

  7. Robotic resections in hepatobiliary oncology - initial experience with Xi da Vinci system in India.

    PubMed

    Chandarana, M; Patkar, S; Tamhankar, A; Garg, S; Bhandare, M; Goel, M

    2017-01-01

    Minimal invasive surgery has proven its advantages over open surgeries in the perioperative period. Food and Drug Administration approved da Vinci robot in 2000. The latest version, da Vinci Xi system has a mobile tower-based robot with several modifications to improve the functionality, versatility, and operative ease. None of the centers have reported exclusively on hepatobiliary oncology using the da Vinci Xi system. We report our initial experience. To study the feasibility, advantages, and discuss the operative technique of da Vinci Xi system in hepatobiliary oncology. Data were analyzed retrospectively from a prospectively maintained database from June 2015 to October 2016. Twenty-five patients with suspected or proven hepatobiliary malignancies were operated. Total robotic technique using da Vinci Xi system was used. Demographic details and perioperative outcomes were noted. Of the 25 surgeries, 14 patients had a suspected gallbladder malignancy, 11 patients had primary or metastatic liver tumor. Median age was 53 years. The average duration of surgery was 225 min with a median blood loss 150 ml. The median postoperative stay was 4 days. The median nodal yield for radical cholecystectomy was seven. Five patients required conversion. Two of these developed postoperative morbidity. Robotic surgery for hepatobiliary oncology is feasible and can be performed safely in experienced hands. Increasing experience in this field may equal or even prove advantageous over conventional or laparoscopic approach in future. A cautious approach with judicious patient selection is the key to establishing robotic surgery as a standard surgical approach.

  8. Evolution analysis of EUV radiation from laser-produced tin plasmas based on a radiation hydrodynamics model

    PubMed Central

    Su, M. G.; Min, Q.; Cao, S. Q.; Sun, D. X.; Hayden, P.; O’Sullivan, G.; Dong, C. Z.

    2017-01-01

    One of fundamental aims of extreme ultraviolet (EUV) lithography is to maximize brightness or conversion efficiency of laser energy to radiation at specific wavelengths from laser produced plasmas (LPPs) of specific elements for matching to available multilayer optical systems. Tin LPPs have been chosen for operation at a wavelength of 13.5 nm. For an investigation of EUV radiation of laser-produced tin plasmas, it is crucial to study the related atomic processes and their evolution so as to reliably predict the optimum plasma and experimental conditions. Here, we present a simplified radiation hydrodynamic model based on the fluid dynamic equations and the radiative transfer equation to rapidly investigate the evolution of radiation properties and dynamics in laser-produced tin plasmas. The self-absorption features of EUV spectra measured at an angle of 45° to the direction of plasma expansion have been successfully simulated and explained, and the evolution of some parameters, such as the plasma temperature, ion distribution and density, expansion size and velocity, have also been evaluated. Our results should be useful for further understanding of current research on extreme ultraviolet and soft X-ray source development for applications such as lithography, metrology and biological imaging. PMID:28332621

  9. Adding EUV reflectance to aluminum-coated mirrors for space-based observation

    NASA Astrophysics Data System (ADS)

    Allred, David D.; Turley, R. Steven; Thomas, Stephanie M.; Willett, Spencer G.; Greenburg, Michael J.; Perry, Spencer B.

    2017-09-01

    Protective layers on aluminum mirror surfaces which can be removed via the use of atomic hydrogen or hydrogen plasmas at the point of use in space may allow an expansion of broad-band mirrors into the EUV. LUVOIR (large, UV-optical-IR telescope) is a potential NASA flagship space-based observatory of the 2020's or 30's. It would utilize the largest mirrors ever flown1 . Their reflective coating will almost certainly be aluminum, since such telescopes would profit from truly broad-band mirrors. To achieve reflectance over the broadest band, the top surface of such aluminum mirrors, however, needs to be bare, without the oxide layers that naturally form in air. This will open the 11 to 15 eV band. Since thin aluminum films are largely transparent between 15 and 70 eV an EUV mirror under the aluminum could make EUV bands such as 30.4 nm available for space-based astrophysics without sacrificing mirror IR, visible and UV reflectance. The local space environment for the observatory is sufficiently oxygen-free that the surface should remain bare for decades. We discuss protecting as-deposited aluminum mirrors with robust, oxygenimpenetrable, barrier layers applied in vacuo to the aluminum immediately after deposition and before air contact. The goal is that the barrier could also be cleanly, and relatively easily, removed once the mirror is in space. We propose hydrogen atoms as the means for removing the overcoat, since they can be expected to meet the criteria that the means is gentle enough to not roughen the mirror surface, and does not redeposit material on the mirror or other spacecraft components. We have investigated both organic and inorganic (such as, a-Si) hydrogen-removable films that can be applied to the aluminum immediately after its deposition have been investigated. We also examined the REVAP technique, using Cd and Zn. Agglomeration limited their effectiveness as barrier layers. That and dealing with the reevaporated atoms may limit their utility as

  10. Model for End-stage Liver Disease excluding INR (MELD-XI) score in critically ill patients: Easily available and of prognostic relevance.

    PubMed

    Wernly, Bernhard; Lichtenauer, Michael; Franz, Marcus; Kabisch, Bjoern; Muessig, Johanna; Masyuk, Maryna; Hoppe, Uta C; Kelm, Malte; Jung, Christian

    2017-01-01

    MELD-XI, an adapted version of Model for End-stage Liver Disease (MELD) score excluding INR, was reported to predict outcomes e.g. in patients with acute heart failure. We aimed to evaluate MELD-XI in critically ill patients admitted to an intensive care unit (ICU) for prognostic relevance. A total of 4381 medical patients (66±14 years, 2862 male) admitted to a German ICU between 2004 and 2009 were included and retrospectively investigated. Admission diagnoses were e.g. myocardial infarction (n = 2034), sepsis (n = 694) and heart failure (n = 688). We divided our patients in two cohorts basing on their MELD-XI score and evaluated the MELD-XI score for its prognostic relevance regarding short-term and long-term survival. Optimal cut-offs were calculated by means of the Youden-Index. Patients with a MELD-XI score >12 had pronounced laboratory signs of organ failure and more comorbidities. MELD-XI >12 was associated with an increase in short-term (27% vs 6%; HR 4.82, 95%CI 3.93-5.93; p<0.001) and long-term (HR 3.69, 95%CI 3.20-4.25; p<0.001) mortality. In a univariate Cox regression analysis for all patients MELD-XI was associated with increased long-term mortality (changes per score point: HR 1.06, 95%CI 1.05-1.07; p<0.001) and remained to be associated with increased mortality after correction in a multivariate regression analysis for renal failure, liver failure, lactate concentration, blood glucose concentration, oxygenation and white blood count (HR 1.04, 95%CI 1.03-1.06; p<0.001). Optimal cut-off for the overall cohort was 11 and varied remarkably depending on the admission diagnosis: myocardial infarction (9), pulmonary embolism (9), cardiopulmonary resuscitation (17) and pneumonia (17). We performed ROC-analysis and compared the AUC: SAPS2 (0.78, 95%CI 0.76-0.80; p<0.0001) and APACHE (0.76, 95%CI 0.74-0.78; p<0.003) score were superior to MELD-XI (0.71, 95%CI 0.68-0.73) for prediction of mortality. The easily calculable MELD-XI score is a robust and reliable

  11. Patterned mask inspection technology with Projection Electron Microscope (PEM) technique for 11 nm half-pitch (hp) generation EUV masks

    NASA Astrophysics Data System (ADS)

    Hirano, Ryoichi; Iida, Susumu; Amano, Tsuyoshi; Watanabe, Hidehiro; Hatakeyama, Masahiro; Murakami, Takeshi; Yoshikawa, Shoji; Suematsu, Kenichi; Terao, Kenji

    2015-07-01

    High-sensitivity EUV mask pattern defect detection is one of the major issues in order to realize the device fabrication by using the EUV lithography. We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 ("Model EBEYE" is an EBARA's model code), and which seems to be quite promising for 16 nm hp generation EUVL Patterned mask Inspection (PI). Defect inspection sensitivity was evaluated by capturing an electron image generated at the mask by focusing onto an image sensor. The progress of the novel PEM optics performance is not only about making an image sensor with higher resolution but also about doing a better image processing to enhance the defect signal. In this paper, we describe the experimental results of EUV patterned mask inspection using the above-mentioned system. The performance of the system is measured in terms of defect detectability for 11 nm hp generation EUV mask. To improve the inspection throughput for 11 nm hp generation defect detection, it would require a data processing rate of greater than 1.5 Giga- Pixel-Per-Second (GPPS) that would realize less than eight hours of inspection time including the step-and-scan motion associated with the process. The aims of the development program are to attain a higher throughput, and enhance the defect detection sensitivity by using an adequate pixel size with sophisticated image processing resulting in a higher processing rate.

  12. Phase measurements of EUV mask defects

    DOE PAGES

    Claus, Rene A.; Wang, Yow-Gwo; Wojdyla, Antoine; ...

    2015-02-22

    Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than themore » conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.« less

  13. The EUV spectrophotometer on Atmosphere Explorer.

    NASA Technical Reports Server (NTRS)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  14. Data indexing techniques for the EUVE all-sky survey

    NASA Technical Reports Server (NTRS)

    Lewis, J.; Saba, V.; Dobson, C.

    1992-01-01

    This poster describes techniques developed for manipulating large full-sky data sets for the Extreme Ultraviolet Explorer project. The authors have adapted the quatrilateralized cubic sphere indexing algorithm to allow us to efficiently store and process several types of large data sets, such as full-sky maps of photon counts, exposure time, and count rates. A variation of this scheme is used to index sparser data such as individual photon events and viewing times for selected areas of the sky, which are eventually used to create EUVE source catalogs.

  15. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    NASA Astrophysics Data System (ADS)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  16. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    NASA Technical Reports Server (NTRS)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  17. Detection of 3-Minute Oscillations in Full-Disk Lyman-alpha Emission During A Solar Flare

    NASA Astrophysics Data System (ADS)

    Milligan, R. O.; Ireland, J.; Fleck, B.; Hudson, H. S.; Fletcher, L.; Dennis, B. R.

    2017-12-01

    We report the detection of chromospheric 3-minute oscillations in disk-integrated EUV irradiance observations during a solar flare. A wavelet analysis of detrended Lyman-alpha (from GOES/EUVS) and Lyman continuum (from SDO/EVE) emission from the 2011 February 15 X-class flare revealed a 3-minute period present during the flare's main phase. The formation temperature of this emission locates this radiation to the flare's chromospheric footpoints, and similar behaviour is found in the SDO/AIA 1600A and 1700A channels, which are dominated by chromospheric continuum. The implication is that the chromosphere responds dynamically at its acoustic cutoff frequency to an impulsive injection of energy. Since the 3-minute period was not found at hard X-ray energies (50-100 keV) in RHESSI data we can state that this 3-minute oscillation does not depend on the rate of energization of, or energy deposition by, non-thermal electrons. However, a second period of 120 s found in both hard X-ray and chromospheric emission is consistent with episodic electron energization on 2-minute timescales. Our finding on the 3-minute oscillation suggests that chromospheric mechanical energy should be included in the flare energy budget, and the fluctuations in the Lyman-alpha line may influence the composition and dynamics of planetary atmospheres during periods of high activity.

  18. Regulation of organ straightening and plant posture by an actin-myosin XI cytoskeleton.

    PubMed

    Okamoto, Keishi; Ueda, Haruko; Shimada, Tomoo; Tamura, Kentaro; Kato, Takehide; Tasaka, Masao; Morita, Miyo Terao; Hara-Nishimura, Ikuko

    2015-03-23

    Plants are able to bend nearly every organ in response to environmental stimuli such as gravity and light(1,2). After this first phase, the responses to stimuli are restrained by an independent mechanism, or even reversed, so that the organ will stop bending and attain its desired posture. This phenomenon of organ straightening has been called autotropism(3) and autostraightening(4) and modelled as proprioception(5). However, the machinery that drives organ straightening and where it occurs are mostly unknown. Here, we show that the straightening of inflorescence stems is regulated by an actin-myosin XI cytoskeleton in specialized immature fibre cells that are parallel to the stem and encircle it in a thin band. Arabidopsis mutants defective in myosin XI (specifically XIf and XIk) or ACTIN8 exhibit hyperbending of stems in response to gravity, an effect independent of the physical properties of the shoots. The actin-myosin XI cytoskeleton enables organs to attain their new position more rapidly than would an oscillating series of diminishing overshoots in environmental stimuli. We propose that the long actin filaments in elongating fibre cells act as a bending tensile sensor to perceive the organ's posture and trigger the straightening system.

  19. The Foggy EUV Corona and Coronal Heating by MHD Waves from Explosive Reconnection Events

    NASA Technical Reports Server (NTRS)

    Moore, Ron L.; Cirtain, Jonathan W.; Falconer, David A.

    2008-01-01

    In 0.5 arcsec/pixel TRACE coronal EUV images, the corona rooted in active regions that are at the limb and are not flaring is seen to consist of (1) a complex array of discrete loops and plumes embedded in (2) a diffuse ambient component that shows no fine structure and gradually fades with height. For each of two not-flaring active regions, found that the diffuse component is (1) approximately isothermal and hydrostatic and (2) emits well over half of the total EUV luminosity of the active-region corona. Here, from a TRACE Fe XII coronal image of another not-flaring active region, the large sunspot active region AR 10652 when it was at the west limb on 30 July 2004, we separate the diffuse component from the discrete loop component by spatial filtering, and find that the diffuse component has about 60% of the total luminosity. If under much higher spatial resolution than that of TRACE (e. g., the 0.1 arcsec/pixel resolution of the Hi-C sounding-rocket experiment proposed by J. W. Cirtain et al), most of the diffuse component remains diffuse rather being resolved into very narrow loops and plumes, this will raise the possibility that the EUV corona in active regions consists of two basically different but comparably luminous components: one being the set of discrete bright loops and plumes and the other being a truly diffuse component filling the space between the discrete loops and plumes. This dichotomy would imply that there are two different but comparably powerful coronal heating mechanisms operating in active regions, one for the distinct loops and plumes and another for the diffuse component. We present a scenario in which (1) each discrete bright loop or plume is a flux tube that was recently reconnected in a burst of reconnection, and (2) the diffuse component is heated by MHD waves that are generated by these reconnection events and by other fine-scale explosive reconnection events, most of which occur in and below the base of the corona where they are

  20. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  1. A T8.5 BROWN DWARF MEMBER OF THE {xi} URSAE MAJORIS SYSTEM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Edward L.; Mace, Gregory; McLean, Ian S.

    The Wide-field Infrared Survey Explorer has revealed a T8.5 brown dwarf (WISE J111838.70+312537.9) that exhibits common proper motion with a solar-neighborhood (8 pc) quadruple star system-{xi} Ursae Majoris. The angular separation is 8.'5, and the projected physical separation is Almost-Equal-To 4000 AU. The sub-solar metallicity and low chromospheric activity of {xi} UMa A argue that the system has an age of at least 2 Gyr. The infrared luminosity and color of the brown dwarf suggests the mass of this companion ranges between 14 and 38 M{sub J} for system ages of 2 and 8 Gyr, respectively.

  2. Use of the new da Vinci Xi® during robotic rectal resection for cancer: a pilot matched-case comparison with the da Vinci Si®.

    PubMed

    Morelli, Luca; Guadagni, Simone; Di Franco, Gregorio; Palmeri, Matteo; Caprili, Giovanni; D'Isidoro, Cristiano; Cobuccio, Luigi; Marciano, Emanuele; Di Candio, Giulio; Mosca, Franco

    2017-03-01

    The aim of this study was to compare the short-term outcomes of robotic rectal resection with total mesorectal excision (TME) for rectal cancer, with the use of the new da Vinci Xi® (Xi-RobTME group) and the da Vinci Si® (Si-RobTME group). Ten patients with histologically confirmed rectal cancer underwent robot-assisted TME with the use of the new da Vinci Xi. The outcomes of Xi-RobTME group were compared with a Si-RobTME group selected using a case-matched methodology. Overall operative times and mean hospital stays were shorter in the Xi-RobTME group. Surgeries were fully robotic with a complete take-down of the splenic flexure in all Xi-RobTME cases, while only four cases of the Si-RobTME group were fully robotic, with two cases of complete take-down of the splenic flexure. The new da Vinci Xi could offer some advantages with respect to the da Vinci Si in rectal resection for cancer. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  3. Multi-trigger resist patterning with ASML NXE3300 EUV scanner

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; McClelland, Alexandra; De Simone, Danilo; Popescu, Carmen; Dawson, Guy; Roth, John; Theis, Wolfgang; Vandenberghe, Geert; Robinson, Alex P. G.

    2018-03-01

    Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist (MTR) is a negative tone crosslinking resist that does not need a post exposure bake (PEB), and during the past years, has been mainly tested using interference lithography at PSI. In this study, we present the results that have been obtained using MTR resists, performing EUV exposures on ASML NXE3300B EUV scanner at IMEC. We present the lithography performance of the MTR1 resist series in two formulations - a high-speed baseline, and a formulation designed to enhance the multi-trigger behaviour. Additionally, we present results for the MTR2 resist series, which has been designed for lower line edge roughness. The high-speed baseline resist (MTR1), showed 18 nm resolution at 20mJ/cm2. The MTR2 resist shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm. Performance across multiple process conditions are discussed. We performed etch rate measurement and the multi-trigger resist showed etch resistance equivalent or better than standard chemically amplified resist. This could compensate for the lower film thickness required to avoid pattern collapse at pitch 32nm.

  4. Method for the manufacture of phase shifting masks for EUV lithography

    DOEpatents

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  5. Imaging performance and challenges of 10nm and 7nm logic nodes with 0.33 NA EUV

    NASA Astrophysics Data System (ADS)

    van Setten, Eelco; Schiffelers, Guido; Psara, Eleni; Oorschot, Dorothe; Davydova, Natalia; Finders, Jo; Depre, Laurent; Farys, Vincent

    2014-10-01

    The NXE:3300B is ASML's third generation EUV system and has an NA of 0.33 and is positioned at a resolution of 22nm, which can be extended down to 18nm and below with off-axis illumination at full transmission. Multiple systems have been qualified and installed at customers. The NXE:3300B succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. It is expected that EUV will be adopted first for critical Logic layers at 10nm and 7nm nodes, such as Metal-1, to avoid the complexity of triple patterning schemes using ArF immersion. In this paper we will evaluate the imaging performance of (sub-)10nm node Logic M1 on the NXE:3300B EUV scanner. We will show the line-end performance of tip-to-tip and tip-to-space test features for various pitches and illumination settings and the performance enhancement obtained by means of a 1st round of OPC. We will also show the magnitude of local variations. The Logic M1 cell is evaluated at various critical features to identify hot spots. A 2nd round OPC model was calibrated of which we will show the model accuracy and ability to predict hot spots in the Logic M1 cell. The calibrated OPC model is used to predict the expected performance at 7nm node Logic using off-axis illumination at 16nm minimum half pitch. Initial results of L/S exposed on the NXE:3300B at 7nm node resolutions will be shown. An outlook is given to future 0.33 NA systems on the ASML roadmap with enhanced illuminator capabilities to further improve performance and process window.

  6. Model for End-stage Liver Disease excluding INR (MELD-XI) score in critically ill patients: Easily available and of prognostic relevance

    PubMed Central

    Wernly, Bernhard; Lichtenauer, Michael; Franz, Marcus; Kabisch, Bjoern; Muessig, Johanna; Masyuk, Maryna; Hoppe, Uta C.; Kelm, Malte; Jung, Christian

    2017-01-01

    Purpose MELD-XI, an adapted version of Model for End-stage Liver Disease (MELD) score excluding INR, was reported to predict outcomes e.g. in patients with acute heart failure. We aimed to evaluate MELD-XI in critically ill patients admitted to an intensive care unit (ICU) for prognostic relevance. Methods A total of 4381 medical patients (66±14 years, 2862 male) admitted to a German ICU between 2004 and 2009 were included and retrospectively investigated. Admission diagnoses were e.g. myocardial infarction (n = 2034), sepsis (n = 694) and heart failure (n = 688). We divided our patients in two cohorts basing on their MELD-XI score and evaluated the MELD-XI score for its prognostic relevance regarding short-term and long-term survival. Optimal cut-offs were calculated by means of the Youden-Index. Results Patients with a MELD-XI score >12 had pronounced laboratory signs of organ failure and more comorbidities. MELD-XI >12 was associated with an increase in short-term (27% vs 6%; HR 4.82, 95%CI 3.93–5.93; p<0.001) and long-term (HR 3.69, 95%CI 3.20–4.25; p<0.001) mortality. In a univariate Cox regression analysis for all patients MELD-XI was associated with increased long-term mortality (changes per score point: HR 1.06, 95%CI 1.05–1.07; p<0.001) and remained to be associated with increased mortality after correction in a multivariate regression analysis for renal failure, liver failure, lactate concentration, blood glucose concentration, oxygenation and white blood count (HR 1.04, 95%CI 1.03–1.06; p<0.001). Optimal cut-off for the overall cohort was 11 and varied remarkably depending on the admission diagnosis: myocardial infarction (9), pulmonary embolism (9), cardiopulmonary resuscitation (17) and pneumonia (17). We performed ROC-analysis and compared the AUC: SAPS2 (0.78, 95%CI 0.76–0.80; p<0.0001) and APACHE (0.76, 95%CI 0.74–0.78; p<0.003) score were superior to MELD-XI (0.71, 95%CI 0.68–0.73) for prediction of mortality. Conclusions The easily

  7. Chondrogenic properties of collagen type XI, a component of cartilage extracellular matrix.

    PubMed

    Li, Ang; Wei, Yiyong; Hung, Clark; Vunjak-Novakovic, Gordana

    2018-08-01

    Cartilage extracellular matrix (ECM) has been used for promoting tissue engineering. However, the exact effects of ECM on chondrogenesis and the acting mechanisms are not well understood. In this study, we investigated the chondrogenic effects of cartilage ECM on human mesenchymal stem cells (MSCs) and identified the contributing molecular components. To this end, a preparation of articular cartilage ECM was supplemented to pellets of chondrogenically differentiating MSCs, pellets of human chondrocytes, and bovine articular cartilage explants to evaluate the effects on cell proliferation and the production of cartilaginous matrix. Selective enzymatic digestion and screening of ECM components were conducted to identify matrix molecules with chondrogenic properties. Cartilage ECM promoted MSC proliferation, production of cartilaginous matrix, and maturity of chondrogenic differentiation, and inhibited the hypertrophic differentiation of MSC-derived chondrocytes. Selective digestion of ECM components revealed a contributory role of collagens in promoting chondrogenesis. The screening of various collagen subtypes revealed strong chondrogenic effect of collagen type XI. Finally, collagen XI was found to promote production and inhibit degradation of cartilage matrix in human articular chondrocyte pellets and bovine articular cartilage explants. Our results indicate that cartilage ECM promotes chondrogenesis and inhibits hypertrophic differentiation in MSCs. Collagen type XI is the ECM component that has the strongest effects on enhancing the production and inhibiting the degradation of cartilage matrix. Copyright © 2018 Elsevier Ltd. All rights reserved.

  8. Difference in EUV photoresist design towards reduction of LWR and LCDU

    NASA Astrophysics Data System (ADS)

    Jiang, Jing; De Simone, Danilo; Vandenberghe, Geert

    2017-03-01

    Pattern fidelity of EUV lithography is crucial for high resolution features, since small variation can affect device performance and even cause short or open circuit. For 1D features, dense lines and contact holes are the most common features for active, metal and contact layer, therefore line width roughness (LWR) and local critical dimension uniformity (LCDU) are important indexes to monitor. Both LWR and LCDU are greatly influenced by photon and acid shot noise. In addition, LWR is also affected by resist mechanical properties, like pattern collapse. In this study, we studied the influence of different chemically amplified resist components, such as polymer, PAG and quencher for both types and concentrations in order to understand the relative extent of influences of deprotection, acid diffusion, and base neutralization on pattern fidelity. However, conventional methods to approach higher resolution or low LWR/LCDU by sacrificing the dose are not sustainable. In order to continue to improve resist performance, a new component, metal salt sensitizer, is introduced into the resist system. This metal salt is able to achieve 30% dose reduction by increasing EUV absorption, maintaining LWR. We believe metal sensitizer might give us a new way to challenge the RLS trade-off.

  9. Distinct EUV minimum of the solar irradiance (16-40 nm) observed by SolACES spectrometers onboard the International Space Station (ISS) in August/September 2009

    NASA Astrophysics Data System (ADS)

    Nikutowski, B.; Brunner, R.; Erhardt, Ch.; Knecht, St.; Schmidtke, G.

    2011-09-01

    In the field of terrestrial climatology the continuous monitoring of the solar irradiance with highest possible accuracy is an important goal. SolACES as a part of the ESA mission SOLAR on the ISS is measuring the short-wavelength solar EUV irradiance from 16-150 nm. This data will be made available to the scientific community to investigate the impact of the solar irradiance variability on the Earth's climate as well as the thermospheric/ionospheric interactions that are pursued in the TIGER program. Since the successful launch with the shuttle mission STS-122 on February 7th, 2008, SolACES initially recorded the low EUV irradiance during the extended solar activity minimum. Thereafter it has been observing the EUV irradiance during the increasing solar activity with enhanced intensity and changing spectral composition. SolACES consists of three grazing incidence planar grating spectrometers. In addition there are two three-signal ionisation chambers, each with exchangeable band-pass filters to determine the absolute EUV fluxes repeatedly during the mission. One important problem of space-borne instrumentation recording the solar EUV irradiance is the degradation of the spectrometer sensitivity. The two double ionisation chambers of SolACES, which could be re-filled with three different gases for each recording, allow the recalibration of the efficiencies of the three SolACES spectrometers from time to time.

  10. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    PubMed

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  11. BRCA1 does not paint the inactive X to localize XIST RNA but may contribute to broad changes in cancer that impact XIST and Xi heterochromatin.

    PubMed

    Pageau, Gayle J; Hall, Lisa L; Lawrence, Jeanne B

    2007-03-01

    The BRCA1 tumor suppressor involved in breast and ovarian cancer is linked to several fundamental cell regulatory processes. Recently, it was reported that BRCA1 supports localization of XIST RNA to the inactive X chromosome (Xi) in women. The apparent cytological overlap between BRCA1 and XIST RNA across the Xi raised the possibility a direct role of BRCA1 in localizing XIST. We report here that BRCA1 does not paint the Xi or XIST territory, as do markers of Xi facultative heterochromatin. A smaller BRCA1 accumulation abuts Xi, although this is not exclusive to Xi. In BRCA1 depleted normal and tumor cells, or BRCA1 reconstituted cells, BRCA1 status does not closely correlate with XIST localization, however in a BRCA1 inducible system over-expression correlated strongly with enhanced XIST expression. We confirm frequent loss of an Xi in tumor cells. In addition to mitotic loss of Xi, we find XIST RNA expression or localization frequently become compromised in cultured breast cancer cells, suggesting Xi heterochromatin may not be fully maintained. We demonstrate that complex epigenetic differences between tumor cell subpopulations can have striking effects on XIST transcription, accumulation, and localization, but this does not strictly correlate with BRCA1. Although BRCA1 can have indirect effects that impact XIST, our results do not indicate a direct and specific role in XIST RNA regulation. Rather, regulatory factors such as BRCA1 that have broad effects on chromatin or gene regulation can impact XIST RNA and the Xi. We provide preliminary evidence that this may occur as part of a wider failure of heterochromatin maintenance in some cancers.

  12. Physical resist models and their calibration: their readiness for accurate EUV lithography simulation

    NASA Astrophysics Data System (ADS)

    Klostermann, U. K.; Mülders, T.; Schmöller, T.; Lorusso, G. F.; Hendrickx, E.

    2010-04-01

    In this paper, we discuss the performance of EUV resist models in terms of predictive accuracy, and we assess the readiness of the corresponding model calibration methodology. The study is done on an extensive OPC data set collected at IMEC for the ShinEtsu resist SEVR-59 on the ASML EUV Alpha Demo Tool (ADT), with the data set including more than thousand CD values. We address practical aspects such as the speed of calibration and selection of calibration patterns. The model is calibrated on 12 process window data series varying in pattern width (32, 36, 40 nm), orientation (H, V) and pitch (dense, isolated). The minimum measured feature size at nominal process condition is a 32 nm CD at a dense pitch of 64 nm. Mask metrology is applied to verify and eventually correct nominal width of the drawn CD. Cross-sectional SEM information is included in the calibration to tune the simulated resist loss and sidewall angle. The achieved calibration RMS is ~ 1.0 nm. We show what elements are important to obtain a well calibrated model. We discuss the impact of 3D mask effects on the Bossung tilt. We demonstrate that a correct representation of the flare level during the calibration is important to achieve a high predictability at various flare conditions. Although the model calibration is performed on a limited subset of the measurement data (one dimensional structures only), its accuracy is validated based on a large number of OPC patterns (at nominal dose and focus conditions) not included in the calibration; validation RMS results as small as 1 nm can be reached. Furthermore, we study the model's extendibility to two-dimensional end of line (EOL) structures. Finally, we correlate the experimentally observed fingerprint of the CD uniformity to a model, where EUV tool specific signatures are taken into account.

  13. Polarized Gamma-Ray Emission from the Galactic Black Hole Cygnus X-1

    NASA Technical Reports Server (NTRS)

    Laurent, P.; Rodriquez, J.; Wilms, J.; Bel, M. Cadolle; Pottschmidt, K.; Grinberg, V.

    2011-01-01

    Because of their inherently high flux allowing the detection of clear signals, black hole X-ray binaries are interesting candidates for polarization studies, even if no polarization signals have been observed from them before. Such measurements would provide further detailed insight into these sources' emission mechanisms. We measured the polarization of the gamma-ray emission from the black hole binary system Cygnus X-I with the INTEGRAL/IBIS telescope. Spectral modeling ofthe data reveals two emission mechanisms: The 250-400 keY data are consistent with emission dominated by Compton scattering on thermal electrons and are weakly polarized. The second spectral component seen in the 400keV-2MeV band is by contrast strongly polarized, revealing that the MeV emission is probably related to the jet first detected in the radio band.

  14. Performance upgrades in the EUV engineering test stand

    NASA Astrophysics Data System (ADS)

    Tichenor, Daniel A.; Replogle, William C.; Lee, Sang Hun; Ballard, William P.; Leung, Alvin H.; Kubiak, Glenn D.; Klebanoff, Leonard E.; Graham, Samual, Jr.; Goldsmith, John E. M.; Jefferson, Karen L.; Wronosky, John B.; Smith, Tony G.; Johnson, Terry A.; Shields, Harry; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Sweeney, Donald W.; Folta, James A.; Sommargren, Gary E.; Goldberg, Kenneth A.; Naulleau, Patrick P.; Attwood, David T., Jr.; Gullikson, Eric M.

    2002-07-01

    The EUV Engineering Test Stand (ETS) has demonstrated the printing of 100-nm-resolution scanned images. This milestone was first achieved while the ETS operated in an initial configuration using a low power laser and a developmental projection system, PO Box 1. The drive laser has ben upgraded to a single chain of the three-chain Nd:YAG laser developed by TRW. The result in exposure time is approximately 4 seconds for static exposures. One hundred nanometer dense features have been printed in step-and-scan operation with the same image quality obtained in static printing. These experiments are the first steps toward achieving operation using all three laser chains for a total drive laser power of 1500 watts. In a second major upgrade the developmental wafer stage platen, used to demonstrate initial full-field imaging, has been replaced with the final low-expansion platen made of Zerodur. Additional improvements in the hardware and control software have demonstrated combined x and jitter from 2 to 4 nm RMS Over most of the wafer stage travel range, while scanning at the design scan speed of 10 mm/s at the wafer. This value, less than half of the originally specified jitter, provides sufficient stability to support printing of 70 nm features as planned, when the upgraded projection system is installed. The third major upgrade will replace PO Box 1 with an improved projection system, PO Box 2, having lower figure error and lower flare. In addition to these upgrades, dose sensors at the reticle and wafer planes and an EUV- sensitive aerial image monitor have been integrated into the ETS. This paper reports on ETS system upgrades and the impact on system performance.

  15. Influence of post exposure bake time on EUV photoresist RLS trade-off

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; De Simone, Danilo; De Gendt, Stefan

    2017-03-01

    To achieve high volume manufacturing, EUV photoresists need to push back the "RLS trade-off" by simultaneously improving Resolution, Line-Width Roughness and Sensitivity (exposure dose). Acid diffusion in chemically amplified resist is known to impact these performances. This work studies the diffusion of acid in chemically amplified resist by varying the post exposure bake duration while monitoring the evolution of CD and LWR for 6 chemically amplified EUV photoresists (CAR). We observed a first regime where both CD and LWR quickly decrease during the first 30s of post exposure bake (PEB). This can be related to the deprotection reaction taking place in the exposed part of the resist. After 60s the decrease in CD and LWR slows down significantly, likely related to a regime of acid diffusion from exposed to unexposed region, and acid-quencher neutralization at the interface of these two regions. We tested two resists with different protecting group and the one having lower activation energy shows a faster CD change in the second regime, resulting in a worsening of LWR for longer PEB time. On the contrary, a resist with a high quencher loading shows reduced net diffusion of acid towards the unexposed region and controls the resist edge profile. In other words longer PEB does not degrade LWR, but as it reduces the line CD, sensitivity is impacted. With an appropriate ratio selection of quencher to PAG, an EUV dose reduction of up to 12% can be achieved with a change from a standard 60 second to a 240 second PEB time, while keeping LWR and resolution constant and therefore pushing the RLS performances. Finally, we confirmed that the observations on positive tone development (PTD) resist could be applied to negative tone development (NTD) resist: with a high quencher NTD resist we observed a dose reduction of 8% for longer PEB time, keeping LWR and resolution constant.

  16. Storm-time variations of atomic nitrogen 149.3 nm emission

    NASA Astrophysics Data System (ADS)

    Zhang, Y.; Paxton, L. J.; Morrison, D.; Schaefer, B.

    2018-04-01

    Net radiances of atomic nitrogen emission line (N-149.3 nm) from the thermosphere are extracted from the FUV spectra observed by TIMED/GUVI on dayside at sunlit latitudes. During geomagnetic storms, the N-149.3 nm intensity is clearly enhanced in the locations where O/N2 depletion and nitric oxide (NO) enhancement are observed. The N-149.3 nm intensity is linearly and tightly correlated with N2 LBHS (140-150 nm) radiance with a fixed LBHS/149.3 nm ratio of ∼4.5, suggesting that dissociation of N2 is the dominant source of the N-149.3 nm emission. In the regions without storm disturbances, the N-149.3 nm intensities are closely correlated with solar EUV flux.

  17. Comparison of the Scaling Properties of EUV Intensity Fluctuations in Coronal Holes to those in Regions of Quiet Sun

    NASA Astrophysics Data System (ADS)

    Cadavid, Ana Cristina; Lawrence, John K.; Jennings, Peter John

    2017-08-01

    We investigate the scaling properties of EUV intensity fluctuations seen in low-latitude coronal holes (CH) and in regions of Quiet Sun (QS), in signals obtained with the SDO/AIA instrument in the 193 Å waveband. Contemporaneous time series in the 171 and 211 Å wavebands are used for comparison among emissions at different heights in the transition region and low corona. Potential-field extrapolations of contemporaneous SDO/HMI line-of-sight magnetic fields provide a context in the physical environment. Detrended fluctuation analysis (DFA) shows that the variance of the fluctuations obeys a power-law as a function of temporal scales with periods in the range ~15-60 min. This scaling is characterized by a generalized Hurst exponent α. In QS regions, and in regions within CHs that include magnetic bipoles, the scaling exponent lies in the range 1.0 < α < 1.5, and it thus corresponds to anti-correlated, turbulent-like, dynamical processes. Regions inside the coronal holes primarily associated with magnetic field of a dominant single polarity, have a generalized exponent (0.5 < α < 1) corresponding to positively correlated (“persistent”) processes. The results indicate the influence of the magnetic fields on the dynamics of the emission.

  18. Detection of mecC-Positive Staphylococcus aureus (CC130-MRSA-XI) in Diseased European Hedgehogs (Erinaceus europaeus) in Sweden

    PubMed Central

    Monecke, Stefan; Gavier-Widen, Dolores; Mattsson, Roland; Rangstrup-Christensen, Lena; Lazaris, Alexandros; Coleman, David C.; Shore, Anna C.; Ehricht, Ralf

    2013-01-01

    Recently, a novel mec gene conferring beta-lactam resistance in Staphylococcus aureus has been discovered. This gene, mecC, is situated on a SCCmec XI element that has to date been identified in clonal complexes 49, 130, 425, 599 and 1943. Some of the currently known isolates have been identified from animals. This, and observations of mecA alleles that do not confer beta-lactam resistance, indicate that mec genes might have a reservoir in Staphylococcus species from animals. Thus it is important also to screen wildlife isolates for mec genes. Here, we describe mecC-positive Staphylococcus aureus (ST130-MRSA-XI) and the lesions related to the infection in two diseased free-ranging European hedgehogs (Erinaceus europaeus). One was found dead in 2003 in central Sweden, and suffered from S. aureus septicaemia. The other one, found on the island of Gotland in the Baltic Sea in 2011, showed a severe dermatitis and was euthanised. ST130-MRSA-XI isolates were isolated from lesions from both hedgehogs and were essentially identical to previously described isolates from humans. Both isolates carried the complete SCCmec XI element. They lacked the lukF-PV/lukS-PV and lukM/lukF-P83 genes, but harboured a gene for an exfoliative toxin homologue previously described from Staphylococcus hyicus, Staphylococcus pseudintermedius and other S. aureus of the CC130 lineage. To the best of our knowledge, these are the first reported cases of CC130-MRSA-XI in hedgehogs. Given that one of the samples was taken as early as 2003, this was the earliest detection of this strain and of mecC in Sweden. This and several other recent observations suggest that CC130 might be a zoonotic lineage of S. aureus and that SCCmec XI/mecC may have originated from animal pathogens. PMID:23776626

  19. Detection of mecC-positive Staphylococcus aureus (CC130-MRSA-XI) in diseased European hedgehogs (Erinaceus europaeus) in Sweden.

    PubMed

    Monecke, Stefan; Gavier-Widen, Dolores; Mattsson, Roland; Rangstrup-Christensen, Lena; Lazaris, Alexandros; Coleman, David C; Shore, Anna C; Ehricht, Ralf

    2013-01-01

    Recently, a novel mec gene conferring beta-lactam resistance in Staphylococcus aureus has been discovered. This gene, mecC, is situated on a SCCmec XI element that has to date been identified in clonal complexes 49, 130, 425, 599 and 1943. Some of the currently known isolates have been identified from animals. This, and observations of mecA alleles that do not confer beta-lactam resistance, indicate that mec genes might have a reservoir in Staphylococcus species from animals. Thus it is important also to screen wildlife isolates for mec genes. Here, we describe mecC-positive Staphylococcus aureus (ST130-MRSA-XI) and the lesions related to the infection in two diseased free-ranging European hedgehogs (Erinaceus europaeus). One was found dead in 2003 in central Sweden, and suffered from S. aureus septicaemia. The other one, found on the island of Gotland in the Baltic Sea in 2011, showed a severe dermatitis and was euthanised. ST130-MRSA-XI isolates were isolated from lesions from both hedgehogs and were essentially identical to previously described isolates from humans. Both isolates carried the complete SCCmec XI element. They lacked the lukF-PV/lukS-PV and lukM/lukF-P83 genes, but harboured a gene for an exfoliative toxin homologue previously described from Staphylococcus hyicus, Staphylococcus pseudintermedius and other S. aureus of the CC130 lineage. To the best of our knowledge, these are the first reported cases of CC130-MRSA-XI in hedgehogs. Given that one of the samples was taken as early as 2003, this was the earliest detection of this strain and of mecC in Sweden. This and several other recent observations suggest that CC130 might be a zoonotic lineage of S. aureus and that SCCmec XI/mecC may have originated from animal pathogens.

  20. [Correlativity study of the distribution of soil magnetic susceptibility and the heavy metal contents in Xi'an City].

    PubMed

    Chen, Xiu-Duan; Lu, Xin-Wei; Yang, Guang

    2013-03-01

    The magnetic susceptibility and the concentrations of Co, Cr, Cu, Pb, Sn, Sr and Ba in topsoil samples from Xi'an City were measured to study their spatial distribution and their correlation in this study. The results show that the concentrations of all measured heavy metals are higher than their background values in Cinnamon topsoil, which is the main soil type of Xi'an City. The heavy metals concentrations and the magnetic susceptibility of the studied samples display moderate variance. Co, Cr, Cu, Pb, Sn, Sr and Ba are significantly positively correlated with low-frequency magnetic susceptibility, while are significantly negatively correlated with frequency susceptibility. The spatial distribution of low-frequency magnetic susceptibility is identical with the concentrations of Pb and Cu. However, the spatial variation of frequency magnetic susceptibility is different from the concentrations of Co, Cr and Ba. The pollution assessment results show that the heavy metal pollution in topsoil of Xi'an City is moderate. The spatial contribution of the pollution load index was significantly correlated with the magnetic susceptibility of topsoil in Xi'an City. Therefore, soil magnetic susceptibility can be used as an effective monitoring means for heavy metal pollution in urban soil.

  1. Insight into the da Vinci® Xi - technical notes for single-docking left-sided colorectal procedures.

    PubMed

    Ngu, James Chi-Yong; Sim, Sarah; Yusof, Sulaiman; Ng, Chee-Yung; Wong, Andrew Siang-Yih

    2017-12-01

    The adoption of robot-assisted laparoscopic colorectal surgery has been hampered by issues with docking, operative duration, technical difficulties in multi-quadrant access, and cost. The da Vinci® Xi has been designed to overcome some of these limitations. We describe our experience with the system and offer technical insights to its application in left-sided colorectal procedures. Our initial series of left-sided robotic colorectal procedures was evaluated. Patient demographics and operative outcomes were recorded prospectively using a predefined database. Between March 2015 and April 2016, 54 cases of robot-assisted laparoscopic left-sided colorectal procedures were successfully completed with no cases of conversion. The majority were low anterior resections for colorectal malignancies. Using the da Vinci® Xi Surgical System, multi-quadrant surgery involving dissection from the splenic flexure to the pelvis was possible without redocking. The da Vinci® Xi simplifies the docking procedure and makes single-docking feasible for multi-quadrant left-sided colorectal procedures. Copyright © 2016 John Wiley & Sons, Ltd.

  2. The Extreme Ultraviolet Flux of Very Low Mass Stars

    NASA Astrophysics Data System (ADS)

    Drake, Jeremy

    2017-09-01

    The X-ray and EUV emission of stars is vital for understanding the atmospheres and evolution of their planets. The coronae of dwarf stars later than M6 behave differently to those of earlier spectral types and are more X-ray dim and radio bright. Too faint to have been observed by EUVE, their EUV behavior is currently highly uncertain. We propose to observe a small sample of late M dwarfs using the off-axis HRC-S thin Al" filter that is sensitive to EUV emission in the 50-200 A range. The measured fluxes will be used to understand the amount of cooler coronal plasma present, and extend X-ray-EUV flux relations to the latest stellar types.

  3. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    NASA Astrophysics Data System (ADS)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  4. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    NASA Astrophysics Data System (ADS)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-07-01

    Gas giants' early (≲5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether the stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲2 MJ planets interior to 5 au in the FUV scenario, a sharp concentration of ≲3 MJ planets between ≈1.5-2 au in the EUV case and a relative abundance of ≈2-3.5 MJ giants interior to 0.5 au in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, although our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  5. Transoral robotic thyroidectomy: a preclinical feasibility study using the da Vinci Xi platform.

    PubMed

    Russell, Jonathon O; Noureldine, Salem I; Al Khadem, Mai G; Chaudhary, Hamad A; Day, Andrew T; Kim, Hoon Yub; Tufano, Ralph P; Richmon, Jeremy D

    2017-09-01

    Transoral thyroid surgery allows the surgeon to conceal incisions within the oral cavity without significantly increasing the amount of required dissection. TORT provides an ideal scarless, midline access to the thyroid gland and bilateral central neck compartments. This approach, however, presents multiple technical challenges. Herein, we present our experience using the latest generation robotic surgical system to accomplish transoral robotic thyroidectomy (TORT). In two human cadavers, the da Vinci Xi surgical system (Intuitive Surgical, Sunnyvale, CA, USA) was used to complete TORT. Total thyroidectomy and bilateral central neck dissection was successfully completed in both cadavers. The da Vinci Xi platform offered several technologic advantages over previous robotic generations including overhead docking, narrower arms, and improved range of motion allowing for improved execution of previously described TORT techniques.

  6. Erosion resistant nozzles for laser plasma extreme ultraviolet (EUV) sources

    DOEpatents

    Kubiak, Glenn D.; Bernardez, II, Luis J.

    2000-01-04

    A gas nozzle having an increased resistance to erosion from energetic plasma particles generated by laser plasma sources. By reducing the area of the plasma-facing portion of the nozzle below a critical dimension and fabricating the nozzle from a material that has a high EUV transmission as well as a low sputtering coefficient such as Be, C, or Si, it has been shown that a significant reduction in reflectance loss of nearby optical components can be achieved even after exposing the nozzle to at least 10.sup.7 Xe plasma pulses.

  7. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    NASA Astrophysics Data System (ADS)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  8. High-space resolution imaging plate analysis of extreme ultraviolet (EUV) light from tin laser-produced plasmas

    NASA Astrophysics Data System (ADS)

    Musgrave, Christopher S. A.; Murakami, Takehiro; Ugomori, Teruyuki; Yoshida, Kensuke; Fujioka, Shinsuke; Nishimura, Hiroaki; Atarashi, Hironori; Iyoda, Tomokazu; Nagai, Keiji

    2017-03-01

    With the advent of high volume manufacturing capabilities by extreme ultraviolet lithography, constant improvements in light source design and cost-efficiency are required. Currently, light intensity and conversion efficiency (CE) measurments are obtained by charged couple devices, faraday cups etc, but also phoshpor imaging plates (IPs) (BaFBr:Eu). IPs are sensitive to light and high-energy species, which is ideal for studying extreme ultraviolet (EUV) light from laser produced plasmas (LPPs). In this work, we used IPs to observe a large angular distribution (10°-90°). We ablated a tin target by high-energy lasers (1064 nm Nd:YAG, 1010 and 1011 W/cm2) to generate the EUV light. The europium ions in the IP were trapped in a higher energy state from exposure to EUV light and high-energy species. The light intensity was angular dependent; therefore excitation of the IP depends on the angle, and so highly informative about the LPP. We obtained high-space resolution (345 μm, 0.2°) angular distribution and grazing spectrometer (5-20 nm grate) data simultaneously at different target to IP distances (103 mm and 200 mm). Two laser systems and IP types (BAS-TR and BAS-SR) were also compared. The cosine fitting values from the IP data were used to calculate the CE to be 1.6% (SD ± 0.2) at 13.5 nm 2% bandwidth. Finally, a practical assessment of IPs and a damage issue are disclosed.

  9. Is Xi Jinping a Reformer Similar to Deng Xiaoping

    DTIC Science & Technology

    2017-06-09

    core focus from ideology to economic development by announcing his policy of reform and opening up in 1978. His pragmatic policies resulted in...political stability, ideological openness, and sparked over thirty years of rapid economic growth. After 30 years since reform and opening up, China has...his commitment to rebalancing China’s economy. Despite the lack of significant progress on economic reforms, this paper finds that Xi is a reformer

  10. Multiwavelength observations of magnetic fields and related activity on XI Bootis A

    NASA Technical Reports Server (NTRS)

    Saar, Steven H.; Huovelin, J.; Linsky, Jeffrey L.; Giampapa, Mark S.; Jordan, Carole

    1988-01-01

    Preliminary results of coordinated observations of magnetic fields and related activity on the active dwarf, Xi Boo A, are presented. Combining the magnetic fluxes with the linear polarization data, a simple map of the stellar active regions is constructed.

  11. Estimating PM2.5 Concentrations in Xi'an City Using a Generalized Additive Model with Multi-Source Monitoring Data

    PubMed Central

    Song, Yong-Ze; Yang, Hong-Lei; Peng, Jun-Huan; Song, Yi-Rong; Sun, Qian; Li, Yuan

    2015-01-01

    Particulate matter with an aerodynamic diameter <2.5 μm (PM2.5) represents a severe environmental problem and is of negative impact on human health. Xi'an City, with a population of 6.5 million, is among the highest concentrations of PM2.5 in China. In 2013, in total, there were 191 days in Xi’an City on which PM2.5 concentrations were greater than 100 μg/m3. Recently, a few studies have explored the potential causes of high PM2.5 concentration using remote sensing data such as the MODIS aerosol optical thickness (AOT) product. Linear regression is a commonly used method to find statistical relationships among PM2.5 concentrations and other pollutants, including CO, NO2, SO2, and O3, which can be indicative of emission sources. The relationships of these variables, however, are usually complicated and non-linear. Therefore, a generalized additive model (GAM) is used to estimate the statistical relationships between potential variables and PM2.5 concentrations. This model contains linear functions of SO2 and CO, univariate smoothing non-linear functions of NO2, O3, AOT and temperature, and bivariate smoothing non-linear functions of location and wind variables. The model can explain 69.50% of PM2.5 concentrations, with R2 = 0.691, which improves the result of a stepwise linear regression (R2 = 0.582) by 18.73%. The two most significant variables, CO concentration and AOT, represent 20.65% and 19.54% of the deviance, respectively, while the three other gas-phase concentrations, SO2, NO2, and O3 account for 10.88% of the total deviance. These results show that in Xi'an City, the traffic and other industrial emissions are the primary source of PM2.5. Temperature, location, and wind variables also non-linearly related with PM2.5. PMID:26540446

  12. Modeling of radiative properties of Sn plasmas for extreme-ultraviolet source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sasaki, Akira; Sunahara, Atsushi; Furukawa, Hiroyuki

    Atomic processes in Sn plasmas are investigated for application to extreme-ultraviolet (EUV) light sources used in microlithography. We develop a full collisional radiative (CR) model of Sn plasmas based on calculated atomic data using Hebrew University Lawrence Livermore Atomic Code (HULLAC). Resonance and satellite lines from singly and multiply excited states of Sn ions, which contribute significantly to the EUV emission, are identified and included in the model through a systematic investigation of their effect on the emission spectra. The wavelengths of the 4d-4f+4p-4d transitions of Sn{sup 5+} to Sn{sup 13+} are investigated, because of their importance for determining themore » conversion efficiency of the EUV source, in conjunction with the effect of configuration interaction in the calculation of atomic structure. Calculated emission spectra are compared with those of charge exchange spectroscopy and of laser produced plasma EUV sources. The comparison is also carried out for the opacity of a radiatively heated Sn sample. A reasonable agreement is obtained between calculated and experimental EUV emission spectra observed under the typical condition of EUV sources with the ion density and ionization temperature of the plasma around 10{sup 18} cm{sup -3} and 20 eV, respectively, by applying a wavelength correction to the resonance and satellite lines. Finally, the spectral emissivity and opacity of Sn plasmas are calculated as a function of electron temperature and ion density. The results are useful for radiation hydrodynamics simulations for the optimization of EUV sources.« less

  13. Probing the Production of Extreme-ultraviolet Late-phase Solar Flares Using the Model Enthalpy-based Thermal Evolution of Loops

    NASA Astrophysics Data System (ADS)

    Dai, Yu; Ding, Mingde

    2018-04-01

    Recent observations in extreme-ultraviolet (EUV) wavelengths reveal an EUV late phase in some solar flares that is characterized by a second peak in warm coronal emissions (∼3 MK) several tens of minutes to a few hours after the soft X-ray (SXR) peak. Using the model enthalpy-based thermal evolution of loops (EBTEL), we numerically probe the production of EUV late-phase solar flares. Starting from two main mechanisms of producing the EUV late phase, i.e., long-lasting cooling and secondary heating, we carry out two groups of numerical experiments to study the effects of these two processes on the emission characteristics in late-phase loops. In either of the two processes an EUV late-phase solar flare that conforms to the observational criteria can be numerically synthesized. However, the underlying hydrodynamic and thermodynamic evolutions in late-phase loops are different between the two synthetic flare cases. The late-phase peak due to a long-lasting cooling process always occurs during the radiative cooling phase, while that powered by a secondary heating is more likely to take place in the conductive cooling phase. We then propose a new method for diagnosing the two mechanisms based on the shape of EUV late-phase light curves. Moreover, from the partition of energy input, we discuss why most solar flares are not EUV late flares. Finally, by addressing some other factors that may potentially affect the loop emissions, we also discuss why the EUV late phase is mainly observed in warm coronal emissions.

  14. 2 CFR 376.147 - Does an exclusion from participation in Federal health care programs under Title XI of the Social...

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... Federal health care programs under Title XI of the Social Security Act affect a person's eligibility to..., Medicaid, and other Federal health care programs under Title XI of the Social Security Act, 42 U.S.C. 1320a... Federal Agency Regulations for Grants and Agreements DEPARTMENT OF HEALTH AND HUMAN SERVICES...

  15. The Dependence of Solar Flare Limb Darkening on Emission Peak Formation Temperature

    NASA Astrophysics Data System (ADS)

    Thiemann, Edward; Epp, Luke; Eparvier, Francis; Chamberlin, Phillip C.

    2017-08-01

    Solar limb effects are local brightening or darkening of an emission that depend on where in the Sun's atmosphere it forms. Near the solar limb, optically thick (thin) emissions will darken (brighten) as the column of absorbers (emitters) along the line-of-sight increases. Note that in limb brightening, emission sources are re-arranged whereas in limb darkening they are obscured. Thus, only limb darkening is expected to occur in disk integrated observations. Limb darkening also results in center-to-limb variations of disk-integrated solar flare spectra, with important consequences for how planetary atmospheres are affected by flares. Flares are typically characterized by their flux in the optically thin 0.1-0.8 nm band measured by the X-ray Sensor (XRS) on board the Geostationary Operational Environmental Satellite (GOES). On the other hand, Extreme Ultraviolet (EUV) line emissions can limb darken because they are sensitive to resonant scattering, resulting in a flare's location on the solar disk controlling the amount of ionizing radiation that reaches a planet. For example, an X-class flare originating from disk center may significantly heat a planet's thermosphere, whereas the same flare originating near the limb may have no effect because much of the effective emissions are scattered in the solar corona.To advance the relatively poor understanding of flare limb darkening, we use over 300 M-class or larger flares observed by the EUV Variability Experiment (EVE) onboard the Solar Dynamics Observatory (SDO) to characterize limb darkening as a function of emission peak formation temperature, Tf. For hot coronal emissions (Tf>2 MK), these results show a linear relationship between the degree of limb darkening and Tf where lines with Tf=2 MK darken approximately 7 times more than lines with Tf=16 MK. Because the extent of limb darkening is dependent on the height of the source plasma, we use simple Beer-Lambert radiative transfer analysis to interpret these results

  16. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  17. A photoionization model for the optical line emission from cooling flows

    NASA Technical Reports Server (NTRS)

    Donahue, Megan; Voit, G. M.

    1991-01-01

    The detailed predictions of a photoionization model previously outlined in Voit and Donahue (1990) to explain the optical line emission associated with cooling flows in X-ray emitting clusters of galaxies are presented. In this model, EUV/soft X-ray radiation from condensing gas photoionizes clouds that have already cooled. The energetics and specific consequences of such a model, as compared to other models put forth in the literature is discussed. Also discussed are the consequences of magnetic fields and cloud-cloud shielding. The results illustrate how varying the individual column densities of the ionized clouds can reproduce the range of line ratios observed and strongly suggest that the emission-line nebulae are self-irradiated condensing regions at the centers of cooling flows.

  18. CCD imaging system for the EUV solar telescope

    NASA Astrophysics Data System (ADS)

    Gong, Yan; Song, Qian; Ye, Bing-Xun

    2006-01-01

    In order to develop the detector adapted to the space solar telescope, we have built a CCD camera system capable of working in the extra ultraviolet (EUV) band, which is composed of one phosphor screen, one intensified system using a photocathode/micro-channel plate(MCP)/ phosphor, one optical taper and one chip of front-illuminated (FI) CCD without screen windows. All of them were stuck one by one with optical glue. The working principle of the camera system is presented; moreover we have employed the mesh experiment to calibrate and test the CCD camera system in 15~24nm, the position resolution of about 19 μm is obtained at the wavelength of 17.1nm and 19.5nm.

  19. The initial data products from the EUVE software - A photon's journey through the End-to-End System

    NASA Technical Reports Server (NTRS)

    Antia, Behram

    1993-01-01

    The End-to-End System (EES) is a unique collection of software modules created for use at the Center for EUV Astrophysics. The 'pipeline' is a shell script which executes selected EES modules and creates initial data products: skymaps, data sets for individual sources (called 'pigeonholes') and catalogs of sources. This article emphasizes the data from the all-sky survey, conducted between July 22, 1992 and January 21, 1993. A description of each of the major data products will be given and, as an example of how the pipeline works, the reader will follow a photon's path through the software pipeline into a pigeonhole. These data products are the primary goal of the EUVE all-sky survey mission, and so their relative importance for the follow-up science will also be discussed.

  20. Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming, and selective stripping

    NASA Astrophysics Data System (ADS)

    Mao, Ming; Lazzarino, Frederic; De Schepper, Peter; De Simone, Danilo; Piumi, Daniele; Luong, Vinh; Yamashita, Fumiko; Kocsis, Michael; Kumar, Kaushik

    2017-03-01

    Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist ( 12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria's metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.