Sample records for ymno3 films deposited

  1. Ferroelectric properties of YMnO3 epitaxial films for ferroelectric-gate field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ito, Daisuke; Fujimura, Norifumi; Yoshimura, Takeshi; Ito, Taichiro

    2003-05-01

    Ferroelectric properties of YMnO3 epitaxial films were studied. The ferroelectric properties of epitaxially grown (0001) YMnO3 films on (111)Pt/(0001)sapphire (epi-YMO/Pt) with an excellent crystallinity were compared to (0001)-oriented poly crystalline films on (111)Pt/ZrO2/SiO2/Si. The epi-YMO/Pt had saturated polarization-electric-field (P-E) hysteresis loops, with a remanent polarization (Pr) of 1.7 μC/cm2 and a coercive field (Ec) of 80 kV/cm. The fatigue property showed no degradation up to 1010 measured cycles. These results suggested that the YMnO3 epitaxial films were suitable ferroelectric material for the ferroelectric-gate field-effect transistors. Consequently, epitaxially grown (0001)YMnO3 films on epitaxial Y2O3/Si (epi-YMO/Si) were fabricated. The epi-YMO/Si capacitor had almost equivalent crystallinity compared to epi-YMO/Pt. It was recognized that the epi-YMO/Si capacitor exhibited the ferroelectric type C-V hysteresis loop with the width of the memory window of 4.8 V, which was almost identical to the value of twice coercive voltage of the P-E hysteresis loops of the epi-YMO/Pt. A retention time exceeding 104 s was obtained in the epi-YMO/Si capacitor.

  2. Ferroelectric-ferromagnetic coupling in hexagonal YMnO3 film

    NASA Astrophysics Data System (ADS)

    Cheng, Shaobo; Li, Menglei; Deng, Shiqing; Bao, Shanyong; Tang, Peizhe; Duan, Wenhui; Ma, Jing; Nan, Cewen; Zhu, Jing

    Simultaneously achieving ferroelectricity and ferromagnetism in a single phase material is an important research topic in recent decades. Here, we demonstrate that with the modulation of oxygen vacancies, the ferroelectric-ferromagnetic coupling can be realized in the typical hexagonal manganite: YMnO3. The first-principal calculations are used to reveal the importance of oxygen vacancies on the alterations of magnetic behaviors for YMnO3. In order to obtain net magnetic moments, the on-top oxygen vacancies of MnO5 clusters should be created, thus the initial 2D spin frustration structure of Mn ions will be broken. By growing YMnO3 film on Al2O3 substrate, large in-plane compressive strain is induced, thus we can experimentally realize the on-top oxygen vacancies. With the help of SQUID and spherical aberration corrected TEM, the magnetic moments are experimentally measured and the correlations between the crystal structures and magnetic properties can be clearly understood. Our findings may pave a way for future applications of single phase multiferroic materials. National 973 Project of China (2015CB654902, 2011CB606405) and Chinese National Natural Science Foundation (11374174, 51390471).

  3. Topochemical Reduction of YMnO3 into a Composite Structure.

    PubMed

    Kabbour, Houria; Gauthier, Gilles H; Tessier, Franck; Huvé, Marielle; Pussacq, Tanguy; Roussel, Pascal; Hayward, Michael A; Moreno B, Zulma L; Marinova, Maya; Colmont, Marie; Colis, Silviu; Mentré, Olivier

    2017-07-17

    Topochemical modification methods for solids have shown great potential in generating metastable structures inaccessible through classical synthetic routes. Here, we present the enhanced topotactic reduction of the multiferroic compound YMnO 3 . At moderate temperature in ammonia flow, the most reduced YMnO 3-δ (δ = 0.5) phase could be stabilized. XRD, PND, and HREM results show that phase separation occurs into two intimately intergrown layered sublattices with nominal compositions ∞ [YMn 2+ O 2+x ] (1-2x)+ and ∞ [YMn 2+ O 3-x ] (1-2x)- containing versatile Mn 2+ coordinations. The former sublattice shows original AA stacking between Mn layers, while AB stacking in the latter results from oxygen removal from the parent YMnO 3 crystal structure.

  4. Improvement of oxygen storage properties of hexagonal YMnO3+δ by microstructural modifications

    NASA Astrophysics Data System (ADS)

    Klimkowicz, Alicja; Świerczek, Konrad; Kobayashi, Shuntaro; Takasaki, Akito; Allahyani, Wadiah; Dabrowski, Bogdan

    2018-02-01

    Hexagonal YMnO3+δ is shown to be an effective temperature-swing oxygen storage material working at low temperatures (150-300 °C) in pure oxygen if adequately processed or obtained having sub-micrometer primary particles with limited number of big agglomerates. A substantial increase of a practical oxygen storage capacity is observed for a sample synthesized by a solid-state method, which was subjected to a high impact mechanical milling. However, even better properties can be achieved for the sol-gel technique-produced YMnO3+δ. The reversible incorporation and release of the oxygen is associated with a structural transformation between stoichiometric YMnO3 (Hex0) phase and a mixture of oxygen-loaded Hex1 with δ ≈ 0.28 and Hex2 with δ ≈ 0.41 phases, as documented by in situ structural X-ray diffraction studies, supported by thermogravimetric experiments. Contrary to HoMnO3+δ, it was not possible to obtain single phase Hex1 material in oxygen, as well as to oxidize YMnO3 in air. Results confirm crucial role of the ionic size of rare earth element Ln on the oxygen storage-related properties and stability of the oxygen-loaded LnMnO3+δ phases.

  5. Magnetostriction of Hexagonal HoMnO3 and YMnO3 Single Crystals

    NASA Astrophysics Data System (ADS)

    Pavlovskii, N. S.; Dubrovskii, A. A.; Nikitin, S. E.; Semenov, S. V.; Terent'ev, K. Yu.; Shaikhutdinov, K. A.

    2018-03-01

    We report on the magnetostriction of hexagonal HoMnO3 and YMnO3 single crystals in a wide range of applied magnetic fields (up to H = 14 T) at all possible combinations of the mutual orientations of magnetic field H and magnetostriction Δ L/L. The measured Δ L/L( H, T) data agree well with the magnetic phase diagram of the HoMnO3 single crystal reported previously by other authors. It is shown that the nonmonotonic behavior of magnetostriction of the HoMnO3 crystal is caused by the Ho3+ ion; the magnetic moment of the Mn3+ ion parallel to the hexagonal crystal axis. The anomalies established from the magnetostriction measurements of HoMnO3 are consistent with the phase diagram of these compounds. For the isostructural YMnO3 single crystal with a nonmagnetic rare-earth ion, the Δ L/L( H, T) dependences are described well by a conventional quadratic law in a wide temperature range (4-100 K). In addition, the magnetostriction effect is qualitatively estimated with regard to the effect of the crystal electric field on the holmium ion.

  6. Ferromagnetic interactions in chromium (III) doped YMnO3

    NASA Astrophysics Data System (ADS)

    Thakur, Rajesh K.; Thakur, Rasna; Kaurav, N.; Okram, G. S.; Gaur, N. K.

    2016-05-01

    Both of the reported compounds with compositions YMn1-xCrxO3 (x = 0.1 and 0.2) are synthesized by using the conventional solid state reaction method and their magnetic properties are analyzed vigilantly. The XRD pattern reveals the hexagonal structure of the reported compounds with space group P63cm (25-1079). The in-depth analysis of the magnetic measurements reveals the enhancement in the ferromagnetic character with Cr doping in YMnO3 compounds. The observed enhancement in the ferromagnetism is found to be due to the increased double exchange interactions among the Cr3+ and Mn3+ ions with Cr doping.

  7. Low temperature magnetization and anomalous high temperature dielectric behaviour of (1-x) YMnO3/xZnFe2O4 composites

    NASA Astrophysics Data System (ADS)

    Kumar, Virendra; Gaur, Anurag

    2018-04-01

    We synthesized YMnO3 and ZnFe2O4 composites, (1-x)YMnO3/x(ZnFe2O4) with x = 0, 0.05, 0.10, and 0.15 by high temperature sintering. X-ray diffraction (XRD) patterns indicate the successful formation of composites. Weak ferromagnetism is manifested below Néel temperature (TN) for pristine YMnO3, according to (M-H) study performed at 10 K. For (1-x)YMnO3/xZnFe2O4 (x = 0.05, 0.10, 0.15) a thin coercivity is observed in all compositions, due to short range magnetic ordering at low temperature after the insertion of ZnFe2O4. For pristine YMnO3 explicit divarication between FC-ZFC curves is observed, with crimps observed in both FC and ZFC curves at 75 K, which is the TN of YMnO3. For 1-x(YMnO3)/x ZnFe2O4 composites (x = 0.05, 0.10, 0.15) crimps are perceived only in ZFC curves at slightly varying values of 39.8, 42.32 and 45.63 K respectively. Anomalous peaks are observed in high temperature dielectric curves above 400 K for 1-x(YMnO3)/xZnFe2O4 (x = 0, 0.05, 0.10, 0.15) composites due to Maxwell-Wagner relaxation effect.

  8. Enhanced Multiferroic Properties of YMnO3 Ceramics Fabricated by Spark Plasma Sintering Along with Low-Temperature Solid-State Reaction

    PubMed Central

    Wang, Meng; Wang, Ting; Song, Shenhua; Ravi, Muchakayala; Liu, Renchen; Ji, Shishan

    2017-01-01

    Based on precursor powders with a size of 200–300 nm prepared by the low-temperature solid-state reaction method, phase-pure YMnO3 ceramics are fabricated using spark plasma sintering (SPS). X-ray diffraction (XRD) and scanning electron microscopy (SEM) reveal that the high-purity YMnO3 ceramics can be prepared by SPS at 1000 °C for 5 minutes with annealing at 800 °C for 2 h. The relative density of the sample is as high as 97%, which is much higher than those of the samples sintered by other methods. The present dielectric and magnetic properties are much better than those of the samples fabricated by conventional methods and SPS with ball-milling precursors, and the ferroelectric loops at room temperature can be detected. These findings indicate that the YMnO3 ceramics prepared by the low temperature solid reaction method and SPS possess excellent dielectric lossy ferroelectric properties at room temperature, and magnetic properties at low temperature (10 K), making them suitable for potential multiferroic applications. PMID:28772832

  9. A Generalizable Multigram Synthesis and Mechanistic Investigation of YMnO 3 Nanoplates

    DOE PAGES

    McBean, Coray L.; Lewis, Crystal S.; Tiano, Amanda L.; ...

    2017-05-05

    We present that the reproducible gram-scale synthesis of crystalline nanoscale multiferroics is critical for the development of the next generation of commercially relevant electronic devices. Of the subset of multiferroic materials, yttrium manganese oxide (YMnO 3) is highly attractive, because of its large magneto-electric coupling constants and the recent observation of giant polarization under pressure in these types of rare earth manganites. Utilizing a unique synthetic methodology that combines metal–oleate thermal degradation with the use of a molten salt protocol, we were able to reproducibly generate monodisperse distributions of morphologically distinctive yttrium manganese oxides. Specifically, using a molten NaCl flux,more » we were able to synthesize phase-pure, single-crystalline hexagonal YMnO 3 nanoplates, measuring 441 ± 241 nm in diameter and 46 ± 6 nm in height. Moreover, these nanoplates gave rise to multiferroic behavior, which was confirmed by the observation of a ferroelectric phase from a combination of high-resolution TEM (HRTEM) and selected-area electron diffraction (SAED) analysis. Magnetic measurements are consistent with the onset of a spin glass state below 5 K. To highlight the generalizability of the synthetic method we have developed herein, as a demonstration of principle, we have also successfully used the same protocol to produce nanocubes of lanthanum aluminum oxide (LaAlO 3).« less

  10. Electronic and crystal structure changes induced by in-plane oxygen vacancies in multiferroic YMnO 3

    DOE PAGES

    Cheng, Shaobo; Meng, Qingping; Li, Mengli; ...

    2016-02-08

    Here, the widely spread oxygen vacancies (V O) in multiferroic materials can strongly affect their physical properties. However, their exact influence has rarely been identified in hexagonal manganites. Here, with the combined use of transmission electron microscopy (TEM) and first-principles calculations, we have systematically studied the electronic and crystal structure modifications induced by V O located at the same Mn atomic plane (in-plane V O). Our TEM experiments reveal that the easily formed in-plane V O not only influence the electronic structure of YMnO 3 but alter the in-plane Wyckoff positions of Mn ions, which may subsequently affect the intraplanemore » and interplane exchange interaction of Mn ions. The ferroelectricity is also impaired due to the introduction of V O. Further calculations confirm these electronic and structural changes and modifications. Our results indicate that the electronic and crystal structure of YMnO 3 can be manipulated by the creation of V O.« less

  11. Oxygen-storage behavior and local structure in Ti-substituted YMnO3

    NASA Astrophysics Data System (ADS)

    Levin, I.; Krayzman, V.; Vanderah, T. A.; Tomczyk, M.; Wu, H.; Tucker, M. G.; Playford, H. Y.; Woicik, J. C.; Dennis, C. L.; Vilarinho, P. M.

    2017-02-01

    Hexagonal manganates RMnO3 (R=Y, Ho, Dy) have been recently shown to exhibit oxygen-storage capacities promising for three-way catalysts, air-separation, and related technologies. Here, we demonstrate that Ti substitution for Mn can be used to chemically tune the oxygen-breathing properties of these materials towards practical applications. Specifically, Y(Mn1-xTix)O3 solid solutions exhibit facile oxygen absorption/desorption via reversible Ti3+↔Ti4+ and Mn3+↔Mn4+ reactions already in ambient air at ≈400 °C and ≈250 °C, respectively. On cooling, the oxidation of both cations is accompanied by oxygen uptake yielding a formula YMn3+1-x-yMn4+yTi4+xO3+δ. The presence of Ti promotes the oxidation of Mn3+ to Mn4+, which is almost negligible for YMnO3 in air, thereby increasing the uptake of oxygen beyond that required for a given Ti4+ concentration. The reversibility of the redox reactions is limited by sluggish kinetics; however, the oxidation process continues, if slowly, even at room temperature. The extra oxygen atoms are accommodated by the large interstices within a triangular lattice formed by the [MnO5] trigonal bipyramids. According to bond distances from Rietveld refinements using the neutron diffraction data, the YMnO3 structure features under-bonded Mn and even more severely under-bonded oxygen atoms that form the trigonal bases of the [MnO5] bipyramids. The tensile bond strain around the 5-fold coordinated Mn site and the strong preference of Ti4+(and Mn4+) for higher coordination numbers likely provide driving forces for the oxidation reaction. Reverse Monte Carlo refinements of the local atomic displacements using neutron total scattering revealed how the excess oxygen atoms are accommodated in the structure by correlated local displacements of the host atoms. Large displacements of the under-bonded host oxygen atoms play a key part in this lattice-relaxation process, facilitating reversible exchange of significant amounts of oxygen with

  12. The magnetic transition temperature tuned by strain in YMn0.9Ru0.1O3 thin films

    NASA Astrophysics Data System (ADS)

    Yang, L. P.; Zhang, A. M.; Wang, K.; Wu, X. S.; Zhai, Z. Y.

    2018-05-01

    Epitaxial orthorhombic YMn0.9Ru0.1O3 films with different thickness have been grown on (001)-SrTiO3 substrates by pulsed laser deposition (PLD). The crystal structure is well investigated by X-ray Diffraction. It is found that the out-of-plane parameter c slowly increases with decreasing thickness of samples because of the tensile strain between the films and substrates along c axis. The lengths of in-plane Mn-O bonds expand with the enhancement of strains, which is proved by Raman scatting. The magnetic measurements reveal that there exist two magnetic transition temperatures TN1 and TN2. The TN1 is close to that of orthorhombic YMnO3 bulk. With decreasing thickness of the films, TN1 keeps almost constant because of the small stain along c-axis. TN2, however, obviously increases from 117 K to 134 K, which could be related to the expansion of in-plane Mn-O bonds. Results show that the magnetic transition temperature of YMn0.9Ru0.1O3 films can be sensitively manipulated by the strain of the films.

  13. Oxygen-storage behavior and local structure in Ti-substituted YMnO 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Levin, I.; Krayzman, V.; Vanderah, T. A.

    Hexagonal manganates RMnO3 (R=Y, Ho, Dy) have been recently shown to exhibit oxygen-storage capacities promising for three-way catalysts, air-separation, and related technologies. Here, we demonstrate that Ti substitution for Mn can be used to chemically tune the oxygen-breathing properties of these materials towards practical applications. Specifically, Y(Mn1-xTix)O3 solid solutions exhibit facile oxygen absorption/desorption via reversible Ti3+↔Ti4+ and Mn3+↔Mn4+ reactions already in ambient air at ≈400 °C and ≈250 °C, respectively. On cooling, the oxidation of both cations is accompanied by oxygen uptake yielding a formula YMn3+1-x-yMn4+yTi4+xO3+δ. The presence of Ti promotes the oxidation of Mn3+ to Mn4+, which is almostmore » negligible for YMnO3 in air, thereby increasing the uptake of oxygen beyond that required for a given Ti4+ concentration. The reversibility of the redox reactions is limited by sluggish kinetics; however, the oxidation process continues, if slowly, even at room temperature. The extra oxygen atoms are accommodated by the large interstices within a triangular lattice formed by the [MnO5] trigonal bipyramids. According to bond distances from Rietveld refinements using the neutron diffraction data, the YMnO3 structure features under-bonded Mn and even more severely under-bonded oxygen atoms that form the trigonal bases of the [MnO5] bipyramids. The tensile bond strain around the 5-fold coordinated Mn site and the strong preference of Ti4+(and Mn4+) for higher coordination numbers likely provide driving forces for the oxidation reaction. Reverse Monte Carlo refinements of the local atomic displacements using neutron total scattering revealed how the excess oxygen atoms are accommodated in the structure by correlated local displacements of the host atoms. Large displacements of the under-bonded host oxygen atoms play a key part in this lattice-relaxation process, facilitating reversible exchange of significant amounts of oxygen

  14. Structural and gasochromic properties of WO3 films prepared by reactive sputtering deposition

    NASA Astrophysics Data System (ADS)

    Yamamoto, S.; Hakoda, T.; Miyashita, A.; Yoshikawa, M.

    2015-02-01

    The effects of deposition temperature and film thickness on the structural and gasochromic properties of tungsten trioxide (WO3) films used for the optical detection of diluted cyclohexane gas have been investigated. The WO3 films were prepared on SiO2 substrates by magnetron sputtering, with the deposition temperature ranging from 300 to 550 °C in an Ar and O2 gas mixture. The films were characterized by scanning electron microscopy (SEM), x-ray diffraction (XRD), and Rutherford backscattering spectroscopy (RBS). The gasochromic properties of the WO3 films, coated with a catalytic Pt layer, were examined by exposing them to up to 5% cyclohexane in N2 gas. It was found that (001)-oriented monoclinic WO3 films, with a columnar structure, grew at deposition temperatures between 400 and 450 °C. Furthermore, (010)-oriented WO3 films were preferably formed at deposition temperatures higher than 500 °C. The gasochromic characterization of the Pt/WO3 films revealed that (001)-oriented WO3 films, with cauliflower-like surface morphology, were appropriate for the optical detection of cyclohexane gas.

  15. Chemical bath deposition of Cu{sub 3}BiS{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deshmukh, S.G., E-mail: deshmukhpradyumn@gmail.com; Vipul, Kheraj, E-mail: vipulkheraj@gmail.com; Panchal, A.K.

    2016-05-06

    First time, copper bismuth sulfide (Cu{sub 3}BiS{sub 3}) thin films were synthesized on the glass substrate using simple, low-cost chemical bath deposition (CBD) technique. The synthesized parameters such as temperature of bath, pH and concentration of precursors were optimized for the deposition of uniform, well adherent Cu{sub 3}BiS{sub 3} thin films. The optical, surface morphology and structural properties of the Cu{sub 3}BiS{sub 3} thin films were studied using UV-VIS-NIR spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD). The as- synthesized Cu{sub 3}BiS{sub 3} film exhibits a direct band gap 1.56 to 1.58 eV having absorption coefficient of the ordermore » of 10{sup 5} cm{sup −1}. The XRD declares the amorphous nature of the films. SEM images shows films were composed of close-packed fine spherical nanoparticles of 70-80 nm in diameter. The chemical composition of the film was almost stoichiometric. The optical study indicates that the Cu{sub 3}BiS{sub 3} films can be applied as an absorber layer for thin film solar cells.« less

  16. Magnetic transition in Y-site doped multiferroic YMnO3

    NASA Astrophysics Data System (ADS)

    Thakur, Rajesh K.; Thakur, Rasna; Gaur, N. K.

    2016-05-01

    We have synthesized polycrystalline hexagonal Y1-xSrxMnO3 (x=0.02, 0.1) compounds by using conventional solid state reaction method. The detailed structural investigations are carried out by using XRD studies which reveals the single phase formation of the reported compounds with hexagonal structure and space group P63cm (JCPDS: 25-1079). Further the XRD data of reported compounds were analyzed by RIETVELD (FULLPROFF) method which shows the decrease in the lattice parameter with increasing concentration of divalent strontium to Y-site. The observed pointed kinks in the specific heat study are indicative of the probable coupling in between the electric and magnetic orders in this class of materials. The reported systematic specific heat studies shows that the antiferromagnetic (AFM) transition temperature (TN) shifts to higher value with increasing concentration of Sr2+ ion in the YMnO3 compound which is attributed to the enhanced lattice contribution to the specific heat in the this compound. The present compound shows the independence of specific heat and magnetic transition temperature with applied magnetic field of 8T and 12T.

  17. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  18. LPG and NH3 sensing characteristics of DC electrochemically deposited Co3O4 films

    NASA Astrophysics Data System (ADS)

    Shelke, P. N.; Khollam, Y. B.; Gunjal, S. D.; Koinkar, P. M.; Jadkar, S. R.; Mohite, K. C.

    2015-03-01

    Present communication reports the LPG and NH3 sensing properties of Co3O4 films prepared on throughly cleaned stainless steel (SS) and copper (CU) substrates by using DC electrochemical deposition method followed by air annealing at 350°C/2 h. The resultant films are characterized by using X-ray diffraction (XRD), Raman spectroscopy and scanning electron microscopy (SEM). The LPG and NH3 gas sensing properties of these films are measured at room temperature (RT) by using static gas sensing system at different concentrations of test gas ranging from 25 ppm to 350 ppm. The XRD and Raman spectroscopy studies clearly indicated the formation of pure cubic spinel Co3O4 in all films. The LPG and NH3 gas sensing properties of films showed (i) the increase in sensitivity factor (S.F.) with gas concentrations and (ii) more sensibility to LPG as compared to NH3 gas. In case of NH3 gas (conc. 150 ppm) and LPG gas (conc. 60 ppm) sensing, the maximum S.F. = 270 and 258 are found for the films deposited on CU substrates, respectively. For all films, the response time (3-5 min.) is found to be much higher than the recovery time (30-50 sec). For all films, the response and recovery time are found to be higher for LPG as compared to NH3 gas. Further, repeatability-reproducibility in gas sensing properties is clearly noted by analysis of data for number of cycles recorded for all films from different set of depositions.

  19. Characterization of MAPLE deposited WO3 thin films for electrochromic applications

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S. I.; Stefan, N.; Szilágyi, I. M.; Mihailescu, N.; Visan, A.; Mihailescu, I. N.; Stan, G. E.; Besleaga, C.; Iliev, M. T.; Gesheva, K. A.

    2017-01-01

    Tungsten trioxide (WO3) is a widely studied material for electrochromic applications. The structure, morphology and optical properties of WO3 thin films, grown by matrix assisted pulsed laser evaporation (MAPLE) from monoclinic WO3 nano-sized particles, were investigated for their possible application as electrochromic layers. A KrF* excimer (λ=248 nm, ζFWHM=25 ns) laser source was used in all experiments. The MAPLE deposited WO3 thin films were studied by atomic force microscopy (AFM), grazing incidence X-ray diffraction (GIXRD) and Fourier transform infrared spectroscopy (FTIR). Cyclic voltammetry measurements were also performed, and the coloring and bleaching were observed. The morpho-structural investigations disclosed the synthesis of single-phase monoclinic WO3 films consisting of crystalline nano-grains embedded in an amorphous matrix. All thin films showed good electrochromic properties, thus validating application of the MAPLE deposition technique for the further development of electrochromic devices.

  20. Effect of Annealing Temperature on Flowerlike Cu3BiS3 Thin Films Grown by Chemical Bath Deposition

    NASA Astrophysics Data System (ADS)

    Deshmukh, S. G.; Patel, S. J.; Patel, K. K.; Panchal, A. K.; Kheraj, Vipul

    2017-10-01

    For widespread application of thin-film photovoltaic solar cells, synthesis of inexpensive absorber material is essential. In this work, deposition of ternary Cu3BiS3 absorber material, which contains abundant and environmentally benign elements, was carried out on glass substrate. Flowerlike Cu3BiS3 thin films with nanoflakes as building block were formed on glass substrate by chemical bath deposition. These films were annealed at 573 K and 673 K in sulfur ambient for structural improvement. Their structure was characterized using Raman spectroscopy, as well as their surface morphological and optical properties. The x-ray diffraction profile of as-deposited Cu3BiS3 thin film revealed amorphous structure, which transformed to orthorhombic phase after annealing. The Raman spectrum exhibited a characteristic peak at 290 cm-1. Scanning electron microscopy of as-deposited Cu3BiS3 film confirmed formation of nanoflowers with diameter of around 1052 nm. Wettability testing of as-deposited Cu3BiS3 thin film demonstrated hydrophobic nature, which became hydrophilic after annealing. The measured ultraviolet-visible (UV-Vis) absorption spectra of the Cu3BiS3 thin films gave an absorption coefficient of 105 cm-1 and direct optical bandgap of about 1.42 eV after annealing treatment. Based on all these results, such Cu3BiS3 material may have potential applications in the photovoltaic field as an absorber layer.

  1. Investigation of the optical property and structure of WO3 thin films with different sputtering depositions

    NASA Astrophysics Data System (ADS)

    Chen, Hsi-Chao; Jan, Der-Jun; Chen, Chien-Han; Huang, Kuo-Ting; Lo, Yen-Ming; Chen, Sheng-Hui

    2011-09-01

    The purpose of this research was to compare the optical properties and structure of tungsten oxide (WO3) thin films that was deposited by different sputtering depositions. WO3 thin films deposited by two different depositions of direct current (DC) magnetron sputtering and pulsed DC sputtering. A 99.95% WO3 target was used as the starting material for these depositions. These WO3 thin films were deposited on the ITO glass, PET and silicon substrate by different ratios of oxygen and argon. A shadow moiré interferometer would be introduced to measure the residual stress for PET substrate. RF magnetron sputtering had the large residual stress than the other's depositions. A Raman spectrum could exhibit the phase of oxidation of WO3 thin film by different depositions. At the ratio of oxygen and argon was about 1:1, and the WO3 thin films had the best oxidation. However, it was important at the change of the transmittance (ΔT = Tbleached - Tcolored) between the coloring and bleaching for the smart window. Therefore, we also found the WO3 thin films had the large variation of transmittance between the coloring and bleaching at the gas ratios of oxygen and argon of 1:1.

  2. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    NASA Astrophysics Data System (ADS)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  3. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  4. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  5. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  6. Highly conductive homoepitaxial Si-doped Ga2O3 films on (010) β-Ga2O3 by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Leedy, Kevin D.; Chabak, Kelson D.; Vasilyev, Vladimir; Look, David C.; Boeckl, John J.; Brown, Jeff L.; Tetlak, Stephen E.; Green, Andrew J.; Moser, Neil A.; Crespo, Antonio; Thomson, Darren B.; Fitch, Robert C.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-07-01

    Si-doped Ga2O3 thin films were fabricated by pulsed laser deposition on semi-insulating (010) β-Ga2O3 and (0001) Al2O3 substrates. Films deposited on β-Ga2O3 showed single crystal, homoepitaxial growth as determined by high resolution transmission electron microscopy and x-ray diffraction. Corresponding films deposited on Al2O3 were mostly single phase, polycrystalline β-Ga2O3 with a preferred (20 1 ¯ ) orientation. An average conductivity of 732 S cm-1 with a mobility of 26.5 cm2 V-1 s-1 and a carrier concentration of 1.74 × 1020 cm-3 was achieved for films deposited at 550 °C on β-Ga2O3 substrates as determined by Hall-Effect measurements. Two orders of magnitude improvement in conductivity were measured using native substrates versus Al2O3. A high activation efficiency was obtained in the as-deposited condition. The high carrier concentration Ga2O3 thin films achieved by pulsed laser deposition enable application as a low resistance ohmic contact layer in β-Ga2O3 devices.

  7. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    NASA Astrophysics Data System (ADS)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  8. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    PubMed

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  9. Pulsed Laser Deposition of High Temperature Protonic Films

    NASA Technical Reports Server (NTRS)

    Dynys, Fred W.; Berger, M. H.; Sayir, Ali

    2006-01-01

    Pulsed laser deposition has been used to fabricate nanostructured BaCe(0.85)Y(0.15)O3- sigma) films. Protonic conduction of fabricated BaCe(0.85)Y(0.15)O(3-sigma) films was compared to sintered BaCe(0.85)Y(0.15)O(3-sigma). Sintered samples and laser targets were prepared by sintering BaCe(0.85)Y(0.15)O(3-sigma) powders derived by solid state synthesis. Films 1 to 8 micron thick were deposited by KrF excimer laser on porous Al2O3 substrates. Thin films were fabricated at deposition temperatures of 700 to 950 C at O2 pressures up to 200 mTorr using laser pulse energies of 0.45 - 0.95 J. Fabricated films were characterized by X-ray diffraction, electron microscopy and electrical impedance spectroscopy. Single phase BaCe(0.85)Y(0.15)O(3-sigma) films with a columnar growth morphology are observed with preferred crystal growth along the [100] or [001] direction. Results indicate [100] growth dependence upon laser pulse energy. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C to 900 C. Electrical conduction behavior was dependent upon film deposition temperature. Maximum conductivity occurs at deposition temperature of 900 oC; the electrical conductivity exceeds the sintered specimen. All other deposited films exhibit a lower electrical conductivity than the sintered specimen. Activation energy for electrical conduction showed dependence upon deposition temperature, it varied

  10. Scavenging of oxygen from SrTiO3 by metals and its implications for oxide thin film deposition

    NASA Astrophysics Data System (ADS)

    Posadas, Agham; Kormondy, Kristy; Guo, Wei; Ponath, Patrick; Kremer, Jacqueline; Hadamek, Tobias; Demkov, Alexander

    SrTiO3 is a widely used substrate for the growth of other functional oxide thin films. However, SrTiO3 loses oxygen very easily during oxide thin film deposition even under relatively high oxygen pressures. In some cases, there will be an interfacial layer of oxygen-deficient SrTiO3 formed at the interface with the deposited oxide film, depending on the metals present in the film. By depositing a variety of metals layer by layer and measuring the evolution of the core level spectra of both the deposited metal and SrTiO3 using x-ray photoelectron spectroscopy, we show that there are three distinct types of behavior that occur for thin metal films on SrTiO3. We discuss the implications of these types of behavior for the growth of complex oxide thin films on SrTiO3, and which oxide thin films are expected to produce an interfacial oxygen-deficient layer depending on their elemental constituents.

  11. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  12. Magnetic ground state and magnon-phonon interaction in multiferroic h -YMnO3

    NASA Astrophysics Data System (ADS)

    Holm, S. L.; Kreisel, A.; Schäffer, T. K.; Bakke, A.; Bertelsen, M.; Hansen, U. B.; Retuerto, M.; Larsen, J.; Prabhakaran, D.; Deen, P. P.; Yamani, Z.; Birk, J. O.; Stuhr, U.; Niedermayer, Ch.; Fennell, A. L.; Andersen, B. M.; Lefmann, K.

    2018-04-01

    Inelastic neutron scattering has been used to study the magnetoelastic excitations in the multiferroic manganite hexagonal YMnO3. An avoided crossing is found between magnon and phonon modes close to the Brillouin zone boundary in the (a ,b ) plane. Neutron polarization analysis reveals that this mode has mixed magnon-phonon character. An external magnetic field along the c axis is observed to cause a linear field-induced splitting of one of the spin-wave branches. A theoretical description is performed, using a Heisenberg model of localized spins, acoustic phonon modes, and a magnetoelastic coupling via the single-ion magnetostriction. The model quantitatively reproduces the dispersion and intensities of all modes in the full Brillouin zone, describes the observed magnon-phonon hybridized modes, and quantifies the magnetoelastic coupling. The combined information, including the field-induced magnon splitting, allows us to exclude several of the earlier proposed models and point to the correct magnetic ground state symmetry, and provides an effective dynamic model relevant for the multiferroic hexagonal manganites.

  13. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  14. Pulsed deposition of silicate films

    NASA Astrophysics Data System (ADS)

    He, W.; Solanki, R.; Conley, J. F.; Ono, Y.

    2003-09-01

    A sequential pulsed process is utilized for deposition of nonstoichiometric silicate films without employing an oxidizing agent. The metal precursors were HfCl4, AlCl3, and ZrCl4, as well as Hf(NO3)4 and the silicon source was tris(tert-butoxy)silanol. Unlike atomic layer deposition, the growth per cycle was several monolayers thick, where the enhancement in growth was due to a catalytic reaction. The bulk and electrical properties of these films are similar to those of silicon dioxide. Silicon carbide devices coated with these films show good insulating characteristics.

  15. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  16. Emergence of ferromagnetism in antiferromagnetic TbMnO3 by epitaxial strain

    NASA Astrophysics Data System (ADS)

    Marti, X.; Skumryev, V.; Ferrater, C.; García-Cuenca, M. V.; Varela, M.; Sánchez, F.; Fontcuberta, J.

    2010-05-01

    We show that in oxide thin films of spiral antiferromagnetic orthorhombic TbMnO3, ferromagnetism emerges resulting from epitaxially induced strain. The unit cell volume can be tuned (contracting up to a 2%) by varying thickness and deposition conditions; it is found that the ferromagnetic response correlates with the unit cell deformation. Such effect of strain on the magnetic properties turns out to be similar to that occurring in collinear orthorhombic antiferromagnets such as YMnO3. Owing to the intimate relationship between magnetic order and ferroelectricity in TbMnO3 these results may provide a new route to induce magnetoelectric coupling and tailor their ferroelectric response.

  17. Synthesis of BiFeO3 thin films by chemical solution deposition - Structural and magnetic studies

    NASA Astrophysics Data System (ADS)

    Angappane, S.; Kambhala, Nagaiah

    2012-06-01

    BiFeO3 thin films were deposited on Si (100) substrates by chemical solution deposition. A precursor solution of bismuth acetate and iron acetylacetonate dissolved in distilled water and acetic acid was spin coated on to silicon substrates at ambient conditions, followed by drying and annealing at 650 °C. The films were characterized by XRD and FESEM to study structural properties and morphology. The magnetic properties studied by SQUID magnetometer shows the ferromagnetic nature of the chemical solution deposited BiFeO3 films which are crucial for low cost device applications.

  18. Chemistry of Non-Equilibrium Film Deposition.

    DTIC Science & Technology

    1985-12-01

    titanium isopropoxide mixed with water solutions of lanthanum and lead nitrate. The gels were dehydrated, then fired to 600C to remove all organics...OW- ’so IRO $Va. ame Thin films; titanium dioxide; -PuZT,- ion beam deposition; annealing,’ trnmiso electron microscopy. 4 - . - S \\AISST 0A ZT *Can...Deposition....... . ... *.... .. ... .. ..... .. . .... 2 C. Nonequilibrium Physical Deposition.................... 3 1. Titanium Oxide Films

  19. Molecular weight dependent structure and charge transport in MAPLE-deposited poly(3-hexylthiophene) thin films

    DOE PAGES

    Dong, Ban Xuan; Smith, Mitchell; Strzalka, Joseph; ...

    2018-02-06

    In this work, poly(3-hexylthiophene) (P3HT) films prepared using the matrix-assisted pulsed laser evaporation (MAPLE) technique are shown to possess morphological structures that are dependent on molecular weight (MW). Specifically, the structures of low MW samples of MAPLE-deposited film are composed of crystallites/aggregates embedded within highly disordered environments, whereas those of high MW samples are composed of aggregated domains connected by long polymer chains. Additionally, the crystallite size along the side-chain (100) direction decreases, whereas the conjugation length increases with increasing molecular weight. This is qualitatively similar to the structure of spin-cast films, though the MAPLE-deposited films are more disordered. In-planemore » carrier mobilities in the MAPLE-deposited samples increase with MW, consistent with the notion that longer chains bridge adjacent aggregated domains thereby facilitating more effective charge transport. The carrier mobilities in the MAPLE-deposited simples are consistently lower than those in the solvent-cast samples for all molecular weights, consistent with the shorter conjugation length in samples prepared by this deposition technique.« less

  20. Molecular weight dependent structure and charge transport in MAPLE-deposited poly(3-hexylthiophene) thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Ban Xuan; Smith, Mitchell; Strzalka, Joseph

    In this work, poly(3-hexylthiophene) (P3HT) films prepared using the matrix-assisted pulsed laser evaporation (MAPLE) technique are shown to possess morphological structures that are dependent on molecular weight (MW). Specifically, the structures of low MW samples of MAPLE-deposited film are composed of crystallites/aggregates embedded within highly disordered environments, whereas those of high MW samples are composed of aggregated domains connected by long polymer chains. Additionally, the crystallite size along the side-chain (100) direction decreases, whereas the conjugation length increases with increasing molecular weight. This is qualitatively similar to the structure of spin-cast films, though the MAPLE-deposited films are more disordered. In-planemore » carrier mobilities in the MAPLE-deposited samples increase with MW, consistent with the notion that longer chains bridge adjacent aggregated domains thereby facilitating more effective charge transport. The carrier mobilities in the MAPLE-deposited simples are consistently lower than those in the solvent-cast samples for all molecular weights, consistent with the shorter conjugation length in samples prepared by this deposition technique.« less

  1. Thermal conductivity of Er{sup +3}:Y{sub 2}O{sub 3} films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Raeisi Fard, Hafez; Hess, Andrew; Pashayi, Kamyar

    2013-11-04

    Cross-plane thermal conductivity of 800, 458, and 110 nm erbium-doped crystalline yttria (Er{sup +3}:Y{sub 2}O{sub 3}) films deposited via atomic layer deposition was measured using the 3ω method at room temperature. Thermal conductivity results show 16-fold increase in thermal conductivity from 0.49 W m{sup −1}K{sup −1} to 8 W m{sup −1}K{sup −1} upon post deposition annealing, partially due to the suppression of the number of the -OH/H{sub 2}O bonds in the films after annealing. Thermal conductivity of the annealed film was ∼70% lower than undoped bulk single crystal yttria. The cumulative interface thermal resistivity of substrate-Er{sup +3}:Y{sub 2}O{sub 3}-metal heater was determined tomore » be ∼2.5 × 10{sup −8} m{sup 2} K/W.« less

  2. Mobility Optimization in LaxBa1-xSnO3 Thin Films Deposited via High Pressure Oxygen Sputtering

    NASA Astrophysics Data System (ADS)

    Postiglione, William Michael

    BaSnO3 (BSO) is one of the most promising semiconducting oxides currently being explored for use in future electronic applications. BSO possesses a unique combination of high room temperature mobility (even at very high carrier concentrations, > 1019 cm-3), wide band gap, and high temperature stability, making it a potentially useful material for myriad applications. Significant challenges remain however in optimizing the properties and processing of epitaxial BSO, a critical step towards industrial applications. In this study we investigate the viability of using high pressure oxygen sputtering to produce high mobility La-doped BSO thin films. In the first part of our investigation we synthesized, using solid state reaction, phase-pure stoichiometric polycrystalline 2% La-doped BaSnO 3 for use as a target material in our sputtering system. We verified the experimental bulk lattice constant, 4.117 A, to be in good agreement with literature values. Next, we set out to optimize the growth conditions for DC sputtering of La doped BaSnO3. We found that mobility for all our films increased monotonically with deposition temperature, suggesting the optimum temperature for deposition is > 900 °C and implicating a likely improvement in transport properties with post-growth thermal anneal. We then preformed systematic studies aimed at probing the effects of varying thickness and deposition rate to optimize the structural and electronic transport properties in unbuffered BSO films. In this report we demonstrate the ability to grow 2% La BSO thin films with an effective dopant activation of essentially 100%. Our films showed fully relaxed (bulk), out-of-plane lattice parameter values when deposited on LaAlO3, MgO, and (LaAlO3)0.3(Sr2 TaAlO6)0.7 substrates, and slightly expanded out-of-plane lattice parameters for films deposited on SrTiO3, GdScO3, and PrScO3 substrates. The surface roughness's of our films were measured via AFM, and determined to be on the nm scale or better

  3. Optical recording characteristics of WO3 films grown by pulsed laser deposition method

    NASA Astrophysics Data System (ADS)

    Aoki, Takanori; Matsushita, Tatsuhiko; Suzuki, Akio; Tanabe, Kenji; Okuda, Masahiro

    2005-09-01

    WO3 films were deposited on the glass substrate (Corning No. 7059 with an area of 26×38 mm) by the pulsed laser deposition method using an ArF excimer laser. It was found that after annealing at 500 °C for 10 min, the film thickness became 1.8 times compared with that (approximately 40 nm) in the as deposited state. At this time, the difference in the transmittance, ΔT, between the annealed state and the as deposited state was about 40% at the wavelength of 400 nm. From x-ray diffraction spectra and x-ray photoelectron spectroscopy spectra, it was considered that the ratio of the peak values of W6+ 4f5/2 (tungsten oxide) versus W 4f5/2 (metal tungsten) increased steeply after the annealing process. From this, it was considered that oxygen was absorbed into the WO3 films through the annealing process. From the revolution test for the sample without the protection layer in which the WO3 films were deposited upon the digital versatile disk disk substrate, a write peak-power dependence of carrier to noise ratio (CNR) (at λ=406 nm, NA=0.65) of 3T signal (58.5 MHz) was measured at a linear velocity of 5 m/s and a read power of 0.6 mW. It was confirmed that the values of CNR obtained at the write peak-power 5-6 mW were near 50 dB (the region A) and the ones obtained at the write power 7-10 mW were more than 60 dB (the region B). From scanning electron microscopy observation, it was recognized that bits with 0.16-0.25 μm size, having the fine-shaped dots with clear-cut edge, were made in the region A. This corresponded to the maximal storage capacity of 25 GB in the ``Blu-ray disk'' specification. However, it was also identified that holes were formed in the region B and the film materials were scattered by receiving a train of high write power impulses. Next, it was found that the write power corresponding to region A for the structures with the WO3 layer sandwiched between a Al2O3 or ZnS-SiO2 protection layer increased or decreased, respectively. Larger values of

  4. Pulsed Laser Deposition of BaCe(sub 0.85)Y(sub 0.15)0(sub 3) FILMS

    NASA Technical Reports Server (NTRS)

    Dynys, F. W.; Sayir, A.

    2006-01-01

    Pulsed laser deposition has been used to grow nanostructured BaCe(sub 0.85)Y(sub 0.15)0(sub 3) films. The objective is to enhance protonic conduction by reduction of membrane thickness. Sintered samples and laser targets were prepared by sintering BaCe(sub 0.85)Y(sub 0.15)O(sub 3) powders derived by solid state synthesis. Films 2 to 6 m thick were deposited by KrF excimer laser on Si and porous Al2O3 substrates. Nanocrystalline films were fabricated at deposition temperatures of 600-800 C deg at O2 pressure of 30 mTorr and laser fluence of 1.2 J/cm square. Films were characterized by x-ray diffraction, scanning electron microscopy and electrical impedance spectroscopy. Dense single phase BaCe(sub 0.85)Y((sub 0.15) 0(sub 3) films with a columnar growth morphology is observed, preferred crystal growth was found to be dependent upon deposition temperature and substrate type. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C deg to 900 C deg in moist argon. Electrical conduction of the fabricated films was 1 to 4 orders of magnitude lower than the sintered bulk samples. With respect to the film growth direction, activation energy for electrical conduction is 3 times higher in the perpendicular direction than the parallel direction.

  5. Nano-Al{sub 2}O{sub 3} multilayer film deposition on cotton fabrics by layer-by-layer deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ugur, Sule S., E-mail: sule@mmf.sdu.edu.tr; Sariisik, Merih; Aktas, A. Hakan

    Highlights: {yields} Cationic charges were created on the cotton fibre surfaces with 2,3-epoxypropyltrimethylammonium chloride. {yields} Al{sub 2}O{sub 3} nanoparticles were deposited on the cotton fabrics by layer-by-layer deposition. {yields} The fabrics deposited with the Al{sub 2}O{sub 3} nanoparticles exhibit better UV-protection and significant flame retardancy properties. {yields} The mechanical properties were improved after surface film deposition. -- Abstract: Al{sub 2}O{sub 3} nanoparticles were used for fabrication of multilayer nanocomposite film deposition on cationic cotton fabrics by electrostatic self-assembly to improve the mechanical, UV-protection and flame retardancy properties of cotton fabrics. Cotton fabric surface was modified with a chemical reaction tomore » build-up cationic charge known as cationization. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy, X-ray Photoelectron Spectroscopy and Scanning Electron Microscopy were used to verify the presence of deposited nanolayers. Air permeability, whiteness value, tensile strength, UV-transmittance and Limited Oxygen Index properties of cotton fabrics were analyzed before and after the treatment of Al{sub 2}O{sub 3} nanoparticles by electrostatic self-assemblies. It was proved that the flame retardancy, tensile strength and UV-transmittance of cotton fabrics can be improved by Al{sub 2}O{sub 3} nanoparticle additive through electrostatic self-assembly process.« less

  6. Photovoltaic properties of ferroelectric BaTiO3 thin films RF sputter deposited on silicon

    NASA Technical Reports Server (NTRS)

    Dharmadhikari, V. S.; Grannemann, W. W.

    1982-01-01

    Ferroelectric thin films of BaTiO3 have been successfully deposited on n-type silicon substrates at temperatures above 500 C by RF sputtering in an O2/Ar atmosphere. Analysis by X-ray diffraction patterns show that films deposited at room temperature are amorphous. At temperatures above 500 C, crystalline BaTiO3 films with a tetragonal structure are obtained. The polarization-electric field (P-E) hysteresis loops and a broad peak in the dielectric constant versus temperature curve at Curie point indicate that the RF sputtered BaTiO3 films are ferroelectric. An anomalous photovoltaic effect is observed in these thin films which is related to the remanent polarization of the material. The results on open-circuit and short-circuit measurements provide an important basis for a better understanding of the role of photovoltaic field, photovoltaic current, and the pyroelectric properties in photoferroelectric domain switching.

  7. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  8. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  9. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    NASA Astrophysics Data System (ADS)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  10. Atomic layer deposition of (K,Na)(Nb,Ta)O{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sønsteby, Henrik Hovde, E-mail: henrik.sonsteby@kjemi.iuio.no; Nilsen, Ola; Fjellvåg, Helmer

    2016-07-15

    Thin films of complex alkali oxides are frequently investigated due to the large range of electric effects that are found in this class of materials. Their piezo- and ferroelectric properties also place them as sustainable lead free alternatives in optoelectronic devices. Fully gas-based routes for deposition of such compounds are required for integration into microelectronic devices that need conformal thin films with high control of thickness- and composition. The authors here present a route for deposition of materials in the (K,Na)(Nb,Ta)O{sub 3}-system, including the four end members NaNbO{sub 3}, KNbO{sub 3}, NaTaO{sub 3}, and KTaO{sub 3}, using atomic layer depositionmore » with emphasis on control of stoichiometry in such mixed quaternary and quinary compunds.« less

  11. Study of Sb2S3 thin films deposited by SILAR method

    NASA Astrophysics Data System (ADS)

    Deshpande, M. P.; Chauhan, Krishna; Patel, Kiran N.; Rajput, Piyush; Bhoi, Hiteshkumar R.; Chaki, S. H.

    2018-05-01

    In the present work, we deposited Sb2S3 thin films on glass slide by successive ionic layer adsorption and reaction (SILAR) technique with different time cycles. From EDAX, we could observe that the films were non-stoichiometric and contained few elements from glass slide. X-ray diffraction has shown that these films are orthorhombic in structure from where we have calculated the lattice parameter and crystallize size. SEM images shows that SILAR synthesized Sb2S3 thin films are homogenous and well distributed indicating the formation of uniform thin films at lower concentration. The room temperature Raman spectra of Sb2S3 thin films showed sharp peaks at 250 cm‑1 and 300 cm‑1 for all cases. Room temperature photoluminescence emission spectrum shows broad bands over 430–480 nm range with strong blue emission peak centered at same wavelength of 460 nm (2.70 eV) for all cases.

  12. Optical properties of YbF3-CaF2 composite thin films deposited by electron-beam evaporation

    NASA Astrophysics Data System (ADS)

    Wang, Songlin; Mi, Gaoyuan; Zhang, Jianfu; Yang, Chongmin

    2018-03-01

    We studied electron-beam evaporated YbF3-CaF2 composite films on ZnS substrate at different deposition parameters. The optical properties of films have been fitted, the surface roughness have been measured by AFM. The results of experiments indicated that increased the refractive indices, extinction coefficients, and surface roughness at higher deposition rate. The refractive index of composite film deposited by electron-beam evaporation with assisted-ion source was obviously higher than it without assisted-ion source.

  13. Post-deposition-annealing effect on current conduction in Al2O3 films formed by atomic layer deposition with H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Okubo, Satoshi; Kawarada, Hiroshi

    2017-02-01

    Atomic-layer-deposition (ALD) Al2O3 films are promising as gate insulators of non-Si semiconductor devices. Although they allow relatively small leakage currents just after deposition, ALD Al2O3 films formed at low temperatures are subject to high temperature during fabrication or operation of devices. Therefore, the effect of post-deposition annealing (PDA) on the properties of Al2O3 films is investigated in this study. ALD Al2O3 films formed using H2O oxidant at low temperatures are compacted by PDA, but their mass density and dielectric constant remain approximately unchanged or slightly decrease owing to the desorption of methyl groups contained in the films as impurities. In accordance with these results, the wet etching rate of Al2O3 films is not much reduced by PDA. The conduction current in ALD Al2O3 films formed on Si is reduced by PDA and becomes smaller than that in films formed at the same ALD temperatures as those of PDA. The conduction current for PDA temperatures above 250 °C, however, increases and, accordingly, spoils the merit of low-temperature ALD. Therefore, given that the dielectric constant of annealed films remains low, high-temperature ALD is practically more significant than applying PDA to low-temperature ALD Al2O3 films from the viewpoint of leakage current under the same thermal budget. Space-charge-controlled field emission analysis revealed that, at the aforementioned threshold temperature, PDA abruptly increases the Al2O3/SiO2 interfacial dipoles and simultaneously reduces the amount of the positive charge near the interface. The so-called negative-charge buildup by PDA might be caused by this decrease in the positive charge.

  14. Atomic layer deposition and properties of ZrO2/Fe2O3 thin films

    PubMed Central

    Seemen, Helina; Ritslaid, Peeter; Rähn, Mihkel; Tamm, Aile; Kukli, Kaupo; Kasikov, Aarne; Link, Joosep; Stern, Raivo; Dueñas, Salvador; Castán, Helena; García, Héctor

    2018-01-01

    Thin solid films consisting of ZrO2 and Fe2O3 were grown by atomic layer deposition (ALD) at 400 °C. Metastable phases of ZrO2 were stabilized by Fe2O3 doping. The number of alternating ZrO2 and Fe2O3 deposition cycles were varied in order to achieve films with different cation ratios. The influence of annealing on the composition and structure of the thin films was investigated. Additionally, the influence of composition and structure on electrical and magnetic properties was studied. Several samples exhibited a measurable saturation magnetization and most of the samples exhibited a charge polarization. Both phenomena were observed in the sample with a Zr/Fe atomic ratio of 2.0. PMID:29441257

  15. Structural, XPS and magnetic studies of pulsed laser deposited Fe doped Eu{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Sandeep; Prakash, Ram, E-mail: rpgiuc@gmail.com; Choudhary, R.J.

    2015-10-15

    Highlights: • Growth of Fe doped Eu{sub 2}O{sub 3} thin films by PLD. • XRD and Raman’s spectroscopy used for structure confirmation. • The electronic states of Eu and Fe are confirmed by XPS. • Magnetic properties reveals room temperature magnetic ordering in deposited film. - Abstract: Fe (4 at.%) doped europium (III) oxide thin film was deposited on silicon (1 0 0) substrate by pulsed laser deposition technique. Structural, spectral and magnetic properties were studied by X-ray diffraction (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and magnetization measurements. XRD and Raman spectroscopy reveal that the grown film is singlemore » phased and belongs to the cubic structure of Eu{sub 2}O{sub 3}. XPS study of the Eu{sub 1.92}Fe{sub 0.08}O{sub 3} film shows that Fe exists in Fe{sup 3+} ionic state in the film. The film exhibits magnetic ordering at room temperature.« less

  16. Photoelectrochemical (PEC) studies on Cu2SnS3 (CTS) thin films deposited by chemical bath deposition method.

    PubMed

    Shelke, H D; Lokhande, A C; Kim, J H; Lokhande, C D

    2017-11-15

    Cu 2 SnS 3 (CTS) thin films have been successfully deposited on a cost-effective stainless steel substrate by simple and inexpensive chemical bath deposition (CBD) method. The films are deliberated in provisos of their structural, morphological, optical and photoelectrochemical (PEC) properties before and after annealing treatment, using various physico-chemical techniques. The XRD studies showed the formation of triclinic phase of CTS films with nanocrystalline structure. Also, the crystallinity is enhanced with annealing and the secondary phase of Cu 2 S observed. Raman analysis confirmed the formation of CTS compound with secondary Cu 2 S phase. The SEM images also discovered mostly tiny spherical grains and significant progress in the size of grains after annealing. The films possess direct transitions with band gap energies of 1.35eV and 1.31eV before and after annealing, respectively. The improved photoconversion efficiency of CTS thin film based PEC cell is explained with the help of theoretical modeling of energy band diagram and correspondent circuit model of the impedance spectra. Copyright © 2017 Elsevier Inc. All rights reserved.

  17. Laser-deposited thin films of biocompatible ceramic

    NASA Astrophysics Data System (ADS)

    Jelinek, Miroslav; Olsan, V.; Jastrabik, Lubomir; Dostalova, Tatjana; Himmlova, Lucia; Kadlec, Jaromir; Pospichal, M.; Simeckova, M.; Fotakis, Costas

    1995-03-01

    Thin films of biocompatible materials such as hydroxylapatite (HA) - Ca10 (PO4)6(OH)2 were deposited by laser ablation technique. The films of HA were created on Ti substrates by KrF laser. The layers were deposited in vacuum, in pure H2O vapors (pressure 2 X 10-3 mbar - 2 X 10-1 mbar), and in Ar/H2O vapor mixture. Influence of laser energy density ET (3 Jcm-2, 13 Jcm-2) and substrate temperature Tg (500 degree(s)C - 760 degree(s)C) on the film parameters was studied. Two different technological processes were used for HA target preparation. Films and targets were characterized by Rutherford backscattering analysis (RBS), particle induced x-ray emission (PIXE), x-ray diffraction (XRD), scanning electron microscopy (SEM) and by Knoop microhardness and scratch test. The best crystalline HA films were reached in the mixture of Ar/H2O. Higher Tg had to be used for such deposition. Higher Tg was also preferable from the point of film microhardness. Adhesion of films to the substrates in the range of tens of Newtons was measured. The preliminary results of in vitro experiments of films biotolerance and resorbability are also presented.

  18. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    NASA Astrophysics Data System (ADS)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-05-01

    The (Ba, Sr) TiO3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 °C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 °C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 °C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 °C. The (Ba, Sr) TiO3 film deposited at higher temperatures (upwards of 400 °C) shows <110> preferred orientation, while the film deposited at 330 °C with the 10 nm initial layer shows a <111> preferred orientation on a <001>-oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO3 film on the ruthenium electrode at low temperatures of less than 400 °C.

  19. High-rate deposition of LiNb 1- xTa xO 3 films by thermal plasma spray CVD

    NASA Astrophysics Data System (ADS)

    Majima, T.; Yamamoto, H.; Kulinich, S. A.; Terashima, K.

    2000-12-01

    LiNb 1- xTa xO 3 films were prepared by a thermal plasma spray CVD method using liquid source materials. Preferentially (0 0 1)-oriented LiNb 1- xTa xO 3 films with satisfactory in-plane and out-of-plane alignment were fabricated on sapphire (0 0 1) substrates. The full-width at half-maximum (FWHM) of the (0 0 6) rocking curve could achieve 0.12°, which was comparable with those of LiNbO 3 and LiTaO 3 films prepared by other conventional vapor-phase deposition methods. The deposition rate was up to 0.07 μm/min, which was 5-40 times faster than those for most other conventional vapor-phase deposition methods. From inductively coupled plasma atomic emission spectroscopy analysis, x values of these films were estimated to be 0.36-0.49.

  20. Pulsed laser deposition of lithium niobate thin films

    NASA Astrophysics Data System (ADS)

    Canale, L.; Girault-Di Bin, C.; Cosset, F.; Bessaudou, A.; Celerier, A.; Decossas, J.-Louis; Vareille, J.-C.

    2000-12-01

    Pulsed laser deposition of Lithium Niobate thin films onto sapphire (0001) substrates is reported. Thin films composition and structure have been determined using Rutherford Backscattermg Spectroscopy (RBS) and X-ray diffraction ( XRD) experiments. The influe:nce of deposition parameters such as substrate temperature, oxygen pressure and target to substrate distance on the composition and the structure of the films has been studied. Deposition temperature is found to be an important parameter which enables us to grow LiNbO3 films without the Li deficient phase LiNb3O8. Nearly stoichiometric thin fihns have been obtained for an oxygen pressure of 0. 1 Ton and a substrate temperature of 800°C. Under optimized conditions the (001) preferential orientation of growth, suitable for most optical applications, has been obtained.

  1. Ion beam sputter deposited diamond like films

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Rutledge, S. K.

    1982-01-01

    A single argon ion beam source was used to sputter deposit carbon films on fused silica, copper, and tantalum substrates under conditions of sputter deposition alone and sputter deposition combined with simultaneous argon ion bombardment. Simultaneously deposited and ion bombarded carbon films were prepared under conditions of carbon atom removal to arrival ratios of 0, 0.036, and 0.71. Deposition and etch rates were measured for films on fused silica substrates. Resulting characteristics of the deposited films are: electrical resistivity of densities of 2.1 gm/cu cm for sputter deposited films and 2.2 gm/cu cm for simultaneously sputter deposited and Ar ion bombarded films. For films approximately 1700 A thick deposited by either process and at 5550 A wavelength light the reflectance was 0.2, the absorptance was 0.7, the absorption coefficient was 67,000 cm to the -1 and the transmittance was 0.1.

  2. Structural, morphological and optical properties of pulsed laser deposited ZnSe/ZnSeO3 thin films

    NASA Astrophysics Data System (ADS)

    Hassan, Syed Ali; Bashir, Shazia; Zehra, Khushboo; Salman Ahmed, Qazi

    2018-04-01

    The effect of varying laser pulses on structural, morphological and optical behavior of Pulsed Laser Deposited (PLD) ZnSe/ZnSeO3 thin films has been investigated. The films were grown by employing Excimer laser (100 mJ, 248 nm, 18 ns, 30 Hz) at various number of laser pulses i.e. 3000, 4000, 5000 and 6000 with elevated substrate temperature of 300 °C. One film was grown at Room Temperature (RT) by employing 3000 number of laser pulses. In order to investigate the structural analysis of deposited films, XRD analysis was performed. It was observed that the room temperature is not favorable for the growth of crystalline film. However, elevated substrate temperature to 300°C, two phases with preferred orientation of ZnSeO3 (2 1 2) and ZnSe (3 3 1) were identified. AFM and SEM analysis were performed to explore the surface morphology of grown films. Morphological analysis also confirmed the non-uniform film growth at room temperature. At elevated substrate temperature (300 °C), the growth of dendritic rods and cubical crystalline structures are observed for lower number of laser pulses i.e. 3000 and 4000 respectively. With increased number of pulses i.e. 5000 and 6000, the films surface morphology becomes smooth which is confirmed by measurement of surface RMS roughness. Number of grains, skewness, kurtosis and other parameters have been evaluated by statistical analysis. In order to investigate the thickness, and optical properties of deposited films, ellipsometery and UV–Vis spectroscopy techniques were employed. The estimated band gap energy is 2.67 eV for the film grown at RT, whereas band gap values varies from 2.80 eV to 3.01 eV for the films grown at 300 °C with increasing number of laser pulses.

  3. Effect of argon ion activity on the properties of Y 2O 3 thin films deposited by low pressure PACVD

    NASA Astrophysics Data System (ADS)

    Barve, S. A.; Jagannath; Deo, M. N.; Kishore, R.; Biswas, A.; Gantayet, L. M.; Patil, D. S.

    2010-10-01

    Yttrium oxide thin films are deposited by microwave electron cyclotron resonance (ECR) plasma assisted metal organic chemical vapour deposition process using an indegeneously developed Y(thd) 3 {(2,2,6,6-tetramethyl-3,5-heptanedionate)yttrium} precursor. Depositions were carried out at two different argon gas flow rates keeping precursor and oxygen gas flow rate constant. The deposited coatings are characterized by X-ray photoelectron spectroscopy (XPS), glancing angle X-ray diffraction (GIXRD) and infrared spectroscopy. Optical properties of the films are studied by spectroscopic ellipsometry. Hardness and elastic modulus of the films are measured by load depth sensing nanoindentation technique. Stability of the film and its adhesion with the substrate is inferred from the nanoscratch test. It is shown here that, the change in the argon gas flow rates changes the ionization of the gas in the microwave ECR plasma and imposes a drastic change in the characteristics like composition, structure as well as mechanical properties of the deposited film.

  4. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  5. Optical properties of nanocrystalline Y2O3 thin films grown on quartz substrates by electron beam deposition

    NASA Astrophysics Data System (ADS)

    Wiktorczyk, Tadeusz; Biegański, Piotr; Serafińczuk, Jarosław

    2016-09-01

    Yttrium oxide thin films of a thickness 221-341 nm were formed onto quartz substrates by reactive physical vapor deposition in an oxygen atmosphere. An electron beam gun was applied as a deposition source. The effect of substrate temperature during film deposition (in the range of 323-673 K) on film structure, surface morphology and optical properties was investigated. The surface morphology studies (with atomic force microscopy and diffuse spectra reflectivity) show that the film surface was relatively smooth with RMS surface roughness in the range of 1.7-3.8 nm. XRD analysis has revealed that all diffraction lines belong to a cubic Y2O3 structure. The films consisted of small nanocrystals. Their average grain size increases from 1.6 nm to 22 nm, with substrate temperature rising from 323 K to 673 K. Optical examinations of transmittance and reflectance were performed in the spectral range of 0.2-2.5 μm. Optical constants and their dispersion curves were determined. Values of the refractive index of the films were in the range of n = 1.79-1.90 (at 0.55 μm) for substrate temperature during film deposition of 323-673 K. The changes in the refractive index upon substrate temperature correspond very well with the increase in the nanocrystals grain diameter and with film porosity.

  6. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less

  7. Pulsed laser deposited GeTe-rich GeTe-Sb2Te3 thin films

    PubMed Central

    Bouška, M.; Pechev, S.; Simon, Q.; Boidin, R.; Nazabal, V.; Gutwirth, J.; Baudet, E.; Němec, P.

    2016-01-01

    Pulsed laser deposition technique was used for the fabrication of Ge-Te rich GeTe-Sb2Te3 (Ge6Sb2Te9, Ge8Sb2Te11, Ge10Sb2Te13, and Ge12Sb2Te15) amorphous thin films. To evaluate the influence of GeTe content in the deposited films on physico-chemical properties of the GST materials, scanning electron microscopy with energy-dispersive X-ray analysis, X-ray diffraction and reflectometry, atomic force microscopy, Raman scattering spectroscopy, optical reflectivity, and sheet resistance temperature dependences as well as variable angle spectroscopic ellipsometry measurements were used to characterize as-deposited (amorphous) and annealed (crystalline) layers. Upon crystallization, optical functions and electrical resistance of the films change drastically, leading to large optical and electrical contrast between amorphous and crystalline phases. Large changes of optical/electrical properties are accompanied by the variations of thickness, density, and roughness of the films due to crystallization. Reflectivity contrast as high as ~0.21 at 405 nm was calculated for Ge8Sb2Te11, Ge10Sb2Te13, and Ge12Sb2Te15 layers. PMID:27199107

  8. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  9. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  10. High rate DC-reactive sputter deposition of Y 2O 3 film on the textured metal substrate for the superconducting coated conductor

    NASA Astrophysics Data System (ADS)

    Kim, Ho-Sup; Park, Chan; Ko, Rock-Kil; Shi, Dongqui; Chung, Jun-Ki; Ha, Hong-Soo; Park, Yu-Mi; Song, Kyu-Jeong; Youm, Do-Jun

    2005-10-01

    Y2O3 film was directly deposited on Ni-3at%W substrate by DC reactive sputtering. DC reactive sputtering was carried out using metallic Y target and water vapor for oxidizing the elements of metallic target on the substrate. The detailed conditions of DC reactive sputtering for depositions of Y2O3 films were investigated. The window of water vapor for proper growth of Y2O3 films was determined by sufficient oxidations of the Y2O3 films and the non-oxidation of the target surface, which was required for high rate sputtering. The window turned out to be fairly wide in the chamber used. As the sputtering power was raised, the deposition rate increased without narrowing the window. The fabricated Y2O3 films showed good texture qualities and surface morphologies. The YBCO film deposited directly on the Y2O3 buffered Ni-3at%W substrate showed Tc, Ic (77 K, self field), and Jc (77 K, self field) of 89 K, 64 A/cm and 1.1 MA/cm2, respectively.

  11. A Nanocrystalline Fe2O3 Film Anode Prepared by Pulsed Laser Deposition for Lithium-Ion Batteries

    NASA Astrophysics Data System (ADS)

    Teng, Xiaoling; Qin, Youzhi; Wang, Xia; Li, Hongsen; Shang, Xiantao; Fan, Shuting; Li, Qiang; Xu, Jie; Cao, Derang; Li, Shandong

    2018-02-01

    Nanocrystalline Fe2O3 thin films are deposited directly on the conduct substrates by pulsed laser deposition as anode materials for lithium-ion batteries. We demonstrate the well-designed Fe2O3 film electrodes are capable of excellent high-rate performance (510 mAh g- 1 at high current density of 15,000 mA g- 1) and superior cycling stability (905 mAh g- 1 at 100 mA g- 1 after 200 cycles), which are among the best reported state-of-the-art Fe2O3 anode materials. The outstanding lithium storage performances of the as-synthesized nanocrystalline Fe2O3 film are attributed to the advanced nanostructured architecture, which not only provides fast kinetics by the shortened lithium-ion diffusion lengths but also prolongs cycling life by preventing nanosized Fe2O3 particle agglomeration. The electrochemical performance results suggest that this novel Fe2O3 thin film is a promising anode material for all-solid-state thin film batteries.

  12. Alternative Dielectric Films for rf MEMS Capacitive Switches Deposited using Atomic Layer Deposited Al2O3/ZnO Alloys

    DTIC Science & Technology

    2006-07-02

    A s c c s r t h s l © K 1 b c A a e t s C t o 0 d Sensors and Actuators A 135 (2007) 262–272 Alternative dielectric films for rf MEMS capacitive...Zn concentrations in the alloy films , which was lower than expected. Atomic force microscopy images evealed an average surface roughness of 0.27 nm...that was independent of deposition temperature and film composition. The dielectric constants of he Al2O3/ZnO ALD alloys films were calculated to be

  13. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  14. Fabrication of (K0.5Na0.5)(Nb0.7Ta0.3)O3 thick films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Vineetha, P.; Saravanan, K. Venkata

    2018-05-01

    (K0.5Na0.5)(Nb0.7Ta0.3)O3 (KNNT) thick films were prepared by electrophoretic deposition method on copper plates (substrates). Prior to the deposition, stable suspensions of KNNT powder were prepared in isopropyl alcohol medium with and without adding triethanolamine (TEA) as dispersant. The optical transmittance spectra with time for both the suspensions were recorded and compared. Suspensions with dispersant has shown low transmittance, which indicate that the particles were dispersed very well in isopropyl alcohol. Fourier Transform Infrared (FTIR) spectroscopy was used to analyze the adsorption of TEA on KNNT particles. Suspension with dispersant was used for electrophoretic deposition. The depositions were carried out at various d.c voltages, keeping the deposition duration and inter electrode distance constant. X-Ray diffraction was used for the phase analysis of the films.

  15. Effect of oxygen partial pressure and VO2 content on hexagonal WO3 thin films synthesized by pulsed laser deposition technique

    NASA Astrophysics Data System (ADS)

    Kaushal, Ajay; Kaur, Davinder

    2011-06-01

    We report on the effect of oxygen partial pressure and vacuum annealing on structural and optical properties of pulsed laser-deposited nanocrystalline WO3 thin films. XRD results show the hexagonal phase of deposited WO3 thin films. The crystallite size was observed to increase with increase in oxygen partial pressure. Vacuum annealing changed the transparent as-deposited WO3 thin film to deep shade of blue color which increases the optical absorption of the film. The origin of this blue color could be due to the presence of oxygen vacancies associated with tungsten ions in lower oxidation states. In addition, the effects of VO2 content on structural, electrochemical, and optical properties of (WO3)1- x (VO2) x nanocomposite thin films have also been systematically investigated. Cyclic voltammogram exhibits a modification with the appearance of an extra cathodic peak for VO2-WO3 thin film electrode with higher VO2 content ( x ≥ 0.2). Increase of VO2 content in (WO3)1- x (VO2) x films leads to red shift in optical band gap.

  16. Luminescence behaviour and deposition of Sc2O3 thin films from scandium(III) acetylacetonate at ambient pressure

    NASA Astrophysics Data System (ADS)

    Dixon, Sebastian C.; Jiamprasertboon, Arreerat; Carmalt, Claire J.; Parkin, Ivan P.

    2018-05-01

    Scandium(III) oxide thin film deposition has been historically difficult to achieve without the use of vacuum-based or wet chemical systems due to precursor limitations of low vapour pressure or ambient instability. In this letter, the adoption of aerosol-assisted delivery of scandium(III) acetylacetonate has enabled the chemical vapour deposition of polycrystalline and amorphous Sc2O3 thin films at ambient pressure with high growth rates (ca. 500 nm h-1). The scandia films were intrinsically highly photoluminescent, exhibiting broad emission bands centred at 3.6 and 3.0 eV, which increased significantly in intensity upon aerobic annealing, accompanying a transition from amorphous to crystalline, while bands appearing at 2.1 and 2.3 eV seemed to occur only in the crystalline films. In addition, both amorphous and crystalline scandia films exhibited blue-green vibronic fine structure between 2.3 and 3.2 eV attributed to the electronic transition B→κ Σ+ 2 Σ+ in surface ⋯ O - ⋯ O - S c = O groups and split by a vibrational mode observed at 920 ± 60 cm - 1 by infrared spectroscopy. Band gaps of amorphous and crystalline Sc2O3 were determined to be 5.3 and 5.7 eV, respectively via diffuse reflectance. All films had high refractive indices, varying between 1.8 and 2.0 at 400 nm depending on film thickness and carrier gas used in the deposition; film thicknesses less than ca. 300 nm were observed to have a strong influence on the refractive index measured, while there was little variation for films thicker than this. The synthesis process itself is exceedingly low-cost and facile thus promising streamlined industrial scalability.

  17. Third order nonlinearity in pulsed laser deposited LiNbO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tumuluri, Anil; Rapolu, Mounika; Rao, S. Venugopal, E-mail: kcjrsp@uohyd.ernet.in, E-mail: svrsp@uohyd.ernet.in

    2016-05-06

    Lithium niobate (LiNbO{sub 3}) thin films were prepared using pulsed laser deposition technique. Structural properties of the same were examined from XRD and optical band gap of the thin films were measured from transmittance spectra recorded using UV-Visible spectrophotometer. Nonlinear optical properties of the thin films were recorded using Z-Scan technique. The films were exhibiting third order nonlinearity and their corresponding two photon absorption, nonlinear refractive index, real and imaginary part of nonlinear susceptibility were calculated from open aperture and closed aperture transmission curves. From these studies, it suggests that these films have potential applications in nonlinear optical devices.

  18. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  19. Synthesis and Evaluation of Thick Films of Electrochemically Deposited Bi2Te3 and Sb2Te3 Thermoelectric Materials

    PubMed Central

    Trung, Nguyen Huu; Sakamoto, Kei; Toan, Nguyen Van; Ono, Takahito

    2017-01-01

    This paper presents the results of the synthesis and evaluation of thick thermoelectric films that may be used for such applications as thermoelectric power generators. Two types of electrochemical deposition methods, constant and pulsed deposition with improved techniques for both N-type bismuth telluride (Bi2Te3) and P-type antimony telluride (Sb2Te3), are performed and compared. As a result, highly oriented Bi2Te3 and Sb2Te3 thick films with a bulk-like structure are successfully synthesized with high Seebeck coefficients and low electrical resistivities. Six hundred-micrometer-thick Bi2Te3 and 500-µm-thick Sb2Te3 films are obtained. The Seebeck coefficients for the Bi2Te3 and Sb2Te3 films are −150 ± 20 and 170 ± 20 µV/K, respectively. Additionally, the electrical resistivity for the Bi2Te3 is 15 ± 5 µΩm and is 25 ± 5 µΩm for the Sb2Te3. The power factors of each thermoelectric material can reach 15 × 10−4 W/mK2 for Bi2Te3 and 11.2 × 10−4 W/mK2 for Sb2Te3. PMID:28772511

  20. A chemical bath deposition route to facet-controlled Ag{sub 3}PO{sub 4} thin films with improved visible light photocatalytic activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunjakar, Jayavant L.; Jo, Yun Kyung; Kim, In Young

    A facile, economic, and reproducible chemical bath deposition (CBD) method is developed for the fabrication of facet-controlled Ag{sub 3}PO{sub 4} thin films with enhanced visible light photocatalytic activity. The fine-control of bath temperature, precursor, complexing agent, substrate, and solution pH is fairly crucial in preparing the facet-selective thin film of Ag{sub 3}PO{sub 4} nanocrystal. The change of precursor from silver nitrate to silver acetate makes possible the tailoring of the crystal shape of Ag{sub 3}PO{sub 4} from cube to rhombic dodecahedron and also the bandgap tuning of the deposited films. The control of [Ag{sup +}]/[phosphate] ratio enables to maximize themore » loading amount of Ag{sub 3}PO{sub 4} crystals per the unit area of the deposited film. All the fabricated Ag{sub 3}PO{sub 4} thin films show high photocatalytic activity for visible light-induced degradation of organic molecules, which can be optimized by tailoring the crystal shape of the deposited crystals. This CBD method is also useful in preparing the facet-controlled hybrid film of Ag{sub 3}PO{sub 4}–ZnO photocatalyst. The present study clearly demonstrates the usefulness of the present CBD method for fabricating facet-controlled thin films of metal oxosalt and its nanohybrid. - Highlights: • The crystal facet of Ag{sub 3}PO{sub 4} films can be tuned by chemical bath deposition. • The crystal shape of Ag{sub 3}PO{sub 4} is tailorable from cube to rhombic dodecahedron. • Facet-tuned Ag{sub 3}PO{sub 4} film shows enhanced visible light photocatalyst activity.« less

  1. Deposition temperature dependence of material and Si surface passivation properties of O{sub 3}-based atomic layer deposited Al{sub 2}O{sub 3}-based films and stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bordihn, Stefan, E-mail: s.bordihn2@q-cells.com; Mertens, Verena; Müller, Jörg W.

    2014-01-15

    The material composition and the Si surface passivation of aluminum oxide (Al{sub 2}O{sub 3}) films prepared by atomic layer deposition using Al(CH{sub 3}){sub 3} and O{sub 3} as precursors were investigated for deposition temperatures (T{sub Dep}) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H] < 0.5 at. % at 400 °C and 500 °C. The surface passivation performance was investigated after annealing at 300 °C–450 °C and also after firing stepsmore » in the typical temperature range of 800 °C–925 °C. A similar high level of the surface passivation performance, i.e., surface recombination velocity values <10 cm/s, was obtained after annealing and firing. Investigations of Al{sub 2}O{sub 3}/SiN{sub x} stacks complemented the work and revealed similar levels of surface passivation as single-layer Al{sub 2}O{sub 3} films, both for the chemical and field-effect passivation. The fixed charge density in the Al{sub 2}O{sub 3}/SiN{sub x} stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10{sup 12} cm{sup −2} to 3·10{sup 11} cm{sup −2} when T{sub Dep} was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T{sub Dep}. When firing films prepared at of low T{sub Dep}, blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al{sub 2}O{sub 3}-based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen.« less

  2. AES study on the chemical composition of ferroelectric BaTiO3 thin films RF sputter-deposited on silicon

    NASA Technical Reports Server (NTRS)

    Dharmadhikari, V. S.; Grannemann, W. W.

    1983-01-01

    AES depth profiling data are presented for thin films of BaTiO3 deposited on silicon by RF sputtering. By profiling the sputtered BaTiO3/silicon structures, it was possible to study the chemical composition and the interface characteristics of thin films deposited on silicon at different substrate temperatures. All the films showed that external surface layers were present, up to a few tens of angstroms thick, the chemical composition of which differed from that of the main layer. The main layer had stable composition, whereas the intermediate film-substrate interface consisted of reduced TiO(2-x) oxides. The thickness of this intermediate layer was a function of substrate temperature. All the films showed an excess of barium at the interface. These results are important in the context of ferroelectric phenomena observed in BaTiO3 thin films.

  3. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  4. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  5. Real-Time Deposition Monitor for Ultrathin Conductive Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline

    2011-01-01

    A device has been developed that can be used for the real-time monitoring of ultrathin (2 or more) conductive films. The device responds in less than two microseconds, and can be used to monitor film depositions up to about 60 thick. Actual thickness monitoring capability will vary based on properties of the film being deposited. This is a single-use device, which, due to the very low device cost, can be disposable. Conventional quartz/crystal microbalance devices have proven inadequate to monitor the thickness of Pd films during deposition of ultrathin films for hydrogen sensor devices. When the deposited film is less than 100 , the QCM measurements are inadequate to allow monitoring of the ultrathin films being developed. Thus, an improved, high-sensitivity, real-time deposition monitor was needed to continue Pd film deposition development. The new deposition monitor utilizes a surface acoustic wave (SAW) device in a differential delay-line configuration to produce both a reference response and a response for the portion of the device on which the film is being deposited. Both responses are monitored simultaneously during deposition. The reference response remains unchanged, while the attenuation of the sensing path (where the film is being deposited) varies as the film thickness increases. This device utilizes the fact that on high-coupling piezoelectric substrates, the attenuation of an SAW undergoes a transition from low to very high, and back to low as the conductivity of a film on the device surface goes from nonconductive to highly conductive. Thus, the sensing path response starts with a low insertion loss, and as a conductive film is deposited, the film conductivity increases, causing the device insertion loss to increase dramatically (by up to 80 dB or more), and then with continued film thickness increases (and the corresponding conductivity increases), the device insertion loss goes back down to the low level at which it started. This provides a

  6. (111)-oriented Pb(Zr ,Ti)O3 films deposited on SrRuO3/Pt electrodes: Reproducible preparation by metal organic chemical vapor deposition, top electrode influence, and reliability

    NASA Astrophysics Data System (ADS)

    Menou, Nicolas; Funakubo, Hiroshi

    2007-12-01

    (111)-textured Pb(Zr0.4Ti0.6)O3 films (thickness of ˜120nm) were deposited on (111)-oriented SrRuO3 bottom electrodes by pulse metal organic chemical vapor deposition (MOCVD). PZT single phase was evidenced over a large range of Pb precursor input rate into the MOCVD chamber. In this process window, the good control of the (111) texture of PZT films was confirmed. It is shown that the control of both the composition and orientation of PZT films leads to reproducible electric properties (Pr, Vc, resistance to fatigue) across the process window. Furthermore, the impact of the top electrode chemical nature, elaboration process, and annealing process upon the electric properties was studied systematically.

  7. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  8. Injected ion energy dependence of SiC film deposited by low-energy SiC3H9+ ion beam produced from hexamethyldisilane

    NASA Astrophysics Data System (ADS)

    Yoshimura, Satoru; Sugimoto, Satoshi; Takeuchi, Takae; Murai, Kensuke; Kiuchi, Masato

    2018-04-01

    We mass-selected SiC3H9+ ions from various fragments produced through the decomposition of hexamethyldisilane, and finally produced low-energy SiC3H9+ ion beams. The ion beams were injected into Si(1 0 0) substrates and the dependence of deposited films on injected ion energy was then investigated. Injected ion energies were 20, 100, or 200 eV. Films obtained were investigated with X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy. X-ray diffraction and X-ray photoelectron spectroscopy of the substrates obtained following the injection of 20 eV ions demonstrated the occurrence of silicon carbide film (3C-SiC) deposition. On the other hand, Raman spectroscopy showed that the films deposited by the injection of 100 or 200 eV ions included 3C-SiC plus diamond-like carbon. Ion beam deposition using hexamethyldisilane-derived 20 eV SiC3H9+ ions is an efficient technique for 3C-SiC film formation on Si substrates.

  9. Substrate-insensitive atomic layer deposition of plasmonic titanium nitride films

    DOE PAGES

    Yu, Ing-Song; Cheng, Hsyi-En; Chang, Chun-Chieh; ...

    2017-02-06

    The plasmonic properties of titanium nitride (TiN) films depend on the type of substrate when using typical deposition methods such as sputtering. We show atomic layer deposition (ALD) of TiN films with very weak dependence of plasmonic properties on the substrate, which also suggests the prediction and evaluation of plasmonic performance of TiN nanostructures on arbitrary substrates under a given deposition condition. Our results also observe that substrates with more nitrogen-terminated (N-terminated) surfaces will have significant impact on the deposition rate as well as the film plasmonic properties. Furthermore, we illustrate that the plasmonic properties of ALD TiN films canmore » be tailored by simply adjusting the deposition and/or post-deposition annealing temperatures. These characteristics and the capability of conformal coating make ALD TiN films on templates ideal for applications that require the fabrication of complex 3D plasmonic nanostructures.« less

  10. Mirrorlike pulsed laser deposited tungsten thin film.

    PubMed

    Mostako, A T T; Rao, C V S; Khare, Alika

    2011-01-01

    Mirrorlike tungsten thin films on stainless steel substrate deposited via pulsed laser deposition technique in vacuum (10(-5) Torr) is reported, which may find direct application as first mirror in fusion devices. The crystal structure of tungsten film is analyzed using x-ray diffraction pattern, surface morphology of the tungsten films is studied with scanning electron microscope and atomic force microscope. The film composition is identified using energy dispersive x-ray. The specular and diffuse reflectivities with respect to stainless steel substrate of the tungsten films are recorded with FTIR spectra. The thickness and the optical quality of pulsed laser deposition deposited films are tested via interferometric technique. The reflectivity is approaching about that of the bulk for the tungsten film of thickness ∼782 nm.

  11. Thermochromic VO2 Films Deposited by RF Magnetron Sputtering Using V2O3 or V2O5 Targets

    NASA Astrophysics Data System (ADS)

    Shigesato, Yuzo; Enomoto, Mikiko; Odaka, Hidehumi

    2000-10-01

    Thermochromic monoclinic-tetragonal VO2 films were successfully deposited on glass substrates with high reproducibility by rf magnetron sputtering using V2O3 or V2O5 targets. In the case of reactive sputtering using a V-metal target, the VO2 films could be obtained only under the very narrow deposition conditions of the “transition region” where the deposition rate decreases drastically with increasing oxygen gas flow rate. In the case of a V2O3 target, polycrystalline VO2 films with a thickness of 400 to 500 nm were obtained by the introduction of oxygen gas [O2/(Ar+O2)=1--1.5%], whereas hydrogen gas [H2/(Ar+H2)=2.5--10%] was introduced in the case of a V2O5 target. Furthermore, the VO2 films were successfully grown heteroepitaxially on a single-crystal sapphire [α-Al2O3(001)] substrate, where the epitaxial relationship was confirmed to be VO2(010)[100]\\parallelAl2O3(001)[100], [010], [\\bar{1}\\bar{1}0] by an X-ray diffraction pole figure measurement. The resistivity ratio between semiconductor and metal phases for the heteroepitaxial VO2 films was much larger than the ratio of the polycrystalline films on glass substrates under the same deposition conditions.

  12. Laser damage properties of TiO{sub 2}/Al{sub 2}O{sub 3} thin films grown by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei Yaowei; Liu Hao; Sheng Ouyang

    2011-08-20

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO{sub 2}/Al{sub 2}O{sub 3} films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the filmsmore » deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm {Phi} samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO{sub 2}/Al{sub 2}O{sub 3} films, the LIDTs were 6.73{+-}0.47 J/cm{sup 2} and 6.5{+-}0.46 J/cm{sup 2} at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.« less

  13. Electrical properties of Bi2Mg2/3Nb4/3O7 (BMN) pyrochlore thin films deposited on Pt and Cu metal at low temperatures for embedded capacitor applications

    NASA Astrophysics Data System (ADS)

    Xian, Cheng-Ji; Park, Jong-Hyun; Ahn, Kyung-Chan; Yoon, Soon-Gil; Lee, Jeong-Won; Kim, Woon-Chun; Lim, Sung-Taek; Sohn, Seung-Hyun; Moon, Jin-Seok; Jung, Hyung-Mi; Lee, Seung-Eun; Lee, In-Hyung; Chung, Yul-Kyo; Jeon, Min-Ku; Woo, Seong-Ihl

    2007-01-01

    200-nm-thick BMN films were deposited on Pt /TiO2/SiO2/Si and Cu /Ti/SiO2/Si substrates at various temperatures by pulsed laser deposition. The dielectric constant and capacitance density of the films deposited on Pt and Cu electrodes show similar tendency with increasing deposition temperature. On the other hand, dielectric loss of the films deposited on Cu electrode varies from 0.7% to 1.3%, while dielectric loss of films on Pt constantly shows 0.2% even though the deposition temperature increases. The low value of breakdown strength in BMN films on Pt compared to films deposited on Cu electrode was attributed to the increase of surface roughness by the formation of secondary phases at interface between BMN films and Pt electrodes.

  14. Investigation of the influence of liquid surface films on O3 and PAN deposition to plant leaves coated with organic/inorganic solution

    NASA Astrophysics Data System (ADS)

    Sun, Shang; Moravek, Alexander; Trebs, Ivonne; Kesselmeier, Jürgen; Sörgel, Matthias

    2016-12-01

    This study investigates the influence of leaf surface water films on the deposition of ozone (O3) and peroxyacetyl nitrate (PAN) under controlled laboratory conditions. A twin-cuvette system was used to simulate environmental variables. We observed a clear correlation between the O3 deposition on plants (Quercus ilex) and the relative humidity (RH) under both light and dark conditions. During the light period the observed increase of the O3 deposition was mainly attributed to the opening of leaf stomata, while during the absence of light the liquid surface films were the reason for O3 deposition. This finding was supported by experimentally induced stomatal closure by the infiltration of abscisic acid. In the case of PAN, no relationship with RH was found during the dark period, which indicates that the nonstomatal deposition of PAN is not affected by the liquid surface films. Consequently, the ratio of the O3 and PAN deposition velocities is not constant when relative humidity changes, which is in contrast to assumptions made in many models. The flux partitioning ratio between nonstomatal and stomatal depositions as well as between nonstomatal and total depositions was found to be Rnsto/sto = 0.21-0.40, Rnsto/tot = 0.18-0.30 for O3 and Rnsto/sto = 0.26-0.29, Rnsto/tot = 0.21-0.23 for PAN. Furthermore, we demonstrate that the formation of the liquid surface film on leaves and the nonstomatal O3 deposition are depending on the chemical composition of the particles deposited on the leaf cuticles as proposed previously.

  15. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  16. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  17. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  18. Ultrashort pulse laser deposition of thin films

    DOEpatents

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  19. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  20. Phase Evolution of YBa2Cu3O7-x films by all-chemical solution deposition route for coated conductors

    NASA Astrophysics Data System (ADS)

    Zhao, Yue; Tang, Xiao; Wu, Wei; Grivel, Jean-Claude

    2014-05-01

    In order to understand the all-chemical-solution-deposition (CSD) processes for manufacturing coated conductors, we investigated the phase evolution of YBa2Cu3O7 (YBCO) films deposited by a low-fluorine metal-organic solution deposition (LF-MOD) method on CSD derived Ce0.9La0.1O2/Gd2Zr2O7/NiW. It is shown that the phase transition from the pyrolyzed film to fully converted YBCO film in the LF-MOD process is similar to that in typical trifluoroacetates-metal organic deposition (TFA-MOD) processes even though the amount of TFA in the solution is reduced by almost one half compared with typical TFA-MOD cases. Moreover, we found that the formation of impurities (mainly BaCeO3, NiWO4 and NiO) is strongly related to the annealing temperature, i.e., the diffusion controlled reactions become intensive from 760 oC, which might be connected with the poor structural and superconducting properties of the films deposited at high sintering temperatures. Based on these results, the optimized growth conditions of YBCO films were established, and a high critical current density (Jc) of about 2 MA/cm2 (77 K, self field) is achieved in a 200 nm thick YBCO film in the architecture made by our all CSD route.

  1. Processing and properties of Pb(Mg(1/3)Nb(2/3))O3--PbTiO3 thin films by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Tantigate, C.; Lee, J.; Safari, A.

    1995-03-01

    The objectives of this study were to prepare in situ Pb(Mg(1/3)Nb(2/3))O3 (PMN) and PMN-PT thin films by pulsed laser deposition and to investigate the electrical features of thin films for possible dynamic random access memory (DRAM) and microactuator applications. The impact of processing parameters such compositions, substrate temperature, and oxygen pressure on perovskite phase formation and dielectric characteristics were reported. It was found that the highest dielectric constant, measured at room temperature and 10 kHz, was attained from the PMN with 99% perovskite.

  2. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  3. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  4. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  5. Structure property relationships in gallium oxide thin films grown by pulsed laser deposition [Structure property relationships in Ga 2O 3 thin films grown by pulsed laser deposition

    DOE PAGES

    Garten, Lauren M.; Zakutayev, Andriy; Perkins, John D.; ...

    2016-11-21

    Beta-gallium oxide (β-Ga 2O 3) is of increasing interest to the optoelectronic community for transparent conductor and power electronic applications. Considerable variability exists in the literature on the growth and doping of Ga 2O 3 films, especially as a function of growth approach, temperature, and oxygen partial pressure. Here pulsed laser deposition (PLD) was used to grow high-quality β-Ga 2O 3 films on (0001) sapphire and (–201) Ga 2O 3 single crystals and to explore the growth, stability, and dopability of these films as function of temperature and oxygen partial pressure. As a result, there is a strong temperature dependencemore » to the phase formation, morphology, and electronic properties of β-Ga 2O 3 from 350 to 550 °C.« less

  6. Structural and interfacial defects in c-axis oriented LiNbO3 thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    NASA Astrophysics Data System (ADS)

    Shandilya, Swati; Tomar, Monika; Sreenivas, K.; Gupta, Vinay

    2009-05-01

    Highly c-axis oriented LiNbO3 films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO3 films under the optimized deposition condition. An extra peak at 905 cm-1 was observed in the Raman spectra of LiNbO3 film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO3 films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO3 single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO3 film and the Al : ZnO layer.

  7. Magnetic properties of LCMO deposited films

    NASA Astrophysics Data System (ADS)

    Park, Seung-Iel; Jeong, Kwang Ho; Cho, Young Suk; Kim, Chul Sung

    2002-04-01

    La-Ca-Mn-O films were deposited with various thickness (500, 1000 and 1500°C) by RF-magnetron sputtering at 700°C and by the spin coating of sol-gel method at 400°C on LaAlO 3(1 0 0) and Si(1 0 0) single-crystal substrates. The crystal structure and chemical composition of the film grown by RF sputtering method were orthorhombic and La 0.89Ca 0.11MnO 3, respectively, while the film prepared by sol-gel spin coating was cubic with La 0.7Ca 0.3MnO 3. The temperature dependence of the resistance for the film grown by RF sputtering method with the thickness of 1000°C shows that a semiconductor-metal transition occurs at 242 K. The relative maximum magnetoresistance is about 273% at 226 K.

  8. Liquid phase deposition synthesis of hexagonal molybdenum trioxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deki, Shigehito; Beleke, Alexis Bienvenu; Kotani, Yuki

    2009-09-15

    Hexagonal molybdenum trioxide thin films with good crystallinity and high purity have been fabricated by the liquid phase deposition (LPD) technique using molybdic acid (H{sub 2}MoO{sub 4}) dissolved in 2.82% hydrofluoric acid (HF) and H{sub 3}BO{sub 3} as precursors. The crystal was found to belong to a hexagonal hydrate system MoO{sub 3}.nH{sub 2}O (napprox0.56). The unit cell lattice parameters are a=10.651 A, c=3.725 A and V=365.997 A{sup 3}. Scanning electron microscope (SEM) images of the as-deposited samples showed well-shaped hexagonal rods nuclei that grew and where the amount increased with increase in reaction time. X-ray photon electron spectroscopy (XPS) spectramore » showed a Gaussian shape of the doublet of Mo 3d core level, indicating the presence of Mo{sup 6+} oxidation state in the deposited films. The deposited films exhibited an electrochromic behavior by lithium intercalation and deintercalation, which resulted in coloration and bleaching of the film. Upon dehydration at about 450 deg. C, the hexagonal MoO{sub 3}.nH{sub 2}O was transformed into the thermodynamically stable orthorhombic phase. - Abstract: SEM photograph of typical h-MoO{sub 3}.nH{sub 2}O thin film nuclei obtained after 36 h at 40 deg. C by the LPD method. Display Omitted« less

  9. Atomic layer deposition of Al2O3 on V2O5 xerogel film for enhanced lithium-ion intercalation stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Dawei; Liu, Yanyi; Candelaria, Stephanie L.

    V2O5 xerogel films were fabricated by casting V2O5 sols onto fluorine-doped tin oxide glass substrates at room temperature. Five, ten and twenty atomic layers of Al2O3 were grown onto as-fabricated films respectively. The bare film and Al2O3-deposited films all exhibited hydrous V2O5 phase only. Electrochemical impedance spectroscopy study revealed increased surface charge-transfer resistance of V2O5 films as more Al2O3 atomic layers were deposited. Lithium-ion intercalation tests at 600 mAg_1 showed that bare V2O5 xerogel film possessed high initial discharge capacity of 219 mAhg_1 but suffered from severe capacity degradation, i.e., having only 136 mAhg_1 after 50 cycles. After deposition ofmore » ten atomic layers of Al2O3, the initial discharge capacity was 195 mAhg_1 but increased over cycles before stabilizing; after 50 cycles, the discharge capacity was as high as 225 mAhg_1. The noticeably improved cyclic stability of Al2O3-deposited V2O5 xerogel film could be attributed to the improved surface chemistry and enhanced mechanical strength. During repeated lithium-ion intercalation/de-intercalation, atomic layers of Al2O3 which were coated onto V2O5 surface could prevent V2O5 electrode dissolution into electrolyte by reducing direct contact between active electrode and electrolyte while at the same time acting as binder to maintain good mechanical contact between nanoparticles inside the film. VC 2012 American Vacuum Society.« less

  10. CsPbBr 3 Solar Cells: Controlled Film Growth through Layer-by-Layer Quantum Dot Deposition

    DOE PAGES

    Hoffman, Jacob B.; Zaiats, Gary; Wappes, Isaac; ...

    2017-10-25

    All inorganic cesium lead bromide (CsPbBr 3) perovskite is a more stable alternative to methylammonium lead bromide (MAPbBr 3) for designing high open-circuit voltage solar cells and display devices. Poor solubility of CsBr in organic solvents makes typical solution deposition methods difficult to adapt for constructing CsPbBr 3 devices. Our layer-by-layer methodology, which makes use of CsPbBr 3 quantum dot (QD) deposition followed by annealing, provides a convenient way to cast stable films of desired thickness. The transformation from QDs into bulk during thermal annealing arises from the resumption of nanoparticle growth and not from sintering as generally assumed. Additionally,more » a large loss of organic material during the annealing process is mainly from 1-octadecene left during the QD synthesis. Utilizing this deposition approach for perovskite photovoltaics is examined using typical planar architecture devices. Devices optimized to both QD spin-casting concentration and overall CsPbBr 3 thickness produce champion devices that reach power conversion efficiencies of 5.5% with a V oc value of 1.4 V. Finally, the layered QD deposition demonstrates a controlled perovskite film architecture for developing efficient, high open-circuit photovoltaic devices.« less

  11. CsPbBr 3 Solar Cells: Controlled Film Growth through Layer-by-Layer Quantum Dot Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoffman, Jacob B.; Zaiats, Gary; Wappes, Isaac

    All inorganic cesium lead bromide (CsPbBr 3) perovskite is a more stable alternative to methylammonium lead bromide (MAPbBr 3) for designing high open-circuit voltage solar cells and display devices. Poor solubility of CsBr in organic solvents makes typical solution deposition methods difficult to adapt for constructing CsPbBr 3 devices. Our layer-by-layer methodology, which makes use of CsPbBr 3 quantum dot (QD) deposition followed by annealing, provides a convenient way to cast stable films of desired thickness. The transformation from QDs into bulk during thermal annealing arises from the resumption of nanoparticle growth and not from sintering as generally assumed. Additionally,more » a large loss of organic material during the annealing process is mainly from 1-octadecene left during the QD synthesis. Utilizing this deposition approach for perovskite photovoltaics is examined using typical planar architecture devices. Devices optimized to both QD spin-casting concentration and overall CsPbBr 3 thickness produce champion devices that reach power conversion efficiencies of 5.5% with a V oc value of 1.4 V. Finally, the layered QD deposition demonstrates a controlled perovskite film architecture for developing efficient, high open-circuit photovoltaic devices.« less

  12. Properties of large area ErBa2Cu3O(7-x) thin films deposited by ionized cluster beams

    NASA Technical Reports Server (NTRS)

    Levenson, L. L.; Stan, Mark A.; Bhasin, Kul B.

    1991-01-01

    ErBa2Cu3O(7-x) films have been produced by simultaneous deposition of Er, Ba, and Cu from three ionized cluster beam (ICB) sources at acceleration voltages of 0.3 to 0.5 kV. Combining ozone oxidation with ICB deposition at 650 C eliminated any need of post annealing processing. The substrates were rotated at 10 rotations per minute during the deposition which took place at a rate of about 3 to 4 nm. Films with areas up to 70 mm in diameter have been made by ICB deposition. These films, 100 nm thick, were deposited on SrTiO3 (100) substrates at 650 C in a mixture of six percent O3 in O2 at a total pressure of 4 x 10(exp -4) Torr. They had T(sub c) ranging from 84.3 K to 86.8 K over a 70 mm diameter and J(sub c) above 10(exp 6) A/sq cm at 77 K. X ray diffraction measurements of the three samples showed preferential c-axis orientation normal to the substrate surface. Scanning electron micrographs (SEM) of the three samples also show some texture dependence on sample position. For the three samples, there is a correlation between SEM texture, full width at half-maximum of rocking curves and J(sub c) versus temperature curves.

  13. Plasma-deposited amorphous hydrogenated carbon films and their tribological properties

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.

    1989-01-01

    Recent work on the properties of diamondlike carbon films and their dependence on preparation conditions are reviewed. The results of the study indicate that plasma deposition enables one to deposit a variety of amorphous hydrogenated carbon (a-C:H ) films exhibiting more diamondlike behavior to more graphitic behavior. The plasma-deposited a-C:H can be effectively used as hard, wear-resistant, and protective lubricating films on ceramic materials such as Si(sub 3)N(sub 4) under a variety of environmental conditions such as moist air, dry nitrogrn, and vacuum.

  14. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    NASA Astrophysics Data System (ADS)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  15. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    NASA Astrophysics Data System (ADS)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  16. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Shaji, S.; Garcia, L. V.; Loredo, S. L.; Krishnan, B.; Aguilar Martinez, J. A.; Das Roy, T. K.; Avellaneda, D. A.

    2017-01-01

    Antimony sulfide (Sb2S3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb2S3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV-vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb2S3 thin films for optoelectronic applications.

  17. Nanostructured MgTiO3 thick films obtained by electrophoretic deposition from nanopowders prepared by solar PVD

    NASA Astrophysics Data System (ADS)

    Apostol, Irina; Mahajan, Amit; Monty, Claude J. A.; Venkata Saravanan, K.

    2015-12-01

    A novel combination of solar physical vapor deposition (SPVD) and electrophoretic deposition (EPD) that was developed to grow MgTiO3 nanostructured thick films is presented. Obtaining nanostructured MgTiO3 thick films, which can replace bulk ceramic components, a major trend in electronic industry, is the main objective of this work. The advantage of SPVD is direct synthesis of nanopowders, while EPD is simple, fast and inexpensive technique for preparing thick films. SPVD technique was developed at CNRS-PROMES Laboratory, Odeillo-Font Romeu, France, while the EPD was performed at University of Aveiro - DeMAC/CICECO, Portugal. The nanopowders with an average crystallite size of about 30 nm prepared by SPVD were dispersed in 50 ml of acetone in basic media with addition of triethanolamine. The obtained well-dispersed and stable suspensions were used for carrying out EPD on 25 μm thick platinum foils. After deposition, films with thickness of about 22-25 μm were sintered in air for 15 min at 800, 900 and 1000 °C. The structural and microstructural characterization of the sintered thick films was carried out using XRD and SEM, respectively. The thickness of the sintered samples were about 18-20 μm, which was determined by cross-sectional SEM. Films sintered at 900 °C exhibit a dielectric constant, ɛr ∼18.3 and dielectric loss, tan δ ∼0.0012 at 1 MHz. The effects of processing techniques (SPVD and EPD) on the structure, microstructure and dielectric properties are reported in detail. The obtained results indicate that the thick films obtained in the present study can be promising for low loss materials for microwave and millimeter wave applications.

  18. Growth of epitaxial Pb(Zr,Ti)O3 films by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Lee, J.; Safari, A.; Pfeffer, R. L.

    1992-10-01

    Lead zirconate titanate (PZT) thin films with a composition near the morphotropic phase boundary have been grown on MgO (100) and Y1Ba2Cu3Ox (YBCO) coated MgO substrates. Substrate temperature and oxygen pressure were varied to achieve ferroelectric films with a perovskite structure. Films grown on MgO had the perovskite structure with an epitaxial relationship with the MgO substrate. On the other hand, films grown on the YBCO/MgO substrate had an oriented structure to the surface normal with a misorientation in the plane parallel to the surface. The measured dielectric constant and loss tangent at 1 kHz were 670 and 0.05, respectively. The remnant polarization and coercive field were 42 μC/cm2 and 53 kV/cm. A large internal bias field (12 kV/cm) was observed in the as-deposited state of the undoped PZT films.

  19. Nanostructure iron-silicon thin film deposition using plasma focus device

    NASA Astrophysics Data System (ADS)

    Kotb, M.; Saudy, A. H.; Hassaballa, S.; Eloker, M. M.

    2013-03-01

    The presented study in this paper reports the deposition of nano-structure iron-silicon thin film on a glass substrate using 3.3 KJ Mather-type plasma focus device. The iron-silicon powder was put on the top of hollow copper anode electrode. The deposition was done under different experimental conditions such as numbers of electric discharge shots and angular position of substrate. The film samples were exposed to energetic argon ions generated by plasma focus device at different distances from the top of the central electrode. The exposed samples were then analyzed for their structure and optical properties using X-ray diffraction (XRD) and UV-visible spectroscopy. The structure of iron-silicon thin films deposited using plasma focus device depends on the distance from the anode, the number of focus deposition shots and the angular position of the sample

  20. Deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1984-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or large planar surfaces.

  1. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  2. Deposition of high quality YBa2Cu3O(7-delta) thin films over large areas by pulsed laser ablation with substrate scanning

    NASA Technical Reports Server (NTRS)

    Davis, M. F.; Wosik, J.; Forster, K.; Deshmukh, S. C.; Rampersad, H. R.

    1991-01-01

    The paper describes thin films deposited in a system where substrates are scanned over areas up to 3.5 x 3.5 cm through the stationary plume of an ablated material defined by an aperture. These YBCO films are deposited on LaAlO3 and SrTiO3 substrates with the thickness of 90 and 160 nm. Attention is focused on the main features of the deposition system: line focusing of the laser beam on the target; an aperture defining the area of the plume; computerized stepper motor-driven X-Y stage translating the heated sampler holder behind the plume-defining aperture in programmed patterns; and substrate mounting block with uniform heating at high temperatures over large areas. It is noted that the high degree of uniformity of the properties in each film batch illustrates that the technique of pulsed laser deposition can be applied to produce large YBCO films of high quality.

  3. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  4. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  5. Thin Film Deposition Using Energetic Ions

    PubMed Central

    Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan

    2010-01-01

    One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323

  6. Deposition of functional nanoparticle thin films by resonant infrared laser ablation.

    NASA Astrophysics Data System (ADS)

    Haglund, Richard; Johnson, Stephen; Park, Hee K.; Appavoo, Kannatessen

    2008-03-01

    We have deposited thin films containing functional nanoparticles, using tunable infrared light from a picosecond free-electron laser (FEL). Thin films of the green light-emitting molecule Alq3 were first deposited by resonant infrared laser ablation at 6.68 μm, targeting the C=C ring mode of the Alq3. TiO2 nanoparticles 50-100 nm diameter were then suspended in a water matrix, frozen, and transferred by resonant infrared laser ablation at 2.94 μm through a shadow mask onto the Alq3 film. Photoluminescence was substantially enhanced in the regions of the film covered by the TiO2 nanoparticles. In a second experiment, gold nanoparticles with diameters in the range of 50-100 nm were suspended in the conducting polymer and anti-static coating material PEDOT:PSS, which was diluted by mixing with N-methyl pyrrolidinone (NMP). The gold nanoparticle concentration was 8-10% by weight. The mixture was frozen and then ablated by tuning the FEL to 3.47 μm, the C-H stretch mode of NMP. Optical spectroscopy of the thin film deposited by resonant infrared laser ablation exhibited the surface-plasmon resonance characteristic of the Au nanoparticles. These experiments illustrate the versatility of matrix-assisted resonant infrared laser ablation as a technique for depositing thin films containing functionalized nanoparticles.

  7. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  8. Controlling Piezoelectric Responses in Pb(Zr0.52Ti0.48)O3 Films through Deposition Conditions and Nanosheet Buffer Layers on Glass

    PubMed Central

    2017-01-01

    Nanosheet Ca2Nb3O10 (CNOns) layers were deposited on ultralow expansion glass substrates by the Langmuir–Blodgett method to obtain preferential (001)-oriented growth of Pb(Zr0.52Ti0.48)O3 (PZT) thin films using pulsed laser deposition (PLD) to enhance the ferroelectric and piezoelectric properties of the films. The PLD deposition temperature and repetition frequency used for the deposition of the PZT films were found to play a key role in the precise control of the microstructure and therefore of the ferroelectric and piezoelectric properties. A film deposited at a high repetition frequency has a columnar grain structure, which helps to increase the longitudinal piezoelectric coefficient (d33f). An enhanced d33f value of 356 pm V–1 was obtained for 2-μm-thick PZT films on CNOns/glass substrates. This high value is ascribed to the preferential alignment of the crystalline [001] axis normal to the substrate surface and the open columnar structure. Large displacement actuators based on such PZT films grown on CNOns/glass substrates should be useful in smart X-ray optics applications. PMID:28952313

  9. Effects of pressure and deposition time on the characteristics of In2Se3 films grown by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Yan, Yong; Li, Shasha; Ou, Yufeng; Ji, Yaxin; Yu, Zhou; Liu, Lian; Yan, Chuanpeng; Zhang, Yong; Zhao, Yong

    2014-11-01

    Crystalline In2Se3 films were fabricated by magnetron sputtering from a sintered In2Se3-compound target and the effects of the deposition parameters, including the working pressure and deposition time, on the phase composition, structure, morphology, and optical properties were clarified. Single-phase κ-In2Se3 was prepared at 4.0 Pa, but γ-In2Se3 was recognized when the working pressure was lower than 4.0 Pa. The optical transmittance of the films decreased to 45% and the optical band gap varied from 2.9 to 2.0 eV with increasing film thickness from 80 to 967 nm. Metal-semiconductor-metal (MSM) photodetectors based on γ-In2Se3 thin films with various thicknesses were also fabricated. The result of photosensitivity research on such MSM photodetectors suggests that it may be impossible to fabricate wide-absorption-range MSM devices by just using a single material ( γ-In2Se3) because of spatial potential fluctuations in the layers. [Figure not available: see fulltext.

  10. Piezoelectric characterization of Pb(Zr,Ti)O3 thin films deposited on metal foil substrates by dip coating

    NASA Astrophysics Data System (ADS)

    Hida, Hirotaka; Hamamura, Tomohiro; Nishi, Takahito; Tan, Goon; Umegaki, Toshihito; Kanno, Isaku

    2017-10-01

    We fabricated the piezoelectric bimorphs composed of Pb(Zr,Ti)O3 (PZT) thin films on metal foil substrates. To efficiently inexpensively manufacture piezoelectric bimorphs with high flexibility, 1.2-µm-thick PZT thin films were directly deposited on both surfaces of 10- and 20-µm-thick bare stainless-steel (SS) foil substrates by dip coating with a sol-gel solution. We confirmed that the PZT thin films deposited on the SS foil substrates at 500 °C or above have polycrystalline perovskite structures and the measured relative dielectric constant and dielectric loss were 323-420 and 0.12-0.17, respectively. The PZT bimorphs were demonstrated by comparing the displacements of the cantilever specimens driven by single- and double-side PZT thin films on the SS foil substrates under the same applied voltage. We characterized the piezoelectric properties of the PZT bimorphs and the calculated their piezoelectric coefficient |e 31,f| to be 0.3-0.7 C/m2.

  11. Low-temperature MOCVD deposition of Bi2Te3 thin films using Et2BiTeEt as single source precursor

    NASA Astrophysics Data System (ADS)

    Bendt, Georg; Gassa, Sanae; Rieger, Felix; Jooss, Christian; Schulz, Stephan

    2018-05-01

    Et2BiTeEt was used as single source precursor for the deposition of Bi2Te3 thin films on Si(1 0 0) substrates by metal organic chemical vapor deposition (MOCVD) at very low substrate temperatures. Stoichiometric and crystalline Bi2Te3 films were grown at 230 °C, which is approximately 100 °C lower compared to conventional MOCVD processes using one metal organic precursors for each element. The Bi2Te3 films were characterized using scanning electron microscopy, high-resolution transmission electron microscopy and X-ray diffraction. The elemental composition of the films, which was determined by energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy, was found to be strongly dependent of the substrate temperature.

  12. Optically active Er-Yb doped glass films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Serna, R.; Ballesteros, J. M.; Jiménez de Castro, M.; Solis, J.; Afonso, C. N.

    1998-08-01

    Active rare-earth Er3+-Yb3+ co-doped phosphate glass films are produced in a single step by pulsed laser deposition. The films are multimode waveguides and exhibit the highest refractive index, optical density and 1.54 μm photoluminescence intensity and lifetime when deposited at low oxygen pressure (Pox⩽4×10-5 Torr). The density of the films obtained under these conditions is higher than that of the target material as a consequence of the high kinetic energy of the species generated during ablation. Luminescent emission can be excited by optical pumping the Er3+ ions either directly or through cross-relaxation of the Yb3+. Post-deposition annealing allows us to improve the luminescence performance.

  13. Substrates suitable for deposition of superconducting thin films

    DOEpatents

    Feenstra, Roeland; Boatner, Lynn A.

    1993-01-01

    A superconducting system for the lossless transmission of electrical current comprising a thin film of superconducting material Y.sub.1 Ba.sub.2 Cu.sub.3 O.sub.7-x epitaxially deposited upon a KTaO.sub.3 substrate. The KTaO.sub.3 is an improved substrate over those of the prior art since the it exhibits small lattice constant mismatch and does not chemically react with the superconducting film.

  14. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  15. The preparation and characterization of optical thin films produced by ion-assisted deposition

    NASA Astrophysics Data System (ADS)

    Martin, P. J.; Netterfield, R. P.; Sainty, W. G.; Pacey, C. G.

    1984-06-01

    Ion-based deposition techniques have been successfully used to deposit compound films suitable for photothermal applications, as well as dielectric films with stable and reproducible optical properties. Thus, thin films of TiN, a-Si:H, and PbS have been obtained by ion-assisted deposition for photothermal solar-selective elements and similarly prepared dielectric layers of ZrO2, SiO2, and Al2O3 have been used as protective coatings on Ag and Al mirrors. It is shown that the technique of ion-assisted deposition affords control over the film density, microstructure, adhesion, composition, and optical properties. Details of the process and film properties are discussed.

  16. Temperature studies of optical parameters of (Ag3AsS3)0.6(As2S3)0.4 thin films prepared by rapid thermal evaporation and pulse laser deposition

    NASA Astrophysics Data System (ADS)

    Studenyak, I. P.; Kutsyk, M. M.; Buchuk, M. Yu.; Rati, Y. Y.; Neimet, Yu. Yu.; Izai, V. Yu.; Kökényesi, S.; Nemec, P.

    2016-02-01

    (Ag3AsS3)0.6(As2S3)0.4 thin films were deposited using rapid thermal evaporation (RTE) and pulse laser deposition (PLD) techniques. Ag-enriched micrometre-sized cones (RTE) and bubbles (PLD) were observed on the thin film surface. Optical transmission spectra of the thin films were studied in the temperature range 77-300 K. The Urbach behaviour of the optical absorption edge in the thin films due to strong electron-phonon interaction was observed, the main parameters of the Urbach absorption edge were determined. Temperature dependences of the energy position of the exponential absorption edge and the Urbach energy are well described in the Einstein model. Dispersion and temperature dependences of refractive indices were analysed; a non-linear increase of the refractive indices with temperature was revealed. Disordering processes in the thin films were studied and compared with bulk composites, the differences between the thin films prepared by RTE and PLD were analysed.

  17. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    NASA Astrophysics Data System (ADS)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  18. Studies of Niobium Thin Film Produced by Energetic Vacuum Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genfa Wu; Anne-Marie Valente; H. Phillips

    2004-05-01

    An energetic vacuum deposition system has been used to study deposition energy effects on the properties of niobium thin films on copper and sapphire substrates. The absence of working gas avoids the gaseous inclusions commonly seen with sputtering deposition. A biased substrate holder controls the deposition energy. Transition temperature and residual resistivity ratio of the niobium thin films at several deposition energies are obtained together with surface morphology and crystal orientation measurements by AFM inspection, XRD and TEM analysis. The results show that niobium thin films on sapphire substrate exhibit the best cryogenic properties at deposition energy around 123 eV.more » The TEM analysis revealed that epitaxial growth of film was evident when deposition energy reaches 163 eV for sapphire substrate. Similarly, niobium thin film on copper substrate shows that film grows more oriented with higher deposition energy and grain size reaches the scale of the film thickness at the deposition energy around 153 eV.« less

  19. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  20. Low Temperature, Selective Atomic Layer Deposition of Nickel Metal Thin Films.

    PubMed

    Kerrigan, Marissa M; Klesko, Joseph P; Blakeney, Kyle J; Winter, Charles H

    2018-04-25

    We report the growth of nickel metal films by atomic layer deposition (ALD) employing bis(1,4-di- tert-butyl-1,3-diazadienyl)nickel and tert-butylamine as the precursors. A range of metal and insulating substrates were explored. An initial deposition study was carried out on platinum substrates. Deposition temperatures ranged from 160 to 220 °C. Saturation plots demonstrated self-limited growth for both precursors, with a growth rate of 0.60 Å/cycle. A plot of growth rate versus substrate temperature showed an ALD window from 180 to 195 °C. Crystalline nickel metal was observed by X-ray diffraction for a 60 nm thick film deposited at 180 °C. Films with thicknesses of 18 and 60 nm grown at 180 °C showed low root mean square roughnesses (<2.5% of thicknesses) by atomic force microscopy. X-ray photoelectron spectroscopies of 18 and 60 nm thick films deposited on platinum at 180 °C revealed ionizations consistent with nickel metal after sputtering with argon ions. The nickel content in the films was >97%, with low levels of carbon, nitrogen, and oxygen. Films deposited on ruthenium substrates displayed lower growth rates than those observed on platinum substrates. On copper substrates, discontinuous island growth was observed at ≤1000 cycles. Film growth was not observed on insulating substrates under any conditions. The new nickel metal ALD procedure gives inherently selective deposition on ruthenium and platinum from 160 to 220 °C.

  1. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    NASA Astrophysics Data System (ADS)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  2. Characterization of rhenium nitride films produced by reactive pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soto, G.; Rosas, A.; Farias, M.H.

    2007-06-15

    Rhenium nitride (ReN {sub x}) films were grown on (100)-Si substrates by the reactive pulsed laser deposition (PLD) method using a high purity Re rod in an environment of molecular nitrogen. The resulting films are characterized by several techniques, which include in situ Auger electron spectroscopy, X-ray photoelectron spectroscopy and ex situ X-ray diffraction, scanning electron and atomic force microscopy. Additionally, the four-probe method is used to determine the sheet resistance of deposited layers. Results show that films with N/Re ratios (x) lower than 1.3 are very good conductors. In fact, the resistivity of ReN films for 0.2 < xmore » < 1.3 is of the order of 5% of that of Re films, while at x = 1.3 there is an abrupt increment in resistivity, resulting in dielectric films for 1.3 < x < 1.35. These results differ from the prior understanding that in transition metals, resistivity should increase with nitrogen incorporation.« less

  3. Deposition and characterization of aluminum magnesium boride thin film coatings

    NASA Astrophysics Data System (ADS)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (< 3 x 10-6 Torr), accompanied by strong texture formation. Low vacuum level-as deposited AlMgB14 films have low hardness (10 GPa), but high vacuum level-as deposited AlMgB14 films exhibit an extremely high hardness (45 GPa - 51 GPa), and the higher deposition temperature results in still higher hardness

  4. Investigation on growth, structural, optical, electrical and X-ray sensing properties of chemically deposited zinc bismuth sulfide (ZnxBi2‑xS3) thin films

    NASA Astrophysics Data System (ADS)

    Sabarish, R.; Suriyanarayanan, N.; Kalita, J. M.; Sarma, M. P.; Wary, G.

    2018-05-01

    In the present work, ZnxBi2‑xS3 films were synthesized (x = 0.2 M) by a chemical bath deposition (CBD) technique at different bath temperatures (60 °C, 70 °C and 80 °C). The role of bath temperature on the formation of the films has been examined. The crystalline nature, structural parameters and surface morphology of the films were ascertained using x-ray diffraction (XRD), Raman spectroscopy and scanning electron microscope (SEM) and energy dispersive x-ray spectroscopy (EDS) respectively. These studies confirmed the formation of crystalline Zn0.2Bi1.8S3 films with uniform distribution of homogenous grains. The characterization results revealed that the film deposited at 70 °C has the good crystalline quality than the films deposited at 60 and 80 °C. Further, the optical absorption spectra showed that the bandgap (E g ) of the film deposited at 70 °C was about 2.39 eV which was found to be less than the same film deposited at 60 and 80 °C. The Current-Voltage (I-V) characteristics of all the films were measured under dark condition. This showed that the electrical conductivity of the film deposited at 70 °C was 1.61 × 10‑5 S cm‑1 which is ten times higher than other films. Further, the I-V characteristics of the film deposited at 70 °C was studied under x-ray radiation. The current under the x-ray radiation was significantly higher compared to the dark current. The x-ray detection sensitivity of the film was found to be maximum at 0.7 V and gradually decreases with increase of bias voltage. This analysis reveals that the film deposited at 70 °C can be used as an x-ray sensor.

  5. Ion plasma deposition of oxide films with graded-stoichiometry composition: Experiment and simulation

    NASA Astrophysics Data System (ADS)

    Volpyas, V. A.; Tumarkin, A. V.; Mikhailov, A. K.; Kozyrev, A. B.; Platonov, R. A.

    2016-07-01

    A method of ion plasma deposition is proposed for obtaining thin multicomponent films with continuously graded composition in depth of the film. The desired composition-depth profile is obtained by varying the working gas pressure during deposition in the presence of an additional adsorbing screen in the drift space between a sputtered target and substrate. Efficiency of the proposed method is confirmed by Monte Carlo simulation of the deposition of thin films of Ba x Sr1- x TiO3 (BSTO) solid solution. It is demonstrated that, during sputtering of a Ba0.3Sr0.7TiO3 target, the parameter of composition stoichiometry in the growing BSTO film varies in the interval of x = 0.3-0.65 when the gas pressure is changed within 2-60 Pa.

  6. Terahertz and infrared spectroscopic evidence of phonon-paramagnon coupling in hexagonal piezomagnetic YMnO3

    NASA Astrophysics Data System (ADS)

    Kadlec, C.; Goian, V.; Rushchanskii, K. Z.; Kužel, P.; Ležaić, M.; Kohn, K.; Pisarev, R. V.; Kamba, S.

    2011-11-01

    Terahertz and far-infrared electric and magnetic responses of hexagonal piezomagnetic YMnO3 single crystals are investigated. Antiferromagnetic resonance is observed in the spectra of magnetic permeability μa [H(ω) oriented within the hexagonal plane] below the Néel temperature TN. This excitation softens from 41 to 32 cm-1 upon heating and finally disappears above TN. An additional weak and heavily-damped excitation is seen in the spectra of complex dielectric permittivity ɛc within the same frequency range. This excitation contributes to the dielectric spectra in both antiferromagnetic and paramagnetic phases. Its oscillator strength significantly increases upon heating toward room temperature, thus providing evidence of piezomagnetic or higher-order couplings to polar phonons. Other heavily-damped dielectric excitations are detected near 100 cm-1 in the paramagnetic phase in both ɛc and ɛa spectra, and they exhibit similar temperature behavior. These excitations appearing in the frequency range of magnon branches well below polar phonons could remind electromagnons, however their temperature dependence is quite different. We have used density functional theory for calculating phonon dispersion branches in the whole Brillouin zone. A detailed analysis of these results and of previously published magnon dispersion branches brought us to the conclusion that the observed absorption bands stem from phonon-phonon and phonon-paramagnon differential absorption processes. The latter is enabled by strong short-range in-plane spin correlations in the paramagnetic phase.

  7. Influence of deposition temperature of thermal ALD deposited Al{sub 2}O{sub 3} films on silicon surface passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir

    2015-06-15

    The effect of deposition temperature (T{sub dep}) and subsequent annealing time (t{sub anl}) of atomic layer deposited aluminum oxide (Al{sub 2}O3) films on silicon surface passivation (in terms of surface recombination velocity, SRV) is investigated. The pristine samples (as-deposited) show presence of positive fixed charges, Q{sub F}. The interface defect density (D{sub it}) decreases with increase in T{sub dep} which further decreases with t{sub anl} up to 100s. An effective surface passivation (SRV<8 cm/s) is realized for T{sub dep} ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized bymore » high thermal budget process (t{sub anl} between 10 to 30 min)« less

  8. Dielectric properties of Ba0.6Sr0.4TiO3 thin films deposited by mist plasma evaporation using aqueous solution precursor

    NASA Astrophysics Data System (ADS)

    Huang, Hui; Shi, Peng; Wang, Minqiang; Yao, Xi; Tan, O. K.

    2006-06-01

    Mist plasma evaporation (MPE) technique has been developed to deposit Ba0.6Sr0.4TiO3 (BST) thin films on SiO2/Si and Pt/Ti/SiO2/Si substrates at atmospheric pressure using metal nitrate aqueous solution as precursor. MPE is characterized by the injection of liquid reactants into thermal plasma where the source materials in the droplets are evaporated by the high temperature of the thermal plasma. Nanometer-scale clusters are formed in the tail flame of the plasma, and then deposited and rearranged on the substrate at a lower temperature. Due to the high temperature annealing process of the thermal plasma before deposition, well-crystallized BST films were deposited at substrate temperature of 630 °C. The dielectric constant and dielectric loss of the film at 100 kHz are 715 and 0.24, respectively. Due to the good crystallinity of the BST films deposited by MPE, high dielectric tunability up to 39.3% is achieved at low applied electric field of 100 kV cm-1.

  9. Thermo-Optical Properties of Thin-Film TiO2–Al2O3 Bilayers Fabricated by Atomic Layer Deposition

    PubMed Central

    Ali, Rizwan; Saleem, Muhammad Rizwan; Pääkkönen, Pertti; Honkanen, Seppo

    2015-01-01

    We investigate the optical and thermo-optical properties of amorphous TiO2–Al2O3 thin-film bilayers fabricated by atomic layer deposition (ALD). Seven samples of TiO2–Al2O3 bilayers are fabricated by growing Al2O3 films of different thicknesses on the surface of TiO2 films of constant thickness (100 nm). Temperature-induced changes in the optical refractive indices of these thin-film bilayers are measured by a variable angle spectroscopic ellipsometer VASE®. The optical data and the thermo-optic coefficients of the films are retrieved and calculated by applying the Cauchy model and the linear fitting regression algorithm, in order to evaluate the surface porosity model of TiO2 films. The effects of TiO2 surface defects on the films’ thermo-optic properties are reduced and modified by depositing ultra-thin ALD-Al2O3 diffusion barrier layers. Increasing the ALD-Al2O3 thickness from 20 nm to 30 nm results in a sign change of the thermo-optic coefficient of the ALD-TiO2. The thermo-optic coefficients of the 100 nm-thick ALD-TiO2 film and 30 nm-thick ALD-Al2O3 film in a bilayer are (0.048 ± 0.134) × 10−4 °C−1 and (0.680 ± 0.313) × 10−4 °C−1, respectively, at a temperature T = 62 °C.

  10. Electrolytically deposited Cadmium Selenide Films for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    Dervos, C. T.; Palaiologopoulou, M. D.

    2012-10-01

    CdSe films were electrodeposited on pure nickel substrates. The nickel substrate was polished to a mirror finish by Al2O3 paste, etched in 10% HCl solution for 40 s and rinsed thoroughly by de-ionized water. The deposition bath contained solutions with excessive Cd2+ (0.2M) from CdSO4 and small amounts of SeO2 (1x10-3 M). The pH of the bath was adjusted to a value of 2.2 at RT by adding 10% H2SO4. The bath was first thermostated at the required temperature, which varied from 55°C to 65°C. Plating was accomplished at deposition potential 1000 mV (vs. Hg/Hg2SO4). The films formed had a uniform thickness and it was found to be approximately 2.0 μm thick (for 20 min electrodeposition process. The produced CdSe films were characterized by X-Ray diffraction and SEM. The induced semiconductor doping effect by thermal annealing in pure dry nitrogen gas was also investigated. Gold contacts were placed on top of the CdSe films, either by evaporation, or mechanically. Depending on the deposition parameters the electrical characteristics of the Ni/CdSe/Au structures may exhibit rectification properties. The optical excitation of the structure was investigated for various CdSe thicknesses.

  11. Impact of deposition-rate fluctuations on thin-film thickness and uniformity

    DOE PAGES

    Oliver, Joli B.

    2016-11-04

    Variations in deposition rate are superimposed on a thin-film–deposition model with planetary rotation to determine the impact on film thickness. Variations in magnitude and frequency of the fluctuations relative to the speed of planetary revolution lead to thickness errors and uniformity variations up to 3%. Sufficiently rapid oscillations in the deposition rate have a negligible impact, while slow oscillations are found to be problematic, leading to changes in the nominal film thickness. Finally, superimposing noise as random fluctuations in the deposition rate has a negligible impact, confirming the importance of any underlying harmonic oscillations in deposition rate or source operation.

  12. Preparation of W–Sc{sub 2}O{sub 3} targets and scandate cathodes with film prepared by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xizhu; Wang, Jinshu, E-mail: wangjsh@bjut.edu.cn; Liu, Wei

    2013-12-15

    Graphical abstract: - Highlights: • W–Sc{sub 2}O{sub 3} film containing 5% Sc{sub 2}O{sub 3} and 95% W were prepared by pulsed laser deposition. • W–Sc{sub 2}O{sub 3} film on scandate cathode surface improves emission property. • The film improves Sc distribution uniformity and is favorable for forming Ba–Sc–O layer. - Abstract: Sub-micrometer Sc{sub 2}O{sub 3}–W powder with a narrow particle size distribution has been obtained by a sol–gel method combined with two-step hydrogen reduction process. Based on the obtained powder, the W–Sc{sub 2}O{sub 3} targets have been sintered via spark plasma sintering (SPS) at 1300 °C. The W–Sc{sub 2}O{sub 3}more » targets have the average grain size of about 1 μm. Both the sintering temperature and holding time are much lower than those of the targets prepared with micrometer sized powders. The obtained W–Sc{sub 2}O{sub 3} targets have a high comparative density of 96.4% and rockwell hardness of 86.4 HRC. Using the target, the scandate cathode deposited with a film containing 5% Sc{sub 2}O{sub 3} and 95% W has been obtained by pulsed laser deposition (PLD) method. This cathode has good emission property, i.e., the highest thermionic emission current density reaches 43.09 A/cm{sup 2} of J{sub div} at 900 °C{sub b} after being activated for 8 h, which is much higher than that of scandate cathode without film. Scandium (Sc) supplied by the film on the surface during the activation forms a Ba–Sc–O active layer, which helps to the emission.« less

  13. Modeling of the oxygen reduction reaction for dense LSM thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Tao; Liu, Jian; Yu, Yang

    In this study, the oxygen reduction reaction mechanism is investigated using numerical methods on a dense thin (La 1-xSr x) yMnO 3±δ film deposited on a YSZ substrate. This 1-D continuum model consists of defect chemistry and elementary oxygen reduction reaction steps coupled via reaction rates. The defect chemistry model contains eight species including cation vacancies on the A- and B-sites. The oxygen vacancy is calculated by solving species transportation equations in multiphysics simulations. Due to the simple geometry of a dense thin film, the oxygen reduction reaction was reduced to three elementary steps: surface adsorption and dissociation, incorporation onmore » the surface, and charge transfer across the LSM/YSZ interface. The numerical simulations allow for calculation of the temperature- and oxygen partial pressure-dependent properties of LSM. The parameters of the model are calibrated with experimental impedance data for various oxygen partial pressures at different temperatures. The results indicate that surface adsorption and dissociation is the rate-determining step in the ORR of LSM thin films. With the fine-tuned parameters, further quantitative analysis is performed. The activation energy of the oxygen exchange reaction and the dependence of oxygen non-stoichiometry on oxygen partial pressure are also calculated and verified using the literature results.« less

  14. Modeling of the oxygen reduction reaction for dense LSM thin films

    DOE PAGES

    Yang, Tao; Liu, Jian; Yu, Yang; ...

    2017-10-17

    In this study, the oxygen reduction reaction mechanism is investigated using numerical methods on a dense thin (La 1-xSr x) yMnO 3±δ film deposited on a YSZ substrate. This 1-D continuum model consists of defect chemistry and elementary oxygen reduction reaction steps coupled via reaction rates. The defect chemistry model contains eight species including cation vacancies on the A- and B-sites. The oxygen vacancy is calculated by solving species transportation equations in multiphysics simulations. Due to the simple geometry of a dense thin film, the oxygen reduction reaction was reduced to three elementary steps: surface adsorption and dissociation, incorporation onmore » the surface, and charge transfer across the LSM/YSZ interface. The numerical simulations allow for calculation of the temperature- and oxygen partial pressure-dependent properties of LSM. The parameters of the model are calibrated with experimental impedance data for various oxygen partial pressures at different temperatures. The results indicate that surface adsorption and dissociation is the rate-determining step in the ORR of LSM thin films. With the fine-tuned parameters, further quantitative analysis is performed. The activation energy of the oxygen exchange reaction and the dependence of oxygen non-stoichiometry on oxygen partial pressure are also calculated and verified using the literature results.« less

  15. Low-pressure large-area magnetron sputter deposition of YBa2Cu3O7-δ films for industrial applications

    NASA Astrophysics Data System (ADS)

    Wördenweber, Roger; Hollmann, Eugen; Poltiasev, Michael; Neumüller, Heinz-Werner

    2003-05-01

    This paper addresses the development of a technically relevant sputter-deposition process for YBa2Cu3O7-delta films. First, the simulation of the particle transport from target to substrate indicates that only at a reduced pressure of p approx 1-10 Pa can a sufficiently large deposition rate and homogeneous stoichiometric distribution of the particles during large-area deposition be expected. The results of the simulations are generally confirmed by deposition experiments on CeO2 buffered sapphire and LaAlO3 substrates using a magnetron sputtering system suitable for large-area deposition. However, it is shown that in addition to the effect of scattering during particle transport, the conditions at the substrate lead to a selective growth of Y-Ba-Cu-O phases that, among others, strongly affect the growth rate. For example, the growth rate is more than three times larger for optimized parameters compared to the same set of parameters but at 100 K lower substrate temperature. Stoichiometrical and structural perfect films can be grown at low pressure (p < 10 Pa). However, the superconducting transition temperature of these films is reduced. The Tc reduction seems to be correlated with the c-axis length of YBa2Cu3O7-delta. Two possible explanations for the increased c-axis length and the correlated reduced transition temperature are discussed, i.e. reduced oxygen content and strong cation site disorder due to the heavy particle bombardment.

  16. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  17. Dependence of millimeter wave surface resistance on the deposition parameters of laser ablated YBa2Cu3O(x) thin films

    NASA Technical Reports Server (NTRS)

    Wosik, J.; Robin, T.; Davis, M.; Wolfe, J. C.; Forster, K.; Deshmukh, S.; Bensaoula, A.; Sega, R.; Economou, D.; Ignatiev, A.

    1990-01-01

    Measurements of millimeter-wave surface resistance versus temperature have been performed for YBa2Cu3O(x) thin films on 100 line-type SrTiO(3) substrates using a TE(011) cylindrical copper cavity at 80 GHz. The 0.6-micron thick films were grown at several deposition temperatures in the range 690 C to 810 C by means of a pulsed excimer laser ablation technique. A surface resistance minimum (60 milliohm at 77 K) near 770 C is shown to correlate with a minimum in c-axis lattice parameter (11.72 A). The highest value of Tc also occurs near this temperature. The surface resistance of films deposited at 790 C on 110 line-type LaAlO3 subtrates is lower, reaching 8 milliohm at 98 GHz and 80 K, demonstrating the influence of substate material on film quality.

  18. Vacuum and low oxygen pressure influence on BaFe12O19 film deposited by pulse laser deposition

    NASA Astrophysics Data System (ADS)

    Kumar, Pawan; Gaur, Anurag; Choudhary, R. J.

    2018-05-01

    BaFe12O19 hexaferrite thin films are deposited on Si (111) substrate by the pulse laser deposition (PLD) technique in high vacuum 10-6 Torr and low oxygen pressure (10 mTorr) at 650°C substrate temperature. The effects of high vacuum and low pressure on magnetic and optical properties are studied. These films are characterized by the x-ray diffractometer (XRD), SQUID-VSM magnetometer, and Photo-luminescence spectroscopy. XRD pattern reveals that the BaFe12O19 film well formed in both environments without any impurity pick. High magnetic saturazation 317 emu/cm3 and coercivity 130 Oe are observed for the film deposited in vacuum. Photoluminescence emission spectrum of BaFe12O19 film reveals that the higher intensity emission peak at ˜372 nm under the excitation wavelength of 270 nm is observed for the film grown in vacuum.

  19. Molecular layer deposition of alucone films using trimethylaluminum and hydroquinone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Devika; Sarkar, Shaibal K., E-mail: shaibal.sarkar@iitb.ac.in; Mahuli, Neha

    2015-01-01

    A hybrid organic–inorganic polymer film grown by molecular layer deposition (MLD) is demonstrated here. Sequential exposures of trimethylaluminum [Al(CH{sub 3}){sub 3}] and hydroquinone [C{sub 6}H{sub 4}(OH){sub 2}] are used to deposit the polymeric films, which is a representative of a class of aluminum oxide polymers known as “alucones.” In-situ quartz crystal microbalance (QCM) studies are employed to determine the growth characteristics. An average growth rate of 4.1 Å per cycle at 150 °C is obtained by QCM and subsequently verified with x-ray reflectivity measurements. Surface chemistry during each MLD-half cycle is studied in depth by in-situ Fourier transform infrared (FTIR) vibrationmore » spectroscopy. Self limiting nature of the reaction is confirmed from both QCM and FTIR measurements. The conformal nature of the deposit, typical for atomic layer deposition and MLD, is verified with transmission electron microscopy imaging. Secondary ion mass spectroscopy measurements confirm the uniform elemental distribution along the depth of the films.« less

  20. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  1. As-Deposited (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 Crystallized Thin Films Prepared by Pulsed Laser Deposition for Application to Solid Oxide Fuel Cell Electrolyte

    NASA Astrophysics Data System (ADS)

    Mitsugi, Fumiaki; Kanazawa, Seiji; Ohkubo, Toshikazu; Nomoto, Yukiharu; Ishihara, Tatsumi; Takita, Yusaku

    2004-01-01

    Doped lanthanum gallate (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 (LSGMCO) perovskite oxide films were deposited on a quartz glass, LaAlO3 single-crystal substrate and porous anode electrode of a solid oxide fuel cell (SOFC) by pulsed laser deposition. It was necessary to increase the substrate temperature up to 800°C for a crystallization of the LSGMCO films. The film deposited on the LaAlO3 single-crystal substrate grew along the c-axis. The as-deposited LSGMCO thick film fabricated on the porous substrate at 800°C and at an oxygen pressure of 20Pa was formed from polycrystal columns and showed a high conductivity of 0.7S/cm at a measurement temperature of 800°C. The activation energies were 0.72 eV at 600-800°C and 1.05 eV at 400-600°C.

  2. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  3. Effect of electron-beam deposition process variables on the film characteristics of the CrOx films

    NASA Astrophysics Data System (ADS)

    Chiu, Po-kai; Liao, Yi-Ting; Tsai, Hung-Yin; Chiang, Donyau

    2018-02-01

    The film characteristics and optical properties of the chromium oxide films on the glass substrates prepared by electron-beam deposition with different process variables were investigated. The process variables included are the various oxygen flow rates, the different applied substrate temperatures, and the preparation process in Ar or O2 surrounding environment with and without ion-assisted deposition. The optical constants of the deposited films are determined from the reflectance and transmittance measurements obtained using a spectrophotometer with wavelengths ranging from 350 nm to 2000 nm. The microstructures of the films were examined by the XRD, SEM, and XPS. The electrical conductivity was measured by a four-point probe instrument. The resulting microstructures of all the prepared films are amorphous and the features of the films are dense, uniform and no pillar structure is observed. The refractive index of deposited films decrease with oxygen flow rate increase within studied wavelengths and the extinction coefficients have the same trend in wavelengths of UV/Vis ranges. Increasing substrate temperature to 200 oC results in increase of both refractive index and extinction coefficient, but substrate temperatures below 150 oC show negligible effect on optical constants. The optical and electrical properties in the prepared CrOx films are illustrated by the analyzed XPS results, which decompose the enveloped curve of chromium electron energy status into the constituents of metal Cr, oxides CrO2 and Cr2O3. The relative occupied area contributed from metal Cr and area contributed from the other oxides can express the concentration ratio of free electron to covalent bonds in deposited films and the ratio is applied to explain the film characteristics, including the optical constants and sheet resistance.

  4. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  5. SnS2 Thin Film Deposition by Spray Pyrolysis

    NASA Astrophysics Data System (ADS)

    Jaber, Abdallah Yahia; Alamri, Saleh Noaiman; Aida, Mohammed Salah

    2012-06-01

    Tin disulfide (SnS2) thin films have been synthesized using a simplified spray pyrolysis technique using a perfume atomizer. The films were deposited using two different solutions prepared by the dilution of SnCl2 and thiourea in distilled water and in methanol. The obtained films have a microcrystalline structure. The film deposited using methanol as the solvent is nearly stochiometric SnS2 with a spinel phase having a (001) preferential orientation. The film prepared with an aqueous solution is Sn-rich. Scanning electronic microscopy (SEM) images reveal that the film deposited with the aqueous solution is rough and is formed with large wires. However, the film deposited with methanol is dense and smooth. Conductivity measurements indicate that the aqueous solution leads to an n-type semiconductor, while methanol leads to a p-type semiconductor.

  6. Optimization of the deposition conditions and structural characterization of Y1Ba2Cu3O(7-x) thin superconducting films

    NASA Technical Reports Server (NTRS)

    Chrzanowski, J.; Meng-Burany, S.; Xing, W. B.; Curzon, A. E.; Heinrich, B.; Irwin, J. C.; Cragg, R. A.; Zhou, H.; Habib, F.; Angus, V.

    1995-01-01

    Two series of Y1Ba2Cu3O(z) thin films deposited on (001) LaAl03 single crystals by excimer laser ablation under two different protocols have been investigated. The research has yielded well defined deposition conditions in terms of oxygen partial pressure p(O2) and substrate temperature of the deposition process Th, for the growth of high quality epitaxial films of YBCO. The films grown under conditions close to optimal for both j(sub c) and T(sub c) exhibited T(sub c) greater than or equal to 91 K and j(sub c) greater than or equal to 4 x 106 A/sq cm, at 77 K. Close correlations between the structural quality of the film, the growth parameters (p(O2), T(sub h)) and j(sub c) and T(sub c) have been found.

  7. Deposition of silicon carbide thin films by pulsed excimer laser ablation technique in the 25-700°C deposition temperature range

    NASA Astrophysics Data System (ADS)

    El Khakani, My A.; Gat, E.; Beaudoin, Yves; Chaker, Mohamed; Monteil, C.; Guay, Daniel; Letourneau, G.; Pepin, Henri

    1995-04-01

    Laser ablation deposition technique was used to deposit silicon carbide thin films on both Si(100) and quartz substrates. The deposition was accomplished by ablating SiC sintered ceramic targets, using a KrF (248 nm) excimer laser. At a laser intensity of about 1 X 109 W/cm2, substrate temperatures in the (25-700) degree(s)C range were investigated. When the deposition temperature is varied from 27 to 650 degree(s)C, (i) the density of a-SiC films increases from 2.6 to 3.0 g cm-3, while their mean roughness value (for a film thickness of about 1 micrometers ) slightly changes from 0.44 to 0.5 nm; (ii) the optical transmission of a-SiC films is significantly improved (the absorption coefficient at 632.8 nm wavelength was reduced by a factor of about 5); and (iii) their Si-C bond density, as determined by FTIR spectroscopy, increases from (13.1 +/- 1.3) to (23.4 +/- 2.4) 1022 bond cm-3. The increased number of Si-C bonds is correlated to the increase of the optical transmission. Over all the investigated deposition temperature range, the a-SiC films were found to be under high compressive stress around a mean value of about 1.26 GPa. The control of the stress of a-SiC films was achieved by means of post- thermal annealings and the annealed a-SiC films were successfully used to fabricate x-ray membranes.

  8. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  9. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  10. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, A.R.; Auciello, O.

    1990-05-08

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams. 10 figs.

  11. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, Alan R.; Auciello, Orlando

    1990-01-01

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams.

  12. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W.; Decker, Ulrich; Rauschenbach, Bernd

    2015-07-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ~21% and 3-4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications.

  13. The Ion-Assisted Deposition of Optical Thin Films

    DTIC Science & Technology

    1988-01-01

    nitrogen ions (Martin et al. 1984b). The substrates were maintained at room temperature or at 300 °C. Films were deposited of the characteristic gold color...band matches that reported by Hass et al. (1959) for CeF3 films, with aborption bands at 210 nm, 220 nm, 235 nm, and 250 nm 03 corresponding to...onset of aborption than LaF 3 . This could be due to the relatively short-wavelength absorption edge of La20 3 (300 nm) in comparison to NdF3 (400 nm

  14. Enhanced Self-Biased Magnetoelectric Coupling in Laser-Annealed Pb(Zr,Ti)O3 Thick Film Deposited on Ni Foil.

    PubMed

    Palneedi, Haribabu; Maurya, Deepam; Geng, Liwei D; Song, Hyun-Cheol; Hwang, Geon-Tae; Peddigari, Mahesh; Annapureddy, Venkateswarlu; Song, Kyung; Oh, Yoon Seok; Yang, Su-Chul; Wang, Yu U; Priya, Shashank; Ryu, Jungho

    2018-04-04

    Enhanced and self-biased magnetoelectric (ME) coupling is demonstrated in a laminate heterostructure comprising 4 μm-thick Pb(Zr,Ti)O 3 (PZT) film deposited on 50 μm-thick flexible nickel (Ni) foil. A unique fabrication approach, combining room temperature deposition of PZT film by granule spray in vacuum (GSV) process and localized thermal treatment of the film by laser radiation, is utilized. This approach addresses the challenges in integrating ceramic films on metal substrates, which is often limited by the interfacial chemical reactions occurring at high processing temperatures. Laser-induced crystallinity improvement in the PZT thick film led to enhanced dielectric, ferroelectric, and magnetoelectric properties of the PZT/Ni composite. A high self-biased ME response on the order of 3.15 V/cm·Oe was obtained from the laser-annealed PZT/Ni film heterostructure. This value corresponds to a ∼2000% increment from the ME response (0.16 V/cm·Oe) measured from the as-deposited PZT/Ni sample. This result is also one of the highest reported values among similar ME composite systems. The tunability of self-biased ME coupling in PZT/Ni composite has been found to be related to the demagnetization field in Ni, strain mismatch between PZT and Ni, and flexural moment of the laminate structure. The phase-field model provides quantitative insight into these factors and illustrates their contributions toward the observed self-biased ME response. The results present a viable pathway toward designing and integrating ME components for a new generation of miniaturized tunable electronic devices.

  15. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    PubMed Central

    Wilson, Rachel L.; Blackman, Christopher S.; Carmalt, Claire J.; Stanoiu, Adelina; Di Maggio, Francesco

    2018-01-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated. PMID:29494504

  16. Partially Ionized Beam Deposition of Silicon-Dioxide and Aluminum Thin Films - Defects Generation.

    NASA Astrophysics Data System (ADS)

    Wong, Justin Wai-Chow

    1987-09-01

    Detect formation in SiO_2 and Al thin films and interfaces were studied using a partially ionized beam (PIB) deposition technique. The evaporated species (the deposition material) were partially ionized to give an ion/atom ratio of <=q0.1% and the substrate was biased at 0-5kV during the deposition. The results suggest that due to the ion bombardment, stoichiometric SiO_2 films can be deposited at a low substrate temperature (~300 ^circC) and low oxygen pressure (<=q10^{-4} Torr). Such deposition cannot be achieved using conventional evaporation-deposition techniques. However, traps and mobile ions were observed in the oxide and local melt-down was observed when a sufficiently high electric field was applied to the film. For the PIB Al deposition on the Si substrate, stable Al/Si Schottky contact was formed when the substrate bias was <=q1kV. For a substrate bias of 2.5kV, the capacitance of the Al/Si interface increased dramatically. A model of self-ion implantation with a p-n junction created by the Al^+ ion implantation was proposed and tested to explain the increase of the interface capacitance. Several deep level states at the Al/Si interface were observed using Deep Level Transient Spectroscopy (DLTS) technique when the film was deposited at a bias of 3kV. The PIB Al films deposited on the Si substrate showed unusually strong electromigration resistance under high current density operation. This phenomenon was explained by the highly oriented microstructure of the Al films created by the self-ion bombardment during deposition. These findings show that PIB has potential applications in a number of areas, including low temperature thin film deposition, and epitaxial growth of thin films in the microelectronics thin film industry.

  17. Fabrication and ferroelectric properties of highly dense lead-free piezoelectric (K0.5Na0.5)NbO3 thick films by aerosol deposition

    NASA Astrophysics Data System (ADS)

    Ryu, Jungho; Choi, Jong-Jin; Hahn, Byung-Dong; Park, Dong-Soo; Yoon, Woon-Ha; Kim, Ki-Hoon

    2007-04-01

    Lead-free piezoelectric thick films of (K0.5Na0.5)NbO3 were fabricated by aerosol-deposition method. The thickness of KNN film was 7.1μm and fully dense films were obtained. The dielectric constants ɛ3T/ɛ0 of the as-deposited and annealed films at 1kHz were 116 and 545, respectively, which are higher than any previously reported values for lead-free piezoelectric thin/thick films, either without or with heat treatment. The ferroelectric properties were improved after annealing and the maximum values of Pr=8.1μC/cm3 and Ec=100kV/cm were achieved. These values are markedly superior to those of sintered KNN ceramic counterparts.

  18. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    NASA Astrophysics Data System (ADS)

    Tucker, Mark D.; Czigány, Zsolt; Broitman, Esteban; Näslund, Lars-Åke; Hultman, Lars; Rosen, Johanna

    2014-04-01

    Carbon and carbon nitride films (CNx, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A "fullerene-like" (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CNx films, was observed in films deposited at 175 °C and above, with N2 pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradual transition from majority sp3-hybridized films to sp2 films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CNx films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.

  19. Sputter Deposition of Yttrium-Barium Superconductor and Strontium Titanium Oxide Barrier Layer Thin Films

    NASA Astrophysics Data System (ADS)

    Truman, James Kelly

    1992-01-01

    The commercial application of superconducting rm YBa_2Cu_3O_{7 -x} thin films requires the development of deposition methods which can be used to reproducibly deposit films with good superconducting properties on insulating and semiconducting substrates. Sputter deposition is the most popular method to fabricate Y-Ba-Cu-O superconductor thin films, but when used in the standard configuration suffers from a deviation between the compositions of the Y-Ba-Cu-O sputter target and deposited films, which is thought to be primarily due to resputtering of the film by negative ions sputtered from the target. In this study, the negative ions were explicitly identified and were found to consist predominantly O^-. The sputter yield of O^- was found to depend on the Ba compound used in the fabrication of Y -Ba-Cu-O targets and was related to the electronegativity difference between the components. An unreacted mixture of rm Y_2O_3, CuO, and BaF_2 was found to have the lowest O^- yield among targets with Y:Ba:Cu = 1:2:3. The high yield of O^- from rm YBa_2Cu_3O _{7-x} was found to depend on the target temperature and be due to the excess oxygen present. The SIMS negative ion data supported the composition data for sputter-deposited Y-Ba-Cu-O films. Targets using BaF _2 were found to improve the Ba deficiency, the run-to-run irreproducibility and the nonuniformity of the film composition typically found in sputtered Y -Ba-Cu-O films. Superconducting Y-Ba-Cu-O films were formed on SrTiO_3 substrates by post-deposition heat treatment of Y-Ba-Cu-O-F films in humid oxygen. The growth of superconducting rm YBa_2Cu_3O_{7-x}, thin films on common substrates such as sapphire or silicon requires the use of a barrier layer to prevent the deleterious interaction which occurs between Y-Ba-Cu-O films and these substrates. Barrier layers of SrTiO_3 were studied and found to exhibit textured growth with a preferred (111) orientation on (100) Si substrates. However, SrTiO_3 was found to be

  20. Deposition and characterization of ZnSe nanocrystalline thin films

    NASA Astrophysics Data System (ADS)

    Temel, Sinan; Gökmen, F. Özge; Yaman, Elif; Nebi, Murat

    2018-02-01

    ZnSe nanocrystalline thin films were deposited at different deposition times by using the Chemical Bath Deposition (CBD) technique. Effects of deposition time on structural, morphological and optical properties of the obtained thin films were characterized. X-ray diffraction (XRD) analysis was used to study the structural properties of ZnSe nanocrystalline thin films. It was found that ZnSe thin films have a cubic structure with a preferentially orientation of (111). The calculated average grain size value was about 28-30 nm. The surface morphology of these films was studied by the Field Emission Scanning Electron Microscope (FESEM). The surfaces of the thin films were occurred from small stacks and nano-sized particles. The band gap values of the ZnSe nanocrystalline thin films were determined by UV-Visible absorption spectrum and the band gap values were found to be between 2.65-2.86 eV.

  1. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    NASA Astrophysics Data System (ADS)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  2. Thin film processing of photorefractive BaTiO3

    NASA Technical Reports Server (NTRS)

    Schuster, Paul R.

    1993-01-01

    During the period covered by this report, October 11, 1991 through October 10, 1992, the research has progressed in a number of different areas. The sol-gel technique was initially studied and experimentally evaluated for depositing films of BaTiO3. The difficulties with the precursors and the poor quality of the films deposited lead to the investigation of pulsed laser deposition as an alternative approach. The development of the pulsed laser deposition technique has resulted in continuous improvements to the quality of deposited films of BaTiO3. The initial depositions of BaTiO3 resulted in amorphous films, however, as the pulsed laser deposition technique continued to evolve, films were deposited in the polycrystalline state, then the textured polycrystalline state, and most recently heteroepitaxial films have also been successfully deposited on cubic (100) oriented SrTiO3 substrates. A technique for poling samples at room temperature and in air is also undergoing development with some very preliminary but positive results. The analytical techniques, which include x-ray diffraction, ferroelectric analysis, UV-Vis spectrophotometry, scanning electron microscopy with x-ray compositional analysis, optical and polarized light microscopy, and surface profilometry have been enhanced to allow for more detailed evaluation of the samples. In the area of optical characterization, a pulsed Nd:YAG laser has been incorporated into the experimental configuration. Now data can also be acquired within various temporal domains resulting in more detailed information on the optical response of the samples and on their photorefractive sensitivity. The recent establishment of collaborative efforts with two departments at Johns Hopkins University and the Army Research Lab at Fort Belvoir has also produced preliminary results using the metallo-organic decomposition technique as an alternative method for thin film processing of BaTiO3. RF and DC sputtering is another film deposition

  3. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    NASA Astrophysics Data System (ADS)

    Bao, Quanhe; Chen, Chuanzhong; Wang, Diangang; Liu, Junming

    2008-11-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 43- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA.

  4. Residual stress in obliquely deposited MgF2 thin films.

    PubMed

    Jaing, Cheng-Chung; Liu, Ming-Chung; Lee, Cheng-Chung; Cho, Wen-Hao; Shen, Wei-Ting; Tang, Chien-Jen; Liao, Bo-Huei

    2008-05-01

    MgF(2) films with a columnar microstructure are obliquely deposited on glass substrates by resistive heating evaporation. The columnar angles of the films increases with the deposition angle. Anisotropic stress does not develop in the films with tilted columns. The residual stresses in the films depend on the deposition and columnar angles in a columnar microstructure.

  5. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  6. Deposition of hard elastic hydrogenated fullerenelike carbon films

    NASA Astrophysics Data System (ADS)

    Wang, Zhou; Zhang, Junyan

    2011-05-01

    Hydrogenated fullerenelike carbon (H-FLC) films, with high hardness of 41.7 ± 1.4 GPa and elastic recovery of ˜75.1%, have been uniformly deposited at low temperature by pulse direct current plasma enhanced chemical vapor deposition (pulse DC PECVD). The superior mechanical properties of the H-FLC films are attributed to the unique curvature and interconnection of graphitic basal planes. We propose the fullerenelike structures are formed in the far nonequilibrium pulse plasma environment and stabilized in the sequential fast quenching process. It is expected that the facile deposition of H-FLC films will promote the large-scale low-temperature preparation of engineering protective films for industrial applications.

  7. Ion beam deposition of in situ superconducting Y-Ba-Cu-O films

    NASA Astrophysics Data System (ADS)

    Klein, J. D.; Yen, A.; Clauson, S. L.

    1990-01-01

    Oriented superconducting YBa2Cu3O7 thin films were deposited on yttria-stabilized zirconia substrates by ion beam sputtering of a nonstoichiometric oxide target. The films exhibited zero-resistance critical temperatures as high as 80.5 K without post-deposition anneals. Both the deposition rate and the c lattice parameter data displayed two distinct regimes of dependence on the beam power of the ion source. Low-power sputtering yielded films with large c dimensions and low Tc's. Higher power sputtering produced a continuous decrease in the c lattice parameter and an increase in critical temperatures.

  8. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1986-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter deposition are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq cm resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x 10 to the -6th/ohm cm for 300 angstrom film to 2.56 x 10 to the -1/ohm cm for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  9. Evaluation of the structural, optical and electrical properties of AZO thin films prepared by chemical bath deposition for optoelectronics

    NASA Astrophysics Data System (ADS)

    Kumar, K. Deva Arun; Valanarasu, S.; Rosario, S. Rex; Ganesh, V.; Shkir, Mohd.; Sreelatha, C. J.; AlFaify, S.

    2018-04-01

    Aluminum doped zinc oxide (AZO) thin films for electrode applications were deposited on glass substrates using chemical bath deposition (CBD) method. The influence of deposition time on the structural, morphological, and opto-electrical properties of AZO films were investigated. Structural studies confirmed that all the deposited films were hexagonal wurtzite structure with polycrystalline nature and exhibited (002) preferential orientation. There is no other impurity phases were detected for different deposition time. Surface morphological images shows the spherically shaped grains are uniformly arranged on to the entire film surface. The EDS spectrum confirms the presence of Zn, O and Al elements in deposited AZO film. The observed optical transmittance is high (87%) in the visible region, and the calculated band gap value is 3.27 eV. In this study, the transmittance value is decreased with increasing deposition time. The room temperature PL spectrum exposed that AZO thin film deposited at (60 min) has good optical quality with less defect density. The minimum electrical resistivity and maximum carrier concentration values were observed as 8.53 × 10-3(Ω cm) and 3.53 × 1018 cm-3 for 60 min deposited film, respectively. The obtained figure of merit (ϕ) value 3.05 × 10-3(Ω/sq)- 1 is suggested for an optoelectronic device.

  10. Low-Temperature Wafer-Scale Deposition of Continuous 2D SnS2 Films.

    PubMed

    Mattinen, Miika; King, Peter J; Khriachtchev, Leonid; Meinander, Kristoffer; Gibbon, James T; Dhanak, Vin R; Räisänen, Jyrki; Ritala, Mikko; Leskelä, Markku

    2018-04-19

    Semiconducting 2D materials, such as SnS 2 , hold immense potential for many applications ranging from electronics to catalysis. However, deposition of few-layer SnS 2 films has remained a great challenge. Herein, continuous wafer-scale 2D SnS 2 films with accurately controlled thickness (2 to 10 monolayers) are realized by combining a new atomic layer deposition process with low-temperature (250 °C) postdeposition annealing. Uniform coating of large-area and 3D substrates is demonstrated owing to the unique self-limiting growth mechanism of atomic layer deposition. Detailed characterization confirms the 1T-type crystal structure and composition, smoothness, and continuity of the SnS 2 films. A two-stage deposition process is also introduced to improve the texture of the films. Successful deposition of continuous, high-quality SnS 2 films at low temperatures constitutes a crucial step toward various applications of 2D semiconductors. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  12. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy L. (Inventor)

    2007-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  13. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy (Inventor)

    2011-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  14. Iron-Terephthalate Coordination Network Thin Films Through In-Situ Atomic/Molecular Layer Deposition.

    PubMed

    Tanskanen, A; Karppinen, M

    2018-06-12

    Iron terephthalate coordination network thin films can be fabricated using the state-of-the-art gas-phase atomic/molecular layer deposition (ALD/MLD) technique in a highly controlled manner. Iron is an Earth-abundant and nonhazardous transition metal, and with its rich variety of potential applications an interesting metal constituent for the inorganic-organic coordination network films. Our work underlines the role of the metal precursor used when aiming at in-situ ALD/MLD growth of crystalline inorganic-organic thin films. We obtain crystalline iron terephthalate films when FeCl 3 is employed as the iron source whereas depositions based on the bulkier Fe(acac) 3 precursor yield amorphous films. The chemical composition and structure of the films are investigated with GIXRD, XRR, FTIR and XPS.

  15. Development of Thick-Film Thermoelectric Microcoolers Using Electrochemical Deposition

    NASA Technical Reports Server (NTRS)

    Fleurial, J.-P.; Borshchevsky, A.; Ryan, M. A.; Phillips, W. M.; Snyder, J. G.; Caillat, T.; Kolawa, E. A.; Herman, J. A.; Mueller, P.; Nicolet, M.

    2000-01-01

    Advanced thermoelectric microdevices integrated into thermal management packages and low power, electrical source systems are of interest for a variety of space and terrestrial applications. By shrinking the size of the thermoelements, or legs, of these devices, it becomes possible to handle much higher heat fluxes, as well as operate at much lower currents and higher voltages that are more compatible with electronic components. The miniaturization of state-of-the-art thermoelectric module technology based on Bi2Te3 alloys is limited due to mechanical and manufacturing constraints for both leg dimensions (100-200 gm thick minimum) and the number of legs (100-200 legs maximum). We are investigating the development of novel microdevices combining high thermal conductivity substrate materials such as diamond, thin film metallization and patterning technology, and electrochemical deposition of thick thermoelectric films. It is anticipated that thermoelectric microcoolers with thousands of thermocouples and capable of pumping more than 200 W/sq cm over a 30 to 60 K temperature difference can be fabricated. In this paper, we report on our progress in developing an electrochemical deposition process for obtaining 10-50 microns thick films of Bi2Te3 and its solid solutions. Results presented here indicate that good quality n-type Bi2Te3, n-type Bi2Te(2.95)Se(0.05) and p-type Bi(0.5)Sb(1.5)Te3 thick films can be deposited by this technique. Some details about the fabrication of the miniature thermoelements are also described.

  16. Crystallization Mechanism and Charge Carrier Transport in MAPLE-Deposited Conjugated Polymer Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Ban Xuan; Strzalka, Joseph; Jiang, Zhang

    Although spin casting and chemical surface reactions are the most common methods used for fabricating functional polymer films onto substrates, they are limited with regard to producing films of certain morphological characteristics on different wetting and nonwetting substrates. The matrix-assisted pulsed laser evaporation (MAPLE) technique offers advantages with regard to producing films of different morphologies on different types of substrates. Here, we provide a quantitative characterization, using X-ray diffraction and optical methods, to elucidate the additive growth mechanism of MAPLE-deposited poly(3-hexylthiophene) (P3HT) films on substrates that have undergone different surface treatments, enabling them to possess different wettabilities. We show thatmore » MAPLE-deposited films are composed of crystalline phases, wherein the overall P3HT aggregate size and crystallite coherence length increase with deposition time. A complete pole figure constructed from X-ray diffraction measurements reveals that in these MAPLE-deposited films, there exist two distinct crystallite populations: (i) highly oriented crystals that grow from the flat dielectric substrate and (ii) misoriented crystals that preferentially grow on top of the existing polymer layers. The growth of the highly oriented crystals is highly sensitive to the chemistry of the substrate, whereas the effect of substrate chemistry on misoriented crystal growth is weaker. The use of a self-assembled monolayer to treat the substrate greatly enhances the population and crystallite coherence length at the buried interfaces, particularly during the early stage of deposition. Furthermore, the evolution of the in-plane carrier mobilities during the course of deposition is consistent with the development of highly oriented crystals at the buried interface, suggesting that this interface plays a key role toward determining carrier transport in organic thin-film transistors.« less

  17. Crystallization Mechanism and Charge Carrier Transport in MAPLE-Deposited Conjugated Polymer Thin Films

    DOE PAGES

    Dong, Ban Xuan; Strzalka, Joseph; Jiang, Zhang; ...

    2017-11-23

    Although spin casting and chemical surface reactions are the most common methods used for fabricating functional polymer films onto substrates, they are limited with regard to producing films of certain morphological characteristics on different wetting and nonwetting substrates. The matrix-assisted pulsed laser evaporation (MAPLE) technique offers advantages with regard to producing films of different morphologies on different types of substrates. Here, we provide a quantitative characterization, using X-ray diffraction and optical methods, to elucidate the additive growth mechanism of MAPLE-deposited poly(3-hexylthiophene) (P3HT) films on substrates that have undergone different surface treatments, enabling them to possess different wettabilities. We show thatmore » MAPLE-deposited films are composed of crystalline phases, wherein the overall P3HT aggregate size and crystallite coherence length increase with deposition time. A complete pole figure constructed from X-ray diffraction measurements reveals that in these MAPLE-deposited films, there exist two distinct crystallite populations: (i) highly oriented crystals that grow from the flat dielectric substrate and (ii) misoriented crystals that preferentially grow on top of the existing polymer layers. The growth of the highly oriented crystals is highly sensitive to the chemistry of the substrate, whereas the effect of substrate chemistry on misoriented crystal growth is weaker. The use of a self-assembled monolayer to treat the substrate greatly enhances the population and crystallite coherence length at the buried interfaces, particularly during the early stage of deposition. Furthermore, the evolution of the in-plane carrier mobilities during the course of deposition is consistent with the development of highly oriented crystals at the buried interface, suggesting that this interface plays a key role toward determining carrier transport in organic thin-film transistors.« less

  18. Magnetism of Amorphous and Nano-Crystallized Dc-Sputter-Deposited MgO Thin Films

    PubMed Central

    Mahadeva, Sreekanth K.; Fan, Jincheng; Biswas, Anis; Sreelatha, K.S.; Belova, Lyubov; Rao, K.V.

    2013-01-01

    We report a systematic study of room-temperature ferromagnetism (RTFM) in pristine MgO thin films in their amorphous and nano-crystalline states. The as deposited dc-sputtered films of pristine MgO on Si substrates using a metallic Mg target in an O2 containing working gas atmosphere of (N2 + O2) are found to be X-ray amorphous. All these films obtained with oxygen partial pressure (PO2) ~10% to 80% while maintaining the same total pressure of the working gas are found to be ferromagnetic at room temperature. The room temperature saturation magnetization (MS) value of 2.68 emu/cm3 obtained for the MgO film deposited in PO2 of 10% increases to 9.62 emu/cm3 for film deposited at PO2 of 40%. However, the MS values decrease steadily for further increase of oxygen partial pressure during deposition. On thermal annealing at temperatures in the range 600 to 800 °C, the films become nanocrystalline and as the crystallite size grows with longer annealing times and higher temperature, MS decreases. Our study clearly points out that it is possible to tailor the magnetic properties of thin films of MgO. The room temperature ferromagnetism in MgO films is attributed to the presence of Mg cation vacancies. PMID:28348346

  19. In situ X-ray study of the structural evolution of gold nano-domains by spray deposition on thin conductive P3HT films.

    PubMed

    Al-Hussein, M; Schindler, M; Ruderer, M A; Perlich, J; Schwartzkopf, M; Herzog, G; Heidmann, B; Buffet, A; Roth, S V; Müller-Buschbaum, P

    2013-02-26

    Gold (Au) nanoparticles are deposited from aqueous solution onto one of the most used conductive polymers, namely poly(3-hexylthiophene) (P3HT), using airbrush deposition. We report on the structure formation and packing of the Au nanoparticles after a 5 s spray cycle. In situ grazing incidence small-angle X-ray scattering (GISAXS) measurements with 20 ms time resolution allow a real-time observation of the emergence and evolution of the microstructure during a spray cycle and subsequent solvent evaporation. The results reveal multistage nanoscale ordering of the Au nanoparticles during the spray cycle. Further ex situ atomic force microscopy measurements of the sprayed films showed the formation of Au monolayer islands on top of the polymer film. Our study suggests that the solvent-substrate interaction as well as solvent evaporation kinetics are important factors that need to be taken into consideration in order to grow a compact uniform monolayer film for the fabrication of ultrathin films using airbrush deposition.

  20. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  1. Oblique angle deposition-induced anisotropy in Co2FeAl films

    NASA Astrophysics Data System (ADS)

    Zhou, W.; Brock, J.; Khan, M.; Eid, K. F.

    2018-06-01

    A series of Co2FeAl Heusler alloy films, fabricated on Si/SiO2 substrates by magnetron sputtering-oblique angle deposition technique, have been investigated by magnetization and transport measurements. The morphology and magnetic anisotropy of the films strongly depended on the deposition angle. While the film deposited at zero degree (i.e. normal incidence) did not show any anisotropy, the films deposited at higher angles showed unusually strong in-plane anisotropy that increased with deposition angle. The enhanced anisotropy was well-reflected in the direction-dependent magnetization and the coercivity of the films that increased dramatically from 30 Oe to 490 Oe. In a similar vein, the electrical resistivity of the films also increased drastically, especially for deposition angles larger than 60°. These anisotropic effects and their relation to the morphology of the films are discussed.

  2. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    NASA Astrophysics Data System (ADS)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  3. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  4. Influence of piezoelectric strain on the Raman spectra of BiFeO 3 films deposited on PMN-PT substrates

    DOE PAGES

    Himcinschi, Cameliu; Guo, Er -Jia; Talkenberger, Andreas; ...

    2016-01-27

    In this study, BiFeO 3 epitaxial thin films were deposited on piezoelectric 0.72Pb(Mg 1/3Nb 2/3)O 3-0.28PbTiO 3 (PMN-PT) substrates with a conductive buffer layer (La 0.7Sr 0.3MnO 3 or SrRuO 3) using pulsed laser deposition. The calibration of the strain values induced by the electric field applied on the piezoelectric PMN-PT substrates was realised using X-Ray diffraction measurements. The method of piezoelectrically induced strain allows to obtain a quantitative correlation between strain and the shift of the Raman-active phonons, ruling out the influence of extrinsic factors, such as growth conditions, crystalline quality of substrates, or film thickness. Using the Poissonmore » number for BiFeO 3 one can determine the volume change induced by strain, and therefore the Gr neisen parameters for specific phonon modes.« less

  5. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tucker, Mark D., E-mail: martu@ifm.liu.se; Broitman, Esteban; Näslund, Lars-Åke

    Carbon and carbon nitride films (CN{sub x}, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A “fullerene-like” (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CN{sub x} films, was observed in films deposited at 175 °C and above, with N{sub 2} pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradualmore » transition from majority sp{sup 3}-hybridized films to sp{sup 2} films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CN{sub x} films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.« less

  6. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  7. 3D printed orodispersible films with Aripiprazole.

    PubMed

    Jamróz, Witold; Kurek, Mateusz; Łyszczarz, Ewelina; Szafraniec, Joanna; Knapik-Kowalczuk, Justyna; Syrek, Karolina; Paluch, Marian; Jachowicz, Renata

    2017-11-30

    Three dimensional printing technology is gaining in importance because of its increasing availability and wide applications. One of the three dimensional printing techniques is Fused Deposition Modelling (FDM) which works on the basis of hot melt extrusion-well known in the pharmaceutical technology. Combination of fused deposition modelling with preparation of the orodispersible film with poorly water soluble substance such as aripiprazole seems to be extra advantageous in terms of dissolution rate. 3D printed as well as casted films were compared in terms of physicochemical and mechanical properties. Moreover, drug-free films were prepared to evaluate the impact of the extrusion process and aripiprazole presence on the film properties. X-ray diffractometry and thermal analyses confirmed transition of aripiprazole into amorphous state during film preparation using 3D printing technique. Amorphization of the aripiprazole and porous structure of printed film led to increased dissolution rate in comparison to casted films, which, however have slightly better mechanical properties due to their continuous structure. It can be concluded that fused deposition modelling is suitable technique and polyvinyl alcohol is applicable polymer for orodispersible films preparation. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Pulsed Laser Deposited Ferromagnetic Chromium Dioxide thin Films for Applications in Spintronics

    NASA Astrophysics Data System (ADS)

    Dwivedi, S.; Jadhav, J.; Sharma, H.; Biswas, S.

    Stable rutile type tetragonal chromium dioxide (CrO2) thin films have been deposited on lattice-matched layers of TiO2 by KrF excimer laser based pulsed laser deposition (PLD) technique using Cr2O3 target. The TiO2 seed layer was deposited on oxidized Si substrates by the same PLD process followed by annealing at 1100 °C for 4 h. The lattice-matched interfacial layer is required for the stabilization of Cr (IV) phase in CrO2, since CrO2 behaves as a metastable compound under ambient conditions and readily converts into its stable phase of Cr (III) oxide, Cr2O3. Analyses with X-ray diffraction (XRD), Glancing-angle XRD (GIXRD), Raman spectroscopy and grazing-angle Fourier transform infra-red (FTIR) spectroscopy confirm the presence of tetragonal CrO2 phase in the as-deposited films. Microstructure and surface morphology in the films were studied with field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). Electrical and magnetic characterizations of the films were performed at room temperature. Such type of stable half-metallic CrO2 thin films with low field magnetoresistive switching behaviour are in demand for applications as diverse as spin-FETs, magnetic sensors, and magneto-optical devices.

  9. Tilted BaHfO3 nanorod artificial pinning centres in REBCO films on inclined substrate deposited-MgO coated conductor templates

    NASA Astrophysics Data System (ADS)

    Stafford, B. H.; Sieger, M.; Ottolinger, R.; Meledin, A.; Strickland, N. M.; Wimbush, S. C.; Van Tendeloo, G.; Hühne, R.; Schultz, L.

    2017-05-01

    We grow BaHfO3 (BHO) nanorods in REBa2Cu3O7-x (REBCO, RE: Gd or Y) thin films on metal tapes coated with the inclined substrate deposited (ISD)-MgO template by both electron beam physical vapour deposition and pulsed laser deposition. In both cases the nanorods are inclined by an angle of 21°-29° with respect to the sample surface normal as a consequence of the tilted growth of the REBCO film resulting from the ISD-MgO layer. We present angular critical current density (J c) anisotropy as well as field- and temperature-dependant J c data of the BHO nanorod-containing GdBCO films demonstrating an increase in J c over a wide range of temperatures between 30 and 77 K and magnetic fields up to 8 T. In addition, we show that the angle of the peak in the J c anisotropy curve resulting from the nanorods is dependent both on temperature and magnetic field. The largest J c enhancement from the addition of the nanorods was found to occur at 30 K, 3 T, resulting in a J c of 3.0 MA cm-2.

  10. Strain and in-plane orientation effects on the ferroelectricity of (111)-oriented tetragonal Pb(Zr0.35Ti0.65)O3 thin films prepared by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kuwabara, Hiroki; Menou, Nicolas; Funakubo, Hiroshi

    2007-05-01

    The growth and characterization of epitaxial (111)-oriented Pb(Zr0.35Ti0.65)O3 films deposited by metal organic chemical vapor deposition on (100)-oriented silicon substrates [(111)SrRuO3‖(111)Pt ‖(100)yttria-stabilizedzirconia‖(100)Si] are reported. The orientation, microstructure, and electric properties of these films are compared to those of fiber-textured highly (111)-oriented lead zirconate titanate (PZT) films deposited on (111)SrRuO3/(111)Pt/TiOx/SiO2/(100)Si substrates and epitaxial (111)-oriented PZT films deposited on (111)SrRuO3‖(111)SrTiO3 substrates. The ferroelectric properties of these films are not drastically influenced by the in-plane orientation of the film and by the strain state imposed by the underlying substrate. These results support the use of fiber-textured highly (111)-oriented films in highly stable ferroelectric capacitors.

  11. Influence of solution deposition rate on properties of V2O5 thin films deposited by spray pyrolysis technique

    NASA Astrophysics Data System (ADS)

    Abd-Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-07-01

    Vanadium oxide (V2O5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films' crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V2O5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  12. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    PubMed Central

    Guo, Jing; Valdesueiro, David; Yuan, Shaojun; Liang, Bin; van Ommen, J. Ruud

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development. PMID:29364840

  13. Chemically Deposited Thin-Film Solar Cell Materials

    NASA Technical Reports Server (NTRS)

    Raffaelle, R.; Junek, W.; Gorse, J.; Thompson, T.; Harris, J.; Hehemann, D.; Hepp, A.; Rybicki, G.

    2005-01-01

    We have been working on the development of thin film photovoltaic solar cell materials that can be produced entirely by wet chemical methods on low-cost flexible substrates. P-type copper indium diselenide (CIS) absorber layers have been deposited via electrochemical deposition. Similar techniques have also allowed us to incorporate both Ga and S into the CIS structure, in order to increase its optical bandgap. The ability to deposit similar absorber layers with a variety of bandgaps is essential to our efforts to develop a multi-junction thin-film solar cell. Chemical bath deposition methods were used to deposit a cadmium sulfide (CdS) buffer layers on our CIS-based absorber layers. Window contacts were made to these CdS/CIS junctions by the electrodeposition of zinc oxide (ZnO). Structural and elemental determinations of the individual ZnO, CdS and CIS-based films via transmission spectroscopy, x-ray diffraction, x-ray photoelectron spectroscopy and energy dispersive spectroscopy will be presented. The electrical characterization of the resulting devices will be discussed.

  14. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  15. Structure and Internal Stress of Tin-Doped Indium Oxide and Indium-Zinc Oxide Films Deposited by DC Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Nishimura, Eriko; Sasabayashi, Tomoko; Ito, Norihiro; Sato, Yasushi; Utsumi, Kentaro; Yano, Koki; Kaijo, Akira; Inoue, Kazuyoshi; Shigesato, Yuzo

    2007-12-01

    Representative transparent conductive oxide films, such as tin-doped indium oxide (ITO) and indium-zinc oxide (IZO) films, were deposited by dc magnetron sputtering using corresponding oxide targets under various total gas pressures (Ptot) ranging from 0.3 to 3.0 Pa. The ITO films deposited at a Ptot lower than 0.7 Pa were polycrystalline and were found to have a large compressive stress of about 1.5 × 109 Pa, whereas the ITO films deposited at 1.5-3.0 Pa were amorphous and had a low tensile stress. In contrast, all the IZO films deposited at a Ptot range of 0.3-3.0 Pa showed an entirely amorphous structure, where the compressive stress in the IZO films deposited at a Ptot lower than 1.5 Pa was lower than that in the ITO films. Such compressive stress was considered to be generated by the atomic peening effect of high-energy neutrals (Ar0) recoiled from the target or high-energy negative ions (O-) accelerated in the cathode sheath toward the film surface.

  16. The Deposition of Multicomponent Films for Electrooptic Applications via a Computer Controlled Dual Ion Beam Sputtering System

    DTIC Science & Technology

    1991-12-31

    AD-A252 218 The Deposition of Multicomponent Films for Electrooptic Applications via a Computer Controlled Dual Ion Beam Sputtering System ONR...6 3 2. Deposition of Electrooptic Thin Films ................................... 11 3. High Resolution Imaging of Twin and Antiphase...Domain Boundaries in Perovskite KNbO3 Thin Films .......... 30 4. Microstructural Characterization of the Epitaxial3 (111) KNbO3 on (0001) Sapphire

  17. Cadmium sulfide thin films growth by chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  18. Epitaxial Ni-Mn-Ga films deposited on SrTiO{sub 3} and evidence of magnetically induced reorientation of martensitic variants at room temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heczko, O.; Thomas, M.; Buschbeck, J.

    2008-02-18

    Epitaxial Ni-Mn-Ga films were grown on SrTiO{sub 3} by sputter deposition. The films deposited at 673 K are ferromagnetic and martensitic at room temperature. Pole figure measurements indicate that the twinned orthorhombic martensite microstructure of the film has a lower symmetry compared to bulk. Magnetically induced reorientation or magnetic shape memory effect is indicated by magnetization curve measurements. Though the overall extension of the film is constrained by a rigid substrate, the reorientation is possible due to the additional degree of freedom in the orthorhombic phase.

  19. Pulsed laser deposition of niobium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farha, Ashraf Hassan, E-mail: ahass006@odu.edu; Elsayed-Ali, Hani E., E-mail: helsayed@odu.edu; Applied Research Center, Jefferson National Accelerator Facility, Newport News, VA 23606

    2015-12-04

    Niobium nitride (NbN{sub x}) films were grown on Nb and Si(100) substrates using pulsed laser deposition. NbN{sub x} films were deposited on Nb substrates using PLD with a Q-switched Nd:YAG laser (λ = 1064 nm, ∼40 ns pulse width, and 10 Hz repetition rate) at different laser fluences, nitrogen background pressures and deposition substrate temperatures. When all the fabrication parameters are fixed, except for the laser fluence, the surface roughness, nitrogen content, and grain size increase with increasing laser fluence. Increasing nitrogen background pressure leads to a change in the phase structure of the NbN{sub x} films from mixed β-Nb{sub 2}N and cubicmore » δ-NbN phases to single hexagonal β-Nb{sub 2}N. The substrate temperature affects the preferred orientation of the crystal structure. The structural and electronic, properties of NbN{sub x} deposited on Si(100) were also investigated. The NbN{sub x} films exhibited a cubic δ-NbN with a strong (111) orientation. A correlation between surface morphology, electronic, and superconducting properties was found. The observations establish guidelines for adjusting the deposition parameters to achieve the desired NbN{sub x} film morphology and phase.« less

  20. YBa2Cu3O7 thin films on nanocrystalline diamond films for HTSC bolometer

    NASA Technical Reports Server (NTRS)

    Cui, G.; Beetz, C. P., Jr.; Boerstler, R.; Steinbeck, J.

    1993-01-01

    Superconducting YBa2Cu3O(7-x) films on nanocrystalline diamond thin films have been fabricated. A composite buffer layer system consisting of diamond/Si3N4/YSZ/YBCO was explored for this purpose. The as-deposited YBCO films were superconducting with Tc of about 84 K and a relatively narrow transition width of about 8 K. SEM cross sections of the films showed very sharp interfaces between diamond/Si3N4 and between Si3N4/YSZ. The deposited YBCO film had a surface roughness of about 1000 A, which is suitable for high-temperature superconductive (HTSC) bolometer fabrication. It was also found that preannealing of the nanocrystalline diamond thin films at high temperature was very important for obtaining high-quality YBCO films.

  1. Microstructure of thermally grown and deposited alumina films probed with positrons

    NASA Astrophysics Data System (ADS)

    Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    1999-03-01

    Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.

  2. Cu-Doped ZnO Thin Films Grown by Co-deposition Using Pulsed Laser Deposition for ZnO and Radio Frequency Sputtering for Cu

    NASA Astrophysics Data System (ADS)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-05-01

    Cu-doped ZnO (CZO) thin films were fabricated on single-crystalline (0001) Al2O3 substrates by co-deposition using pulsed laser deposition for ZnO and radio frequency sputtering for Cu. CZO thin films with 0-20% molar concentrations are obtained by adjusting the deposition rates of ZnO and Cu. The CZO thin films exhibit room temperature ferromagnetism, and CZO with 5% Cu molar concentration has maximum remanent magnetization, which is consistent with theoretical results.

  3. Characterization of PLD grown WO3 thin films for gas sensing

    NASA Astrophysics Data System (ADS)

    Boyadjiev, Stefan I.; Georgieva, Velichka; Stefan, Nicolaie; Stan, George E.; Mihailescu, Natalia; Visan, Anita; Mihailescu, Ion N.; Besleaga, Cristina; Szilágyi, Imre M.

    2017-09-01

    Tungsten trioxide (WO3) thin films were grown by pulsed laser deposition (PLD) with the aim to be applied in gas sensors. The films were studied by atomic force microscopy (AFM), X-ray diffraction (XRD), Fourier transform infrared (FTIR) spectroscopy and profilometry. To study the gas sensing behavior of these WO3 films, they were deposited on quartz resonators and the quartz crystal microbalance (QCM) method was applied to analyze their gas sensitivity. Synthesis of tetragonal-WO3 films starting from a target with predominantly monoclinic WO3 phase was observed. The films deposited at 300 °C presented a surface topology favorable for the sorption properties, consisting of a film matrix with protruding craters/cavities. QCM prototype sensors with such films were tested for NO2 sensing. The PLD grown WO3 thin films show good sensitivity and fast reaction at room temperature, even in as-deposited state. With the presented technology, the manufacturing of QCM gas sensors is simple, fast and cost-effective, and it is also suitable for energy-effective portable equipment for on-line monitoring of environmental changes.

  4. Robust and Bright Photoluminescence from Colloidal Nanocrystal/Al2O3 Composite Films Fabricated by Atomic Layer Deposition.

    PubMed

    Palei, Milan; Caligiuri, Vincenzo; Kudera, Stefan; Krahne, Roman

    2018-06-22

    Colloidal nanocrystals are a promising fluorescent class of materials whose spontaneous emission features can be tuned over a broad spectral range via their composition, geometry, and size. However, toward embedding nanocrystal films in elaborated device geometries, one significant drawback is the sensitivity of their emission properties on further fabrication processes like lithography, metal or oxide deposition, etc. In this work, we demonstrate how bright-emitting and robust thin films can be obtained by combining nanocrystal deposition from solutions via spin coating with subsequent atomic layer deposition of alumina. For the resulting composite films, the layer thickness can be controlled on the nanoscale and their refractive index can be finely tuned by the amount of deposited alumina. Ellipsometry is used to measure the real and imaginary part of the dielectric permittivity, which gives direct access to the wavelength dependent refractive index and absorbance of the film. Detailed analysis of the photophysics of thin films of core-shell nanocrystals with different shapes and different shell thicknesses allows to correlate the behavior of the photoluminescence and of the decay lifetime to the changes in the nonradiative rate that are induced by the alumina deposition. We show that the photoemission properties of such composite films are stable in wavelength and intensity over several months and that the photoluminescence completely recovers from heating processes up to 240 °C. The latter is particularly interesting since it demonstrates robustness to the typical heat treatment that is needed in several process steps like resist-based lithography and deposition by thermal or electron beam evaporation of metals or oxides.

  5. Substrate spacing and thin-film yield in chemical bath deposition of semiconductor thin films

    NASA Astrophysics Data System (ADS)

    Arias-Carbajal Reádigos, A.; García, V. M.; Gomezdaza, O.; Campos, J.; Nair, M. T. S.; Nair, P. K.

    2000-11-01

    Thin-film yield in the chemical bath deposition technique is studied as a function of separation between substrates in batch production. Based on a mathematical model, it is proposed and experimentally verified in the case of CdS thin films that the film thickness reaches an asymptotic maximum with increase in substrate separation. It is shown that at a separation less than 1 mm between substrates the yield, i.e. percentage in moles of a soluble cadmium salt deposited as a thin film of CdS, can exceed 50%. This behaviour is explained on the basis of the existence of a critical layer of solution near the substrate, within which the relevant ionic species have a higher probability of interacting with the thin-film layer than of contributing to precipitate formation. The critical layer depends on the solution composition and the temperature of the bath as well as the duration of deposition. An effective value for the critical layer thickness has been defined as half the substrate separation at which 90% of the maximum film thickness for the particular bath composition, bath temperature and duration of deposition is obtained. In the case of CdS thin films studied as an example, the critical layer is found to extend from 0.5 to 2.5 mm from the substrate surface, depending on the deposition conditions.

  6. Oxidant effect of La(NO3)3·6H2O solution on the crystalline characteristics of nanocrystalline ZrO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Oh, Nam Khen; Kim, Jin-Tae; Kang, Goru; An, Jong-Ki; Nam, Minwoo; Kim, So Yeon; Park, In-Sung; Yun, Ju-Young

    2017-02-01

    Nanocrystalline ZrO2 films were synthesized by atomic layer deposition method using CpZr[N(CH3)2]3 (Cp = C5H5) as the metal precursor and La(NO3)3·6H2O solution as the oxygen source. La element in the deposited ZrO2 films could not be detected as its content was below the resolution limit of the X-ray photoelectron spectroscopy. The alternative introduction of La(NO3)3·6H2O solution to conventionally used H2O as the oxidant effectively altered the crystalline structure, grain size, and surface roughness of the grown ZrO2 films. Specifically, the crystalline structure of the ZrO2 film changed from a mixture of tetragonal and monoclinic phases to monoclinic phase. The average grain size also increased, and the resulting film surface became rougher. The average grain sizes of the ZrO2 films prepared from La(NO3)3·6H2O solution at concentrations of 10, 20, 30, and 40% were 280, 256, 208, and 200 nm, respectively, whereas that prepared using H2O oxidant was 142 nm. However, the concentration of La(NO3)3·6H2O solution minimally influenced the crystalline characteristics of the nanocrystalline ZrO2 films i.e., the crystalline structure, grain size, and surface roughness except for crystallite size.

  7. Evaluation of thermal stress in hydroxyapatite film fabricated by powder jet deposition.

    PubMed

    Akatsuka, Ryo; Matsumura, Ken; Noji, Miyoko; Kuriyagawa, Tsunemoto; Sasaki, Keiichi

    2013-10-01

    This study aimed to create a thick hydroxyapatite (HA) film on the surface of a human tooth via a powder jet deposition (PJD) device for dental handpieces, and to examine the microstructural and mechanical properties of the HA film. In particular, the effects of thermal stress on this film were evaluated. The HA film was created by blasting 3.18-μm HA particles, calcinated at 1,200°C, onto the enamel substrate at room temperature and atmospheric pressure. An HA film with an area of 3 mm × 3 mm was prepared and polished. The following HA film parameters were evaluated from the three-dimensional surface profile: surface roughness, Vickers hardness, and bonding strength before and after artificial aging induced by 500 cycles of thermal cycling (5-55°C). The HA particles in the deposited film were densely packed, and the surface of the HA film was unchanged after thermal cycling. There were also no significant differences in the hardness and the bonding strength of the HA film before and after thermal cycling. The HA film created in this study demonstrated excellent microstructural and mechanical properties, even after the application of thermal stress. © 2013 Eur J Oral Sci.

  8. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr; Gumus, Cebrail

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized inmore » the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.« less

  9. Defect studies of thin ZnO films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Vlček, M.; Čížek, J.; Procházka, I.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Mosnier, J.-P.

    2014-04-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  10. UV laser deposition of metal films by photogenerated free radicals

    NASA Technical Reports Server (NTRS)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  11. Soap-film coating: High-speed deposition of multilayer nanofilms

    PubMed Central

    Zhang, Renyun; Andersson, Henrik A.; Andersson, Mattias; Andres, Britta; Edlund, Håkan; Edström, Per; Edvardsson, Sverker; Forsberg, Sven; Hummelgård, Magnus; Johansson, Niklas; Karlsson, Kristoffer; Nilsson, Hans-Erik; Norgren, Magnus; Olsen, Martin; Uesaka, Tetsu; Öhlund, Thomas; Olin, Håkan

    2013-01-01

    The coating of thin films is applied in numerous fields and many methods are employed for the deposition of these films. Some coating techniques may deposit films at high speed; for example, ordinary printing paper is coated with micrometre-thick layers of clay at a speed of tens of meters per second. However, to coat nanometre thin films at high speed, vacuum techniques are typically required, which increases the complexity of the process. Here, we report a simple wet chemical method for the high-speed coating of films with thicknesses at the nanometre level. This soap-film coating technique is based on forcing a substrate through a soap film that contains nanomaterials. Molecules and nanomaterials can be deposited at a thickness ranging from less than a monolayer to several layers at speeds up to meters per second. We believe that the soap-film coating method is potentially important for industrial-scale nanotechnology. PMID:23503102

  12. In-situ deposition of sodium titanate thin film as anode for sodium-ion micro-batteries developed by pulsed laser deposition.

    PubMed

    Rambabu, A; Senthilkumar, B; Sada, K; Krupanidhi, S B; Barpanda, P

    2018-03-15

    Sodium-ion thin-film micro-batteries form a niche sector of energy storage devices. Sodium titanate, Na 2 Ti 6 O 13 (NTO) thin films were deposited by pulsed laser deposition (PLD) using solid-state synthesized polycrystalline Na 2 Ti 6 O 13 compound. The phase-purity and crystallinity of NTO in bulk and thin-film forms were confirmed by Rietveld refinement. Electron microscopy and atomic force microscopy revealed the formation of uniform ∼100 nm thin film with roughness of ∼4 nm consisting of homogeneous nanoscale grains. These PLD-deposited NTO thin-films, when tested in Na-half cell architecture, delivered a near theoretical reversible capacity close to 42 mA h g -1 involving Ti 4+ /Ti 3+ redox activity along with good cycling stability and rate kinetics. Na 2 Ti 6 O 13 can work as an efficient and safe anode in designing sodium-ion thin-film micro-batteries. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Substitution effects on the ferroelectric properties of BiFeO3 thin films prepared by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Kim, Jong Kuk; Kim, Sang Su; Kim, Won-Jeong; Bhalla, Amar S.

    2007-01-01

    Ferroelectric properties of Cr-substituted BiFeO3 (BFCr) and Pb-cosubstituted BFCr films prepared by a chemical solution deposition method and annealed at 550°C in nitrogen have been studied. X-ray diffraction measurements revealed that the thin films were composed of a rhombohedrally distorted perovskite structure without secondary phases. The 5mol% Pb-cosubstituted BFCr films appeared to have superior ferroelectric properties to those of other BFCr films prepared by the same conditions. The remanent polarization (Pr) and the coercive field (Ec) of the 5mol% Pb-cosubstituted BFCr film were 62μC /cm2 and 235kV/cm, respectively, with a maximum applied field of 712kV/cm. In addition, the film exhibited a fatigue-free behavior up to 1.45×1010 read/write cycles.

  14. W:Al 2O 3 nanocomposite thin films with tunable optical properties prepared by atomic layer deposition

    DOE PAGES

    Babar, Shaista; Mane, Anil U.; Yanguas-Gil, Angel; ...

    2016-06-17

    Here, a systematic alteration in the optical properties of W:Al 2O 3 nanocomposite films is demonstrated by precisely varying the W cycle percentage (W%) from 0 to 100% in Al 2O 3 during atomic layer deposition. The direct and indirect band energies of the nanocomposite materials decrease from 5.2 to 4.2 eV and from 3.3 to 1.8 eV, respectively, by increasing the W% from 10 to 40. X-ray absorption spectroscopy reveals that, for W% < 50, W is present in both metallic and suboxide states, whereas, for W% ≥ 50, only metallic W is seen. This transition from dielectric tomore » metallic character at W% ~ 50 is accompanied by an increase in the electrical and thermal conductivity and the disappearance of a clear band gap in the absorption spectrum. The density of the films increases monotonically from 3.1 g/cm 3 for pure Al 2O 3 to 17.1 g/cm 3 for pure W, whereas the surface roughness is greatest for the W% = 50 films. The W:Al 2O 3 nanocomposite films are thermally stable and show little change in optical properties upon annealing in air at 500 °C. These W:Al 2O 3 nanocomposite films show promise as selective solar absorption coatings for concentrated solar power applications.« less

  15. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  16. Precursors for the polymer-assisted deposition of films

    DOEpatents

    McCleskey, Thomas M.; Burrell, Anthony K.; Jia, Quanxi; Lin, Yuan

    2013-09-10

    A polymer assisted deposition process for deposition of metal oxide films is presented. The process includes solutions of one or more metal precursor and soluble polymers having binding properties for the one or more metal precursor. After a coating operation, the resultant coating is heated at high temperatures to yield metal oxide films. Such films can be epitaxial in structure and can be of optical quality. The process can be organic solvent-free.

  17. Epitaxial Ba2IrO4 thin-films grown on SrTiO3 substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nichols, J.; Korneta, O. B.; Terzic, J.; Cao, G.; Brill, J. W.; Seo, S. S. A.

    2014-03-01

    We have synthesized epitaxial Ba2IrO4 (BIO) thin-films on SrTiO3 (001) substrates by pulsed laser deposition and studied their electronic structure by dc-transport and optical spectroscopic experiments. We have observed that BIO thin-films are insulating but close to the metal-insulator transition boundary with significantly smaller transport and optical gap energies than its sister compound, Sr2IrO4. Moreover, BIO thin-films have both an enhanced electronic bandwidth and electronic-correlation energy. Our results suggest that BIO thin-films have great potential for realizing the interesting physical properties predicted in layered iridates.

  18. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  19. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  20. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    NASA Astrophysics Data System (ADS)

    Li, Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-04-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al2O3, ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al2O3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  1. Solid oxide fuel cells with (La,Sr)(Ga,Mg)O3-δ electrolyte film deposited by radio-frequency magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Sea-Fue; Lu, His-Chuan; Hsu, Yung-Fu; Hu, Yi-Xuan

    2015-05-01

    In this study, solid oxide fuel cells (SOFCs) containing a high quality La0.9Sr0.1Ga0.8Mg0.2O3-δ (LSGM) film deposited on anode supported substrate using RF magnetron sputtering are successfully prepared. The anode substrate is composed of two functional NiO/Sm0.2Ce0.8O2-δ (SDC) composite layers with ratios of 60/40 wt% and 50/50 wt% and a current collector layer of pure NiO. The as-deposited LSGM film appears to be amorphous in nature. After post-annealing at 1000 °C, a uniform and dense polycrystalline film with a composition of La0.87Sr0.13Ga0.85Mg0.15O3-δ and a thickness of 3.8 μm is obtained, which was well adhered to the anode substrate. A composite LSGM/La0.6Sr0.4Co0.2Fe0.8O3-δ (LSCF) layer, with a ratio of 30/70 wt%, is used as the cathode. The SOFC prepared reveals a good mechanical integrity with no sign of cracking, delamination, or discontinuity among the interfaces. The total cell resistance of a single cell with LSGM electrolyte film declines from 0.60 to 0.10 Ω cm2 as the temperature escalates from 600 to 800 °C and the open circuit voltage (OCV) ranges from 0.85 to 0.95 V. The maximum power density (MPD) of the single cell is reported as 0.65, 1.02, 1.30, 1.42, and 1.38 W cm-2 at 600, 650, 700, 750, and 800 °C, respectively. The good cell performance leads to the conclusion that RF magnetron sputtering is a feasible deposition method for preparing good quality LSGM films in SOFCs.

  2. Precursor-Surface Reactions in Plasma Deposition of Silicon Thin Films

    NASA Astrophysics Data System (ADS)

    Bakos, Tamas

    2005-03-01

    Device-quality hydrogenated amorphous silicon (a-Si:H) thin films are usually grown by plasma deposition under conditions where the SiH3 radical is the dominant deposition precursor. In this presentation, we report results of first-principles density functional theory calculations on the interactions of the SiH3 radical with the crystalline Si(100)-(2x1):H surface in conjunction with molecular-dynamics simulations of a-Si:H thin film growth by SiH3 radicals, which elucidate the pathways and energetics of surface reactions that govern important film properties. In particular, we show that an SiH3 radical can insert into strained surface Si-Si dimer bonds, abstract surface H through an Eley-Rideal mechanism, and passivate surface dangling bonds; these reactions follow exothermic and barrierless pathways that lead to a temperature-independent growth rate in agreement with experimental measurements. We also identify a thermally activated surface H abstraction process, in which the SiH3 radical diffuses through overcoordinated surface Si atoms until it encounters a favorable site for H abstraction; the diffusion and H-abstraction steps have commensurate activation barriers. This mechanism explains partly the reduction of the film H content at elevated substrate temperatures.

  3. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  4. Novel growth techniques for the deposition of high-quality perovskite thin films

    NASA Astrophysics Data System (ADS)

    Ng, Annie; Ren, Zhiwei; Li, Gang; Djurišić, Aleksandra B.; Surya, Charles

    2018-02-01

    We present investigations on the growth of high quality CH3NH3PbI3 (MAPI) thin films using both vapor and solution techniques. Recent work on perovskite film growth indicates critical dependencies of the film quality on the nucleation and crystallization steps requiring: i.) uniform distribution of nucleation sites; and ii.) optimal crystallization rate that facilitates the growth of a compact, continuous film with low density of pinholes. Our work shows that the hybrid chemical vapor deposition technique (HCVD) technique is well suited for the deposition of evenly distributed nucleation sites and the optimization of the crystallization rate of the film through detailed monitoring of the thermal profile of the growth process. Signficant reduction in the defect states is recorded by annealing the perovskite films in O2. The results are consistent with theoretical studies by Yin et al. 1 on O and Cl passivation of the shallow states at the grain boundary of MAPI. Their work provides the theoretical basis for our experimental observations on the passivation of shallow states by oxygen annealing. High quality films were achieved through detailed management of the carrier gas composition and the thermal profile of the nucleation and crystallization steps.

  5. Deposition of diamond-like films by ECR microwave plasma

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han (Inventor); Pool, Frederick S. (Inventor)

    1995-01-01

    Hard amorphous hydrogenated carbon, diamond-like films are deposited using an electron cyclotron resonance microwave plasma with a separate radio frequency power bias applied to a substrate stage. The electron cyclotron resonance microwave plasma yields low deposition pressure and creates ion species otherwise unavailable. A magnetic mirror configuration extracts special ion species from a plasma chamber. Different levels of the radio frequency power bias accelerate the ion species of the ECR plasma impinging on a substrate to form different diamond-like films. During the deposition process, a sample stage is maintained at an ambient temperature of less than 100.degree. C. No external heating is applied to the sample stage. The deposition process enables diamond-like films to be deposited on heat-sensitive substrates.

  6. Optical characteristics of bismuth sulfide (Bi2S3) thin films.

    NASA Astrophysics Data System (ADS)

    Mahmoud, S.; Eid, A. H.; Omar, H.

    Thin films of bismuth sulfide (Bi2S3) were grown by two deposition techniques, by thermal evaporation and by chemical deposition. The thermally deposited reactions consisted in depositing the individual elements, namely bismuth and sulfur, sequentially from a tungsten boat source and allowing the layers to interdiffuse to form the compound during the heat-treatment. The chemical deposition was based on the reaction between the triethanolamine compex of Bi3+ ions and thiourea in basic media. Scanning electron microscope and X-ray diffraction analysis were made on as-deposited and on annealed films to determine their structure. The different electronic transitions and the optical constants are determined from the transmision and reflection data of these thin films for normal incidence. The optical gaps of Bi2S3 films show a remarkable dependence on the preparation method.

  7. Deposition of adherent Ag-Ti duplex films on ceramics in a multiple-cathode sputter deposition system

    NASA Technical Reports Server (NTRS)

    Honecy, Frank S.

    1992-01-01

    The adhesion of Ag films deposited on oxide ceramics can be increased by first depositing intermediate films of active metals such as Ti. Such duplex coatings can be fabricated in a widely used three target sputter deposition system. It is shown here that the beneficial effect of the intermediate Ti film can be defeated by commonly used in situ target and substrate sputter cleaning procedures which result in Ag under the Ti. Auger electron spectroscopy and wear testing of the coatings are used to develop a cleaning strategy resulting in an adherent film system.

  8. Influence of Continuous and Discontinuous Depositions on Properties of Ito Films Prepared by DC Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Aiempanakit, K.; Rakkwamsuk, P.; Dumrongrattana, S.

    Indium tin oxide (ITO) films were deposited on glass substrate without external heating by DC magnetron sputtering with continuous deposition of 800 s (S1) and discontinuous depositions of 400 s × 2 times (S2), 200 s × 4 times (S3) and 100 s × 8 times (S4). The structural, surface morphology, optical transmittance and electrical resistivity of ITO films were measured by X-ray diffraction, atomic force microscope, spectrophotometer and four-point probe, respectively. The deposition process of the S1 condition shows the highest target voltage due to more target poisoning occurrence. The substrate temperature of the S1 condition increases with the saturation curve of the RC charging circuit while other conditions increase and decrease due to deposition steps as DC power turns on and off. Target voltage and substrate temperature of ITO films decrease when changing the deposition conditions from S1 to S2, S3 and S4, respectively. The preferential orientation of ITO films were changed from dominate (222) plane to (400) plane with the increasing number of deposition steps. The ITO film for the S4 condition shows the lowest electrical resistivity of 1.44 × 10-3 Ω·cm with the highest energy gap of 4.09 eV and the highest surface roughness of 3.43 nm. These results were discussed from the point of different oxygen occurring on the surface ITO target between the sputtering processes which affected the properties of ITO films.

  9. Interface Properties of Atomic-Layer-Deposited Al2O3 Thin Films on Ultraviolet/Ozone-Treated Multilayer MoS2 Crystals.

    PubMed

    Park, Seonyoung; Kim, Seong Yeoul; Choi, Yura; Kim, Myungjun; Shin, Hyunjung; Kim, Jiyoung; Choi, Woong

    2016-05-11

    We report the interface properties of atomic-layer-deposited Al2O3 thin films on ultraviolet/ozone (UV/O3)-treated multilayer MoS2 crystals. The formation of S-O bonds on MoS2 after low-power UV/O3 treatment increased the surface energy, allowing the subsequent deposition of uniform Al2O3 thin films. The capacitance-voltage measurement of Au-Al2O3-MoS2 metal oxide semiconductor capacitors indicated n-type MoS2 with an electron density of ∼10(17) cm(-3) and a minimum interface trap density of ∼10(11) cm(-2) eV(-1). These results demonstrate the possibility of forming a high-quality Al2O3-MoS2 interface by proper UV/O3 treatment, providing important implications for their integration into field-effect transistors.

  10. Deposition And Characterization Of Ultra Thin Diamond Like Carbon Films

    NASA Astrophysics Data System (ADS)

    Tomcik, B.

    2010-07-01

    , seen as a build-up of cobalt compounds on the top of DLC film, can be minimized with higher carbon film density (above 2.2g/cm3), voidfree film formation and lower film surface nano-roughness. Also, the carbide forming flash layer of Cr or Ti, with typical thicknesses of 0.5 nm may precede the DLC film deposition. Plasma beam sources should be cleaned periodically in oxygen or hydrogen gas flow to prevent incorporation of carbon sooth particles and nano-dust into the film. DLC film susceptibility to cobalt migration from the magnetic layer can be estimated using different techniques: by counting the number of corrosion spots per disk surface area, measuring the amount of cobalt on the surface with inductively coupled plasma or Rutherford backscattering spectroscopy.

  11. Studies of mist deposition for the formation of quantum dot CdSe films

    NASA Astrophysics Data System (ADS)

    Price, S. C.; Shanmugasundaram, K.; Ramani, S.; Zhu, T.; Zhang, F.; Xu, J.; Mohney, S. E.; Zhang, Q.; Kshirsagar, A.; Ruzyllo, J.

    2009-10-01

    Films of CdSe(ZnS) colloidal nanocrystalline quantum dots (NQDs) were deposited on bare silicon, glass and polymer coated silicon using mist deposition. This effort is a part of an exploratory investigation in which this deposition technique is studied for the first time as a method to form semiconductor NQD films. The process parameters, including deposition time, solution concentration and electric field, were varied to change the thickness of the deposited film. Blanket films and films deposited through a shadow mask were created to investigate the method's ability to pattern films during the deposition process. The differences between these deposition modes in terms of film morphology were observed. Overall, the results show that mist deposition of quantum dots is a viable method for creating thin, patterned quantum dot films using colloidal solution as the precursor. It is concluded that this technique shows very good promise for quantum dot (light emitting diode, LED) fabrication.

  12. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  13. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1985-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter depoairion are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq. cm. resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x to to the -6/ohm. cm. for 300 angstrom film to 2.56 x 10 to the -1/ohm. cm. for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  14. Structural and mechanical properties of Al-C-N films deposited at room temperature by plasma focus device

    NASA Astrophysics Data System (ADS)

    Z, A. Umar; R, Ahmad; R, S. Rawat; M, A. Baig; J, Siddiqui; T, Hussain

    2016-07-01

    The Al-C-N films are deposited on Si substrates by using a dense plasma focus (DPF) device with aluminum fitted central electrode (anode) and by operating the device with CH4/N2 gas admixture ratio of 1:1. XRD results verify the crystalline AlN (111) and Al3CON (110) phase formation of the films deposited using multiple shots. The elemental compositions as well as chemical states of the deposited Al-C-N films are studied using XPS analysis, which affirm Al-N, C-C, and C-N bonding. The FESEM analysis reveals that the deposited films are composed of nanoparticles and nanoparticle agglomerates. The size of the agglomerates increases at a higher number of focus deposition shots for multiple shot depositions. Nanoindentation results reveal the variation in mechanical properties (nanohardness and elastic modulus) of Al-C-N films deposited with multiple shots. The highest values of nanohardness and elastic modulus are found to be about 11 and 185 GPa, respectively, for the film deposited with 30 focus deposition shots. The mechanical properties of the films deposited using multiple shots are related to the Al content and C-N bonding.

  15. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    PubMed

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  16. RF sputter deposition of SrS:Eu and ZnS:Mn thin film electroluminescent phosphors

    NASA Astrophysics Data System (ADS)

    Droes, Steven Roy

    1998-09-01

    The radio-frequency (rf) sputter deposition of thin film electroluminescent (TFEL) materials was studied. Thin films of strontium sulfide doped with europium (SrS:Eu) and zinc sulfide doped with manganese (ZnS:Mn) were RF sputter deposited at different conditions. Photoluminescent and electroluminescent behaviors of these films were examined. Photoluminescent active, crystalline films of SrS:Eu were deposited at temperatures from 300o C to 650o C. The best temperature was 400o C, where a PL efficiency of 35% was achieved. Films were deposited at two power levels (90 and 120 watts) and five H2S concentrations (0.6%, 1.3%, 2.4%, 4.0% and 5.3%). The H2S concentration affected the crystallinity of the films and the PL performance. Lower H2S concentrations resulted in films with smaller crystallite sizes and poorer PL performance. Increased H2S concentrations increased the PL intensity and the overall spectra resembled that of an efficient SrS:Eu powder. Although there was a correlation between crystallinity and PL performance other factors such as europium concentration, distribution, and local environment also influence PL performance. Analytical results suggested that, although a film may be crystalline and have the correct europium concentration, unless the europium is in the correct localized environment, optimum PL response will not be achieved. Increased H2S concentrations produced films with europium located in optimum locations. Contrary to vacuum or chemical vapor deposited films, the sputter deposited films showed no trailing edge emission during electroluminescence. A suggested reason for this lack of a trailing edge emission in these films is that the sputter deposition process produces phosphor- insulator interfaces without shallow trap states. A statistical design of experiments approach was implemented for the sputter deposition of ZnS:Mn. The effects of four factors (substrate temperature, chamber pressure, power to the target, and H2S concentration) on

  17. Effect of film thickness on structural and mechanical properties of AlCrN nanocompoite thin films deposited by reactive DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Ravi; Kaur, Davinder, E-mail: dkaurfph@iitr.ac.in

    2016-05-06

    In this study, the influence of film thickness on the structural, surface morphology and mechanical properties of Aluminum chromium nitride (AlCrN) thin films has been successfully investigated. The AlCrN thin films were deposited on silicon (100) substrate using dc magnetron reactive co-sputtering at substrate temperature 400° C. The structural, surface morphology and mechanical properties were studied using X-ray diffraction, field-emission scanning electron microscopy and nanoindentation techniques respectively. The thickness of these thin films was controlled by varying the deposition time therefore increase in deposition time led to increase in film thickness. X-ray diffraction pattern of AlCrN thin films with differentmore » deposition time shows the presence of (100) and (200) orientations. The crystallite size varies in the range from 12.5 nm to 36.3 nm with the film thickness due to surface energy minimization with the higher film thickness. The hardness pattern of these AlCrN thin films follows Hall-Petch relation. The highest hardness 23.08 Gpa and young modulus 215.31 Gpa were achieved at lowest grain size of 12.5 nm.« less

  18. [Preparation and transmissivity of ZnS nanocolumn thin films with glancing angle deposition technology].

    PubMed

    Lu, Li-Fang; Xu, Zheng; Zhang, Fu-Jun; Zhao, Su-Ling; Song, Dan-Dan; Li, Jun-Ming; Wang, Yong-Sheng; Xu, Xu-Rong

    2010-02-01

    Nanocrystalline ZnS thin films were fabricated by glancing angle deposition (GLAD) technology in an electron beam evaporation system. Deposition was carried out in the custom vacuum chamber at a base pressure 3 x 10(-4) Pa, and the deposition rate was fixed at 0.2 nm x s(-1). ZnS films were deposited on pieces of indium tin oxide (ITO) substrates when the oblique angle of the substrate relative to the incoming molecular flux was set to 0 degrees, 80 degrees and 85 degrees off the substrate normal respectively. X-ray diffraction (XRD) spectra and scanning electron microscope (SEM) images showed that ZnS nanocrystalline films were formed on the substrates at different oblique angle, but the nanocolumn structure was only formed under the situation of alpha = 80 degrees and 85 degrees. The dynamics during the deposition process of the ZnS films at alpha = 0 degrees, 80 degrees and 85 degrees was analyzed. The transmitted spectra of ZnS thin films deposited on ITO substrates showed that the ZnS nanocolumn thin films could enhance the transmissivity in visible range. The ZnS nanocolumn could be used into electroluminescence device, and it would enhance the luminous efficiency of the device.

  19. Parasitic phases at the origin of magnetic moment in BiFeO3 thin films grown by low deposition rate RF sputtering

    NASA Astrophysics Data System (ADS)

    Mori, Thiago J. A.; Mouls, Caroline L.; Morgado, Felipe F.; Schio, Pedro; Cezar, Júlio C.

    2017-09-01

    A series of epitaxial BiFeO3 thin films has been grown under high partial pressure in a pure O2 atmosphere, which leads to a low deposition rate. The samples grown under these conditions have presented an evolution of the quality of the epitaxy as the deposition temperature increases, however, spurious β- Bi2O3 and supertetragonal BiFeO3 phases are present in the films grown at higher temperatures. The presence of γ- Fe2O3 is reported in one growing condition, and has been attributed to the origin of hysteretic ferromagnetic behavior. A second kind of magnetism, with higher magnetic moment and anhysteretic behaviour, is attributed to the presence of mixed phases of BiFeO3.

  20. High-performance perovskite CH3NH3PbI3 thin films for solar cells prepared by single-source physical vapour deposition

    PubMed Central

    Fan, Ping; Gu, Di; Liang, Guang-Xing; Luo, Jing-Ting; Chen, Ju-Long; Zheng, Zhuang-Hao; Zhang, Dong-Ping

    2016-01-01

    In this work, an alternative route to fabricating high-quality CH3NH3PbI3 thin films is proposed. Single-source physical vapour deposition (SSPVD) without a post-heat-treating process was used to prepare CH3NH3PbI3 thin films at room temperature. This new process enabled complete surface coverage and moisture stability in a non-vacuum solution. Moreover, the challenges of simultaneously controlling evaporation processes of the organic and inorganic sources via dual-source vapour evaporation and the heating process required to obtain high crystallization were avoided. Excellent composition with stoichiometry transferred from the powder material, a high level of tetragonal phase-purity, full surface coverage, well-defined grain structure, high crystallization and reproducibility were obtained. A PCE of approximately 10.90% was obtained with a device based on SSPVD CH3NH3PbI3. These initial results suggest that SSPVD is a promising method to significantly optimize perovskite CH3NH3PbI3 solar cell efficiency. PMID:27426686

  1. Preparation of tris(8-hydroxyquinolinato)aluminum thin films by sputtering deposition using powder and pressed powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Tanaka, Rei; Suda, Yoshiaki

    2017-06-01

    Tris(8-hydroxyquinolinato)aluminum (Alq3) thin films, for use in organic electroluminescence displays, were prepared by a sputtering deposition method using powder and pressed powder targets. Experimental results suggest that Alq3 thin films can be prepared using powder and pressed powder targets, although the films were amorphous. The surface color of the target after deposition became dark brown, and the Fourier transform infrared spectroscopy spectrum changed when using a pressed powder target. The deposition rate of the film using a powder target was higher than that using a pressed powder target. That may be because the electron and ion densities of the plasma generated using the powder target are higher than those when using pressed powder targets under the same deposition conditions. The properties of a thin film prepared using a powder target were almost the same as those of a film prepared using a pressed powder target.

  2. Process Parameter-Growth Environment-Film Property Relationships for Reactive Sputter Deposited Metal (V, Nb, Zr, Y, Au) Oxide, Nitride, and Oxynitride Films

    DTIC Science & Technology

    1993-09-30

    speed of light in vac- ring within the first 5 min of exposure. In a separate ex- uum, and g(A) is the detected fraction of emitted radia- periment...fold: film growth by reactive sputter deposition, in situ discharge diagnostics, film charcterization. A radio frequency diode apparatus was used to...l-’ZrO, films is reported.)3 1) Films were grown on Supers!]I II fused silica in a hot-oil pumped rf diode sputter deposition system using a 13-cm

  3. Preparation of pentacene thin film deposited using organic material auto-feeding system for the fabrication of organic thin film transistor.

    PubMed

    Kim, Young Baek; Choi, Bum Ho; Lim, Yong Hwan; Yoo, Ha Na; Lee, Jong Ho; Kim, Jin Hyeok

    2011-02-01

    In this study, pentacene organic thin film was prepared using newly developed organic material auto-feeding system integrated with linear cell and characterized. The newly developed organic material auto-feeding system consists of 4 major parts: reservoir, micro auto-feeder, vaporizer, and linear cell. The deposition of organic thin film could be precisely controlled by adjusting feeding rate, main tube size, position and size of nozzle. 10 nm thick pentacene thin film prepared on glass substrate exhibited high uniformity of 3.46% which is higher than that of conventional evaporation method using point cell. The continuous deposition without replenishment of organic material can be performed over 144 hours with regulated deposition control. The grain size of pentacene film which affect to mobility of OTFT, was controlled as a function of the temperature.

  4. Properties of Nanocomposite Nickel-Carbon Films Deposited by Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Grenadyorov, A. S.; Oskomov, K. V.; Solov'ev, A. A.; Rabotkin, S. V.; Zakharov, A. N.; Semenov, V. A.; Oskirko, V. O.; Yelgin, Yu. I.; Korneva, O. S.

    2017-12-01

    The method of magnetron sputtering was used to produce a-C and a-C:Ni films on substrates of monocrystalline silicon and thermoelectric material of n-type ((Bi2Te3)0.94(Bi2Se3)0.06) and p-type ((Bi2Te3)0.20(Sb2Te3)0.80) conductivity. The authors studied the effect of Ni concentration on specific electric resistance, hardness and adhesion of the produced films. It was demonstrated that specific resistance of a-C films deposited by graphite target sputtering when supplying high bias voltage onto the substrate can be reduced by increasing the share of graphitized carbon. Adding Ni to such films allows additionally reducing their specific resistance. The increase in Ni content is accompanied with the decrease in hardness and adhesion of a-C:Ni films. The acquired values of specific electric resistance and adhesion of a-C:Ni films to thermoelectric materials allow using them as barrier anti-diffusion coatings of thermoelectric modules.

  5. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidlymore » decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.« less

  6. Synthesis and application of ferroelectric poly(vinylidene fluoride-co-trifluoroethylene) films using electrophoretic deposition

    DOE PAGES

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; ...

    2016-11-02

    In this paper, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-raymore » diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (P r) of around 4 μC/cm 2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates.« less

  7. Synthesis and Application of Ferroelectric Poly(Vinylidene Fluoride-co-Trifluoroethylene) Films using Electrophoretic Deposition

    PubMed Central

    Ryu, Jeongjae; No, Kwangsoo; Kim, Yeontae; Park, Eugene; Hong, Seungbum

    2016-01-01

    In this study, we investigated the deposition kinetics of polyvinylidene fluoride copolymerized with trifluoroethylene (P(VDF-TrFE)) particles on stainless steel substrates during the electrophoretic deposition (EPD) process. The effect of applied voltage and deposition time on the structure and ferroelectric property of the P(VDF-TrFE) films was studied in detail. A method of repeated EPD and heat treatment above melting point were employed to fabricate crack-free P(VDF-TrFE) thick films. This method enabled us to fabricate P(VDF-TrFE) films with variable thicknesses. The morphology of the obtained films was investigated by scanning electron microscopy (SEM), and the formation of β-phase was confirmed by X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. P(VDF-TrFE) films prepared with various thicknesses showed remnant polarization (Pr) of around 4 μC/cm2. To demonstrate the applicability of our processing recipe to complex structures, we fabricated a spring-type energy harvester by depositing P(VDF-TrFE) films on stainless steel springs using EPD process. Our preliminary results show that an electrophoretic deposition can be applied to produce high-quality P(VDF-TrFE) films on planar as well as three-dimensional (3-D) substrates. PMID:27805008

  8. Effect of oxygen deposition pressure and temperature on the structure and properties of pulsed laser-deposited La0.67Ca0.33MnOδ films

    NASA Astrophysics Data System (ADS)

    Horwitz, James S.; Dorsey, Paul C.; Koon, N. C.; Rubinstein, M.; Byers, J. M.; Gillespie, D. J.; Osofsky, Michael S.; Harris, V. G.; Grabowski, K. S.; Knies, D. L.; Donovan, Edward P.; Treece, Randolph E.; Chrisey, Douglas B.

    1996-04-01

    The effect of substrate temperature and oxygen deposition pressure on the structure and properties of thin films of LaxCa1-xMnO(delta ) has been investigated. Thin films (approximately 1000 angstroms) of La0.67Ca0.33MnO(delta ) were deposited onto LaAlO3 (100) substrates by pulsed laser deposition at a substrate temperature of 600 and 700 degree(s)C. A series of films were grown on different oxygen pressures, between 15 and 400 mTorr, which systematically changed the oxygen concentrations in the films. As-deposited films exhibited an oriented orthorhombic structure. At low oxygen deposition pressures films were preferentially (202) oriented. At high pressures deposited films had a (040) preferred orientation. A 900 degree(s)C anneal in flowing oxygen of a film deposited at low oxygen pressure resulted in a decrease in the a lattice parameter and a change in the preferred orientation from (202) to (040). Vacuum annealing at 550 degree(s)C resulted in an increase in the a lattice parameter. The resistivity as a function of temperature showed a significant variation as a function of growth conditions. The peak in the resistivity curve (Tm) varied between 73 and 150 K depending upon the growth conditions. The activation energy associated with the semiconducting phase was approximately the same for all films (approximately 100 meV).

  9. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films preparedmore » by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.« less

  10. Recent progress of obliquely deposited thin films for industrial applications

    NASA Astrophysics Data System (ADS)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  11. Optimization of the deposition conditions and structural characterization of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7-x} thin superconducting films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chrzanowski, J.; Meng-Burany, S.; Xing, W.B.

    1994-12-31

    Two series of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub z} thin films deposited on (001) LaAlO{sub 3} single crystals by excimer laser ablation under two different protocols have been investigated. The research has yielded well defined deposition conditions in terms of oxygen partial pressure p(O{sub 2}) and substrate temperature of the deposition process T{sub h}, for the growth of high quality epitaxial films of YBCO. The films grown under conditions close to optimal for both j{sub c} and T{sub c} exhibited T{sub c}{ge}91 K and j{sub c}{ge}4 x 10{sup 6} A/cm{sup 2}, at 77 K. Close correlations between the structural quality ofmore » the film, the growth parameters (p(O{sub 2}), T{sub h}) and j{sub c} and T{sub c} have been found.« less

  12. Deposition and characterization of molybdenum thin films using dc-plasma magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Majid, E-mail: majids@hotmail.com; Islam, Mohammad, E-mail: mohammad.islam@gmail.com

    2013-12-15

    Molebdenum (Mo) thin films were deposited on well-cleaned soda-lime glass substrates using DC-plasma magnetron sputtering. In the design of experiment deposition was optimized for maximum beneficial characteristics by monitoring effect of process variables such as deposition power (100–200 W). Their electrical, structural and morphological properties were analyzed to study the effect of these variables. The electrical resistivity of Mo thin films could be reduced by increasing deposition power. Within the range of analyzed deposition power, Mo thin films showed a mono crystalline nature and the crystallites were found to have an orientation along [110] direction. The surface morphology of thinmore » films showed that a highly dense micro structure has been obtained. The surface roughness of films increased with deposition power. The adhesion of Mo thin films could be improved by increasing the deposition power. Atomic force microscopy was used for the topographical study of the films and to determine the roughness of the films. X-ray diffractrometer and scanning electron microscopy analysis were used to investigate the crystallinity and surface morphology of the films. Hall effect measurement system was used to find resistivity, carrier mobility and carrier density of deposited films. The adhesion test was performed using scotch hatch tape adhesion test. Mo thin films prepared at deposition power of 200 W, substrate temperature of 23°C and Ar pressure of 0.0123 mbar exhibited a mono crystalline structure with an orientation along (110) direction, thickness of ∼550 nm and electrical resistivity value of 0.57 × 10{sup −4} Ω cm.« less

  13. Effect of N2 flow during deposition on p-type ZnO film

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Liu, Bor-Chang

    2017-01-01

    In this study, the influence of a nitrogen source on p-type conductive ZnO films was studied. Rapid thermal oxidation was conducted to oxidize ZnN films and convert them to ZnO films. When an as-deposited ZnN film was prepared at a high nitrogen gas flow rate, the converted ZnO film possessed many acceptors and showed stable p-type conduction. This p-type conduction was attributed to the nitrogen gas flow providing many “No” states, which act as acceptors within the processed ZnO film. It was found that the as-deposited ZnN film prepared at a high nitrogen gas flow rate is oxidized slightly so that only a few nitrogen atoms were replaced by oxygen. The carrier concentration and mobility of the optimized oxidized ZnN film were 9.76 × 1017 cm-3 and 62.78 cm2 V-1 s-1, respectively. A good rectified current-voltage characteristic with a turn-on voltage of 3.65 V was achieved for the optimized ZnO:N/ZnO junction.

  14. Spin-hall-active platinum thin films grown via atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Schlitz, Richard; Amusan, Akinwumi Abimbola; Lammel, Michaela; Schlicht, Stefanie; Tynell, Tommi; Bachmann, Julien; Woltersdorf, Georg; Nielsch, Kornelius; Goennenwein, Sebastian T. B.; Thomas, Andy

    2018-06-01

    We study the magnetoresistance of yttrium iron garnet/Pt heterostructures in which the Pt layer was grown via atomic layer deposition (ALD). Magnetotransport experiments in three orthogonal rotation planes reveal the hallmark features of spin Hall magnetoresistance. To estimate the spin transport parameters, we compare the magnitude of the magnetoresistance in samples with different Pt thicknesses. We check the spin Hall angle and the spin diffusion length of the ALD Pt layers against the values reported for high-quality sputter-deposited Pt films. The spin diffusion length of 1.5 nm agrees well with that of platinum thin films reported in the literature, whereas the spin Hall magnetoresistance Δ ρ / ρ = 2.2 × 10 - 5 is approximately a factor of 20 smaller compared to that of our sputter-deposited films. Our results demonstrate that ALD allows fabricating spin-Hall-active Pt films of suitable quality for use in spin transport structures. This work provides the basis to establish conformal ALD coatings for arbitrary surface geometries with spin-Hall-active metals and could lead to 3D spintronic devices in the future.

  15. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    NASA Astrophysics Data System (ADS)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  16. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  17. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  18. Microwave magnetic properties of spinel ferrite films deposited by one-step electrochemical method

    NASA Astrophysics Data System (ADS)

    Zhang, Yao; Yuan, Lixin; Zhang, Xiaozhi; Zhang, Jie; Yue, Zhenxing; Li, Longtu

    2017-07-01

    Spinel ferrites have been widely used in microwave devices due to their excellent electromagnetic properties. In this study, two kinds of spinel ferrite films, Fe3O4 and Co xFe3-xO4, were grown on Pt(111)/Ti/SiO2/Si substrates by one-step electrochemical deposition method. The XRD and SEM characterizations demonstrated that the orientation of the ferrite films changed from (111) to (100) with the increase of depositing time. The cobalt content within Co xFe3-xO4 films was studied in detail by EDS analysis. The ferromagnetic resonance (FMR) responses of the ferrite films were measured by the flip-chip method using a vector network analyzer (VNA). It showed that the FMR frequency of Fe3O4 films reached to 10.5 GHz under an out-plane magnetic field of 5 kOe, while it reached to 27 GHz under an in-plane magnetic field of 5 kOe for Co xFe3-xO4 films. Meanwhile, whether the magnetic field was applied parallelly or perpendicularly, the resonant peaks were increased linearly with increasing the magnetic field, indicating that the films are promising candidates for applications in tunable wave-absorbing materials or other tunable frequency devices.

  19. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  20. Electrical properties of multilayer (DLC-TiC) films produced by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Alawajji, Raad A.; Kannarpady, Ganesh K.; Nima, Zeid A.; Kelly, Nigel; Watanabe, Fumiya; Biris, Alexandru S.

    2018-04-01

    In this work, pulsed laser deposition was used to produce a multilayer diamond like carbon (ML (DLC-TiC)) thin film. The ML (DLC-TiC) films were deposited on Si (100) and glass substrates at various substrate temperatures in the range of 20-450 °C. Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), and atomic force microscopy were utilized to characterize the prepared films. Raman analysis revealed that as the substrate temperature increased, the G-peak position shifted to a higher raman shift and the full width at half maximum of the G and D bands decreased. XPS analysis indicated a decrease in sp3/sp2 ratio and an increase in Ti-C bond intensity when the substrate temperature was increased. Additionally, the surface roughness of ML (DLC-TiC) filmswas affected by the type and temperature of the substrate. The electrical measurement results indicated that the electrical resistivity of the ML (DLC-TiC) film deposited on Si and glass substrates showed the same behavior-the resistivity decreased when substrate temperature increased. Furthermore, the ML (DLC-TiC) films deposited on silicon showed lower electrical resistivity, dropping from 8.39E-4 Ω-cm to 5.00E-4 Ω-cm, and, similarly, the films on the glass substrate displayed a drop in electrical resistivity from 1.8E-2 Ω-cm to 1.2E-3 Ω-cm. These enhanced electrical properties indicate that the ML (DLC-TiC) films have widespread potential as transducers for biosensors in biological research; electrochemical electrodes, because these films can be chemically modified; biocompatible coatings for medicals tools; and more.

  1. Effect of deposition temperature on thermal stabilities of copper-carbon films in barrier-less Cu metallization

    NASA Astrophysics Data System (ADS)

    Zhu, Huan; Fu, Zhiqiang; Xie, Qi; Yue, Wen; Wang, Chengbiao; Kang, Jiajie; Zhu, Lina

    2018-01-01

    Copper-carbon alloy films have been applied in barrier-less Cu metallization as seed layers for improving the thermal stabilities. The effect of the deposition temperature on the microstructure and properties of C-doped Cu films on Si substrates was investigated. The films were prepared by ion beam-assisted deposition at various deposition temperatures by co-sputtering of Cu and graphite targets. No inter-diffusion between Cu and Si was observed in Cu(C) films throughout this experiment, because XRD patterns corresponding to their deep-level reaction product, namely, Cu3Si, were not observed in XRD patterns and EDS results of Cu(C) films. Amorphous carbon layer and SiC layer were found in the interface of Cu(C) as-deposited films when deposition temperature rose to 100 °C by TEM, high-resolution image and Fourier transformation pattern. The Cu(C) films deposited at 100 °C had the best thermal stabilities and the lowest electrical resistivity of 4.44 μW cm after annealing at 400 °C for 1 h. Cu agglomeration was observed in Cu(C) alloy films with deposition temperatures of 200, 300 and 400 °C, and the most serious agglomeration occurred in Cu(C) films deposited at 200 °C. Undesired Cu agglomeration resulted in a sharp increase in the resistivity after annealing at 300 °C for 1 h. The deposition temperature of 100 °C reflected the superior thermal stabilities of Cu(C) seed layers compared with those of other layers.

  2. Chemical bath deposited ZnS buffer layer for Cu(In,Ga)Se2 thin film solar cell

    NASA Astrophysics Data System (ADS)

    Hong, Jiyeon; Lim, Donghwan; Eo, Young-Joo; Choi, Changhwan

    2018-02-01

    The dependence of Zn precursors using zinc sulfate (ZnSO4), zinc acetate (Zn(CH3COO)2), and zinc chloride (ZnCl2) on the characteristics of the chemical bath deposited ZnS thin film used as a buffer layer of Cu(In,Ga)Se2 (CIGS) thin film solar cell was studied. It is found that the ZnS film deposition rate increases with higher stability constant during decomplexation reaction of zinc ligands, which affects the crack formation and the amount of sulfur and oxygen contents within the film. The band gap energies of all deposited films are in the range of 3.40-3.49 eV, which is lower than that of the bulk ZnS film due to oxygen contents within the films. Among the CIGS solar cells having ZnS buffer layers prepared by different Zn precursors, the best cell efficiency with 9.4% was attained using Zn(CH3COO)2 precursor due to increased Voc mainly. This result suggests that [Zn(NH3)4]2+ complex formation should be well controlled to attain the high quality ZnS thin films.

  3. Methods for making deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1982-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or larger planar surfaces.

  4. Iron films deposited on porous alumina substrates

    NASA Astrophysics Data System (ADS)

    Yamada, Yasuhiro; Tanabe, Kenichi; Nishida, Naoki; Kobayashi, Yoshio

    2016-12-01

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 - 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  5. Ultrahigh vacuum dc magnetron sputter-deposition of epitaxial Pd(111)/Al2O3(0001) thin films.

    PubMed

    Aleman, Angel; Li, Chao; Zaid, Hicham; Kindlund, Hanna; Fankhauser, Joshua; Prikhodko, Sergey V; Goorsky, Mark S; Kodambaka, Suneel

    2018-05-01

    Pd(111) thin films, ∼245 nm thick, are deposited on Al 2 O 3 (0001) substrates at ≈0.5 T m , where T m is the Pd melting point, by ultrahigh vacuum dc magnetron sputtering of Pd target in pure Ar discharges. Auger electron spectra and low-energy electron diffraction patterns acquired in situ from the as-deposited samples reveal that the surfaces are compositionally pure 111-oriented Pd. Double-axis x-ray diffraction (XRD) ω-2θ scans show only the set of Pd 111 peaks from the film. In triple-axis high-resolution XRD, the full width at half maximum intensity Γ ω of the Pd 111 ω-rocking curve is 630 arc sec. XRD 111 pole figure obtained from the sample revealed six peaks 60°-apart at a tilt angles corresponding to Pd 111 reflections. XRD ϕ scans show six 60°-rotated 111 peaks of Pd at the same ϕ angles for 11[Formula: see text]3 of Al 2 O 3 based on which the epitaxial crystallographic relationships between the film and the substrate are determined as [Formula: see text]ǁ[Formula: see text] with two in-plane orientations of [Formula: see text]ǁ[Formula: see text] and [Formula: see text]ǁ[Formula: see text]. Using triple axis symmetric and asymmetric reciprocal space maps, interplanar spacings of out-of-plane (111) and in-plane (11[Formula: see text]) are found to be 0.2242 ± 0.0003 and 0.1591 ± 0.0003 nm, respectively. These values are 0.18% lower than 0.2246 nm for (111) and the same, within the measurement uncertainties, as 0.1588 nm for (11[Formula: see text]) calculated from the bulk Pd lattice parameter, suggesting a small out-of-plane compressive strain and an in-plane tensile strain related to the thermal strain upon cooling the sample from the deposition temperature to room temperature. High-resolution cross-sectional transmission electron microscopy coupled with energy dispersive x-ray spectra obtained from the Pd(111)/Al 2 O 3 (0001) samples indicate that the Pd-Al 2 O 3 interfaces are essentially atomically abrupt and

  6. The influence of post-deposition annealing on the structure, morphology and luminescence properties of pulsed laser deposited La0.5Gd1.5SiO5 doped Dy3+ thin films

    NASA Astrophysics Data System (ADS)

    Ogugua, Simon N.; Swart, Hendrik C.; Ntwaeaborwa, Odireleng M.

    2018-04-01

    The influence of post-deposition annealing on the structure, particle morphology and photoluminescence properties of dysprosium (Dy3+) doped La0.5Gd1.5SiO5 thin films grown on Si(111) substrates at different substrate temperatures using pulsed laser deposition (PLD) technique were studied. The X-ray diffractometer results showed an improved crystallinity after post-annealing. The topography and morphology of the post-annealed films were studied using atomic force microscopy and field emission scanning electron microscopy respectively. The elemental composition in the surface region of the films were analyzed using energy dispersive X-ray spectroscopy. The photoluminescence studies showed an improved luminescent after post-annealing. The cathodoluminescence properties of the films are also reported. The CIE colour coordinates calculated from the photoluminescence and cathodoluminescence data suggest that the films can have potential application in white light emitting diode (LED) and field emission display (FED) applications.

  7. Characterization of Diamond-like Carbon (DLC) films deposited by RF ICP PECVD method

    NASA Astrophysics Data System (ADS)

    Oleszkiewicz, Waldemar; Kijaszek, Wojciech; Gryglewicz, Jacek; Zakrzewski, Adrian; Gajewski, Krzysztof; Kopiec, Daniel; Kamyczek, Paulina; Popko, Ewa; Tłaczała, Marek

    2013-07-01

    The work presents the results of a research carried out with Plasmalab Plus 100 system, manufactured by Oxford Instruments Company. The system was configured for deposition of diamond-like carbon films by ICP PECVD method. The deposition processes were carried out in CH4 or CH4/H2 atmosphere and the state of the plasma was investigated by the OES method. The RF plasma was capacitively coupled by 13.56 MHz generator with supporting ICP generator (13.56 Mhz). The deposition processes were conducted in constant value of RF generator's power and resultant value of the DC Bias. The power values of RF generator was set at 70 W and the power values of ICP generator was set at 300 W. In this work we focus on the influence of DLC film's thickness on optical, electrical and structural properties of the deposited DLC films. The quality of deposited DLC layers was examined by the Raman spectroscopy, AFM microscopy and spectroscopic ellipsometry. In the investigated DLC films the calculated sp3 content was ranging from 60 % to 70 %. The films were characterized by the refractive index ranging from 2.03 to 2.1 and extinction coefficient ranging from 0.09 to 0.12.

  8. Ion beam deposition of amorphous carbon films with diamond like properties

    NASA Technical Reports Server (NTRS)

    Angus, John C.; Mirtich, Michael J.; Wintucky, Edwin G.

    1982-01-01

    Carbon films were deposited on silicon, quartz, and potassium bromide substrates from an ion beam. Growth rates were approximately 0.3 micron/hour. The films were featureless and amorphous and contained only carbon and hydrogen in significant amounts. The density and carbon/hydrogen ratio indicate the film is a hydrogen deficient polymer. One possible structure, consistent with the data, is a random network of methylene linkages and tetrahedrally coordinated carbon atoms.

  9. X-ray combined analysis of fiber-textured and epitaxial Ba(Sr,Ti)O{sub 3} thin films deposited by radio frequency sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Remiens, D.; Ponchel, F.; Legier, J. F.

    2011-06-01

    A complete study is given in this paper on the structural properties of Ba(Sr,Ti)O{sub 3} (BST) thin films which present various preferred orientations: (111) and (001) fiber and epitaxial textures. The films are deposited in situ at 800 deg. C by sputtering on Si/SiO{sub 2}/TiO{sub x}/Pt substrates and the orientation is controlled by monitoring the concentration of O{sub 2} in the reactive plasma or by prior deposition of a very thin TiO{sub x} buffer layer between BST films and substrates. The epitaxial films are obtained on (001)-alpha-Al{sub 2}O{sub 3} substrates covered with TiO{sub x} buffer layers. In order to analyzemore » finely the preferred orientations, the texture, the microstructural features, and the anisotropy-related quantities such as residual stresses in the films, the conventional Bragg-Brentano {theta} - 2{theta} x-ray diffraction diagrams is shown not to be sufficient. So, we systematically used x-ray combined analysis, a recently developed methodology which gives access to precise determination of the structure (cell parameters and space group) of the films, their orientation distributions (texture strengths and types) and mean crystallite sizes, their residual stresses. This fine structural analysis shows important modifications between the film qualities which induce differences in BST films electrical behavior, permittivity, loss tangent, and tunability.« less

  10. Effect of CaRuO3 interlayer on the dielectric properties of Ba(Zr ,Ti)O3 thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Tang, X. G.; Tian, H. Y.; Wang, J.; Wong, K. H.; Chan, H. L. W.

    2006-10-01

    Ba(Zr0.2Ti0.8)O3 (BZT) thin films on Pt(111)/Ti /SiO2/Si(100) substrates without and with CaRuO3 (CRO) buffer layer were fabricated at 650°C in situ by pulsed laser deposition. The BZT thin films showed a dense morphology, many clusters are found on the surface images of BZT/Pt films, which are composed by nanosized grains of 25-35nm; the average grain size of BZT/CRO films is about 80nm, which lager than that of BZT/Pt thin film. The dielectric constants and dissipation factors of BZT/Pt and BZT/CRO thin films were 392 and 0.019 and 479 and 0.021 at 1MHz, respectively. The dielectric constant of BZT/Pt and BZT/CRO thin films changes significantly with applied dc bias field and has high tunabilities and figures of merit of ˜70% and 37 and 75% and 36, respectively, under an applied field of 400kV /cm. The possible microstructural background responsible for the high dielectric constant and tunability was discussed.

  11. Effect of post annealing on structural, optical and dielectric properties of MgTiO3 thin films deposited by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Santhosh Kumar, T.; Bhuyan, R. K.; Pamu, D.

    2013-01-01

    MgTiO3 (MTO) thin films have been deposited on to quartz and platinized silicon (Pt/TiO2/SiO2/Si) substrates by RF magnetron sputtering. The metal-MTO-metal (Ag-MTO-Pt/TiO2/SiO2/Si) thin film capacitors have been fabricated at different oxygen mixing percentage (OMP). The effects of OMP and post annealing on the structural, microstructural, optical and dielectric properties of MTO films were studied. The MTO target has been synthesized by mechanochemical synthesis method. The phase purity of the sputtering target was confirmed from X-ray diffraction pattern and refined to R3bar space group with lattice parameters a = b = 5.0557(12) Å, c = 13.9003(9) Å. The chemical composition of the deposited films was confirmed from EDS spectra and all the films exhibited the composition of the sputtering target. The XRD patterns of the as-deposited films are amorphous and annealing at 700 °C for 1 h induced nanocrystallinity with the improved optical and dielectric properties. The annealed films exhibit refractive index in the range of 2.12-2.19 at 600 nm with an optical bandgap value in between 4.11 and 4.19 eV. The increase in the refractive index and bandgap upon annealing can be attributed to the improvement in packing density, crystallinity, and decrease in porosity ratio. Both the dielectric constant and tan δ decrease with the increase in frequency and were in the range of 13.7-31.11 and 0.006-0.124, respectively. The improvement in dielectric properties with the increase in OMP has been correlated to the reduction in oxygen vacancies, increase in crystallinity and grain size of the films.

  12. Growth of high quality yttrium iron garnet films using standard pulsed laser deposition technique

    NASA Astrophysics Data System (ADS)

    Zaki, Aliaa M.; Blythe, Harry J.; Heald, Steve M.; Fox, A. Mark; Gehring, Gillian A.

    2018-05-01

    Thin films with properties comparable to bulk single crystals were grown by pulsed laser deposition using a substrate temperature of only 500 °C. This was achieved by a careful choice of both the oxygen pressure in the deposition chamber and the temperature of the air anneal. The best films were grown on gadolinium gallium garnet substrates but we also report data for films grown on the diamagnetic substrate yttrium aluminium garnet. The films were analysed using X-ray diffraction, near edge X-ray absorption and magnetometry. Our best films had a magnetisation of 143 emu/cm3 and a coercive field of ∼1 Oe.

  13. Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications

    NASA Astrophysics Data System (ADS)

    Choi, Kyeong-Keun; Park, Chan-Gyung; Kim, Deok-kee

    2016-01-01

    The electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition were investigated for through-silicon via (TSV) and metal-insulator-metal applications at temperatures below 300 °C. ZrO2 films were able to be conformally deposited on the scallops of 50-µm-diameter, 100-µm-deep TSV holes. The mean breakdown field of 30-nm-thick ZrO2 films on 30-nm-thick Ta(N) increased about 41% (from 2.7 to 3.8 MV/cm) upon H2 plasma treatment. With the plasma treatment, the breakdown field of the film increased and the temperature coefficient of capacitance decreased significantly, probably as a result of the decreased carbon concentration in the film.

  14. Short review on chemical bath deposition of thin film and characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  15. Electrodeposited Ni-Based Magnetic Mesoporous Films as Smart Surfaces for Atomic Layer Deposition: An "All-Chemical" Deposition Approach toward 3D Nanoengineered Composite Layers.

    PubMed

    Zhang, Jin; Quintana, Alberto; Menéndez, Enric; Coll, Mariona; Pellicer, Eva; Sort, Jordi

    2018-05-02

    Mesoporous Ni and Cu-Ni (Cu 20 Ni 80 and Cu 45 Ni 55 in at. %) films, showing a three-dimensional (3D) porous structure and tunable magnetic properties, are prepared by electrodeposition from aqueous surfactant solutions using micelles of P-123 triblock copolymer as structure-directing entities. Pores between 5 and 30 nm and dissimilar space arrangements (continuous interconnected networks, circular pores, corrugated mesophases) are obtained depending on the synthetic conditions. X-ray diffraction studies reveal that the Cu-Ni films have crystallized in the face-centered cubic structure, are textured, and exhibit certain degree of phase separation, particularly those with a higher Cu content. Atomic layer deposition (ALD) is used to conformally coat the mesopores of Cu 20 Ni 80 film with amorphous Al 2 O 3 , rendering multiphase "nano-in-meso" metal-ceramic composites without compromising the ferromagnetic response of the metallic scaffold. From a technological viewpoint, these 3D nanoengineered composite films could be appealing for applications like magnetically actuated micro/nanoelectromechanical systems (MEMS/NEMS), voltage-driven magneto-electric devices, capacitors, or as protective coatings with superior strength and tribological performance.

  16. Morphology and Curie temperature engineering in crystalline La{sub 0.7}Sr{sub 0.3}MnO{sub 3} films on Si by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nori, Rajashree, E-mail: rajsre@ee.iitb.ac.in; Ganguly, U.; Ravi Chandra Raju, N.

    2014-01-21

    Of all the colossal magnetoresistant manganites, La{sub 0.7}Sr{sub 0.3}MnO{sub 3} (LSMO) exhibits magnetic and electronic state transitions above room temperature, and therefore holds immense technological potential in spintronic devices and hybrid heterojunctions. As the first step towards this goal, it needs to be integrated with silicon via a well-defined process that provides morphology and phase control, along with reproducibility. This work demonstrates the development of pulsed laser deposition (PLD) process parameter regimes for dense and columnar morphology LSMO films directly on Si. These regimes are postulated on the foundations of a pressure-distance scaling law and their limits are defined postmore » experimental validation. The laser spot size is seen to play an important role in tandem with the pressure-distance scaling law to provide morphology control during LSMO deposition on lattice-mismatched Si substrate. Additionally, phase stability of the deposited films in these regimes is evaluated through magnetometry measurements and the Curie temperatures obtained are 349 K (for dense morphology) and 355 K (for columnar morphology)—the highest reported for LSMO films on Si so far. X-ray diffraction studies on phase evolution with variation in laser energy density and substrate temperature reveals the emergence of texture. Quantitative limits for all the key PLD process parameters are demonstrated in order enable morphological and structural engineering of LSMO films deposited directly on Si. These results are expected to boost the realization of top-down and bottom-up LSMO device architectures on the Si platform for a variety of applications.« less

  17. Structure and electrical properties of Pb(ZrxTi1-x)O3 deposited on textured Pt thin films

    NASA Astrophysics Data System (ADS)

    Hong, Jongin; Song, Han Wook; Lee, Hee Chul; Lee, Won Jong; No, Kwangsoo

    2001-08-01

    The texturing of the bottom electrode plays a key role in the structure and electrical properties of Pb(Zr, Ti)O3 (PZT) thin films. We fabricated Pt bottom electrodes having a different thickness on MgO single crystals at 600 °C by rf magnetron sputtering. As the thickness of platinum (Pt) thin film increased, the preferred orientation of Pt thin film changed from (200) to (111). PZT thin films were fabricated at 450 °C by electron cyclotron resonance-plasma enhanced metal organic chemical vapor deposition on the textured Pt thin films. The texturing of the bottom electrode caused drastic changes in the C-V characteristics, P-E characteristics, and fatigue characteristics of metal/ferroelectric material/metal (MFM) capacitors. The difference of the electrical properties between the PZT thin films having different texturing was discussed in terms-of the x-y alignment and the interface between electrode and PZT in MFM capacitors.

  18. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1993-01-01

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures.

  19. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1993-10-26

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures. 5 figures.

  20. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    NASA Astrophysics Data System (ADS)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  1. Self-limiting atomic layer deposition of conformal nanostructured silver films

    NASA Astrophysics Data System (ADS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-02-01

    The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  2. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  3. {001} Oriented piezoelectric films prepared by chemical solution deposition on Ni foils

    NASA Astrophysics Data System (ADS)

    Yeo, Hong Goo; Trolier-McKinstry, Susan

    2014-07-01

    Flexible metal foil substrates are useful in some microelectromechanical systems applications including wearable piezoelectric sensors or energy harvesters based on Pb(Zr,Ti)O3 (PZT) thin films. Full utilization of the potential of piezoelectrics on metal foils requires control of the film crystallographic texture. In this study, {001} oriented PZT thin films were grown by chemical solution deposition (CSD) on Ni foil and Si substrates. Ni foils were passivated using HfO2 grown by atomic layer deposition in order to suppress substrate oxidation during subsequent thermal treatment. To obtain the desired orientation of PZT film, strongly (100) oriented LaNiO3 films were integrated by CSD on the HfO2 coated substrates. A high level of {001} LaNiO3 and PZT film orientation were confirmed by X-ray diffraction patterns. Before poling, the low field dielectric permittivity and loss tangents of (001) oriented PZT films on Ni are near 780 and 0.04 at 1 kHz; the permittivity drops significantly on poling due to in-plane to out-of-plane domain switching. (001) oriented PZT film on Ni displayed a well-saturated hysteresis loop with a large remanent polarization ˜36 μC/cm2, while (100) oriented PZT on Si showed slanted P-E hysteresis loops with much lower remanent polarizations. The |e31,f| piezoelectric coefficient was around 10.6 C/m2 for hot-poled (001) oriented PZT film on Ni.

  4. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  5. Cadmium sulphide (CdS) thin films deposited by chemical bath deposition (CBD) and dip coating techniques—a comparative study

    NASA Astrophysics Data System (ADS)

    Khimani, Ankurkumar J.; Chaki, Sunil H.; Malek, Tasmira J.; Tailor, Jiten P.; Chauhan, Sanjaysinh M.; Deshpande, M. P.

    2018-03-01

    The CdS thin films were deposited on glass slide substrates by Chemical Bath Deposition and dip coating techniques. The films thickness variation with deposition time showed maximum films deposition at 35 min for both the films. The energy dispersive analysis of x-ray showed both the films to be stoichiometric. The x-ray diffraction analysis confirmed the films possess hexagonal crystal structure. The transmission electron, scanning electron and optical microscopy study showed the films deposition to be uniform. The selected area electron diffraction exhibited ring patterns stating the films to be polycrystalline in nature. The atomic force microscopy images showed surface formed of spherical grains, hills and valleys. The recorded optical absorbance spectra analysis revealed the films possess direct optical bandgap having values of 2.25 eV for CBD and 2.40 eV for dip coating. The refractive index (η), extinction coefficient (k), complex dielectric constant (ε) and optical conductivity (σ 0) variation with wavelength showed maximum photon absorption till the respective wavelengths corresponding to the optical bandgap energy values. The recorded photoluminescence spectra showed two emission peaks. All the obtained results have been discussed in details.

  6. Polycrystalline La1-xSrxMnO3 films on silicon: Influence of post-Deposition annealing on structural, (Magneto-)Optical, and (Magneto-)Electrical properties

    NASA Astrophysics Data System (ADS)

    Thoma, Patrick; Monecke, Manuel; Buja, Oana-Maria; Solonenko, Dmytro; Dudric, Roxana; Ciubotariu, Oana-Tereza; Albrecht, Manfred; Deac, Iosif G.; Tetean, Romulus; Zahn, Dietrich R. T.; Salvan, Georgeta

    2018-01-01

    The integration of La1-xSrxMnO3 (LSMO) thin film technology into established industrial silicon processes is regarded as challenging due to lattice mismatch, thermal expansion, and chemical reactions at the interface of LSMO and silicon. In this work, we investigated the physical properties of thin La0.73Sr0.27MnO3 films deposited by magnetron sputtering on silicon without a lattice matching buffer layer. The influence of a post-deposition annealing treatment on the structural, (magneto-)optical, and (magneto-)electrical properties was investigated by a variety of techniques. Using Rutherford backscattering spectroscopy, atomic force microscopy, Raman spectroscopy, and X-ray diffraction we could show that the thin films exhibit a polycrystalline, rhombohedral structure after a post-deposition annealing of at least 700 °C. The dielectric tensor in the spectral range from 1.7 eV to 5 eV determined from spectroscopic ellipsometry in combination with magneto-optical Kerr effect spectroscopy was found to be comparable to that of lattice matched films on single crystal substrates reported in literature [1]. The values of the metal-isolator transition temperature and temperature-dependent resistivities also reflect a high degree of crystalline quality of the thermally treated films.

  7. Physical properties of a non-transparent cadmium oxide thick film deposited at low fluence by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Quiñones-Galván, J.G., E-mail: erk_183@hotmail.com; Lozada-Morales, R.; Jiménez-Sandoval, S.

    Highlights: • A non-transparent cadmium oxide film has been deposited by pulsed laser deposition. • The CdO film is polycrystalline and highly oriented in the (2 0 0) direction. • Thermal treatment was applied in order to see the effect on its physical properties. - Abstract: A stable non-transparent CdO film was grown by pulsed laser deposition. The sample was thermally annealed at 500 °C in air. A (2 0 0) highly oriented polycrystalline film was obtained. The annealed sample has not preferred orientation. Scanning electron micrographs show a grain size reduction for the annealed sample. By Raman spectroscopy, themore » defects related second order vibrational modes of CdO were observed. Chemical composition analysis shows the presence of CdO together with a substoichiometric CdO{sub x} phase for the as-grown sample. For the annealed sample a compensation of oxygen vacancies was observed. Electrical resistivity measurements give a value of 8.602 × 10{sup −4} (Ω cm) for the as-grown film. For the annealed sample the electrical resistivity increased to a value of 9.996 × 10{sup −3} (Ω cm). Zero transmission has never been reported for CdO films. The photoluminescence spectra were measured in order to shed some light on the origin of the zero transmission.« less

  8. Artificial in-plane ordering of textured YBa2Cu3O(7-x) films deposited on polycrystalline yttria-stabilized zirconia substrates

    NASA Astrophysics Data System (ADS)

    Harshavardhan, K. S.; Rajeswari, M.; Hwang, D. M.; Chen, C. Y.; Sands, T. D.; Venkatesan, T.; Tkaczyk, J. E.; Lay, K. W.; Safari, A.; Johnson, L.

    1992-12-01

    Anisotropic surface texturing of the polycrystalline yttria-stabilized zirconia substrates, prior to YBa2Cu3O(7-x) film deposition, is shown to promote in-plane (basal plane) ordering of the film growth in addition to the c-axis texturing. The Jc's of the films in the weak-link-dominated low-field regime are enhanced considerably, and this result is attributed to the reduction of weak links resulting from a reduction in the number of in-plane large-angle grain boundaries.

  9. Low temperature synthesis of silicon nitride thin films deposited by VHF/RF PECVD for gas barrier application

    NASA Astrophysics Data System (ADS)

    Lee, Jun S.; Shin, Kyung S.; Sahu, B. B.; Han, Jeon G.

    2015-09-01

    In this work, silicon nitride (SiNx) thin films were deposited on polyethylene terephthalate (PET) substrates as barrier layers by plasma enhanced chemical vapor deposition (PECVD) system. Utilizing a combination of very high-frequency (VHF 40.68 MHz) and radio-frequency (RF 13.56 MHz) plasmas it was possible to adopt PECVD deposition at low-temperature using the precursors: Hexamethyldisilazane (HMDSN) and nitrogen. To investigate relationship between film properties and plasma properties, plasma diagnostic using optical emission spectroscopy (OES) was performed along with the film analysis using Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectroscopy (XPS). OES measurements show that there is dominance of the excited N2 and N2+ emissions with increase in N2 dilution, which has a significant impact on the film properties. It was seen that all the deposited films contains mainly silicon nitride with a small content of carbon and no signature of oxygen. Interestingly, upon air exposure, films have shown the formation of Si-O bonds in addition to the Si-N bonds. Measurements and analysis reveals that SiNx films deposited with high content of nitrogen with HMDSN plasma can have lower gas barrier properties as low as 7 . 3 ×10-3 g/m2/day. Also at Chiang Mai University.

  10. Friction and Wear Properties of Selected Solid Lubricating Films. Part 3; Magnetron-Sputtered and Plasma-Assisted, Chemical-Vapor-Deposited Diamondlike Carbon Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Iwaki, Masanori; Gotoh, Kenichi; Obara, Shingo; Imagawa, Kichiro

    2000-01-01

    To evaluate commercially developed dry solid film lubricants for aerospace bearing applications, an investigation was conducted to examine the friction and wear behavior of magnetron-sputtered diamondlike carbon (MS DLC) and plasma-assisted, chemical-vapor-deposited diamondlike carbon (PACVD DLC) films in sliding contact with 6-mm-diameter American Iron and Steel Institute (AISI) 440C stainless steel balls. Unidirectional sliding friction experiments were conducted with a load of 5.9 N (600 g), a mean Hertzian contact pressure of 0.79 GPa (maximum Hertzian contact pressure of L-2 GPa), and a sliding velocity of 0.2 m/s. The experiments were conducted at room temperature in three environments: ultrahigh vacuum (vacuum pressure, 7x10(exp -7) Pa), humid air (relative humidity, approx.20 percent), and dry nitrogen (relative humidity, <1 percent). The resultant films were characterized by scanning electron microscopy, energy-dispersive x-ray spectroscopy, and surface profilometry. Marked differences in the friction and wear of the DLC films investigated herein resulted from the environmental conditions. The main criteria for judging the performance of the DLC films were coefficient of friction and wear rate, which had to be less than 0.3 and on the order of 10(exp -6) cu mm/N-m or less, respectively. MS DLC films and PACVD DLC films met the criteria in humid air and dry nitrogen but failed in ultrahigh vacuum, where the coefficients of friction were greater than the criterion, 0.3. In sliding contact with 440C stainless steel balls in all three environments the PACVD DLC films exhibited better tribological performance (i.e., lower friction and wear) than the MS DLC films. All sliding involved adhesive transfer of wear materials: transfer of DLC wear debris to the counterpart 440C stainless steel and transfer of 440C stainless steel wear debris to the counterpart DLC film.

  11. Structure-property relations in sputter deposited epitaxial (1-x)Pb(Mg1/3Nb2/3)O3- xPbTiO3 thin films

    NASA Astrophysics Data System (ADS)

    Frederick, Joshua C.

    Lead-based ferroelectric materials are of significant technological importance for sensing and actuation due to their high piezoelectric performance (i.e., the ability to convert an electrical signal to mechanical displacement, and vice versa). Traditionally, bulk ceramic or single crystals materials have filled these roles; however, emerging technologies stand to benefit by incorporating thin films to achieve miniaturization while maintaining high efficiency and sensitivity. Currently, chemical systems that have been well characterized in bulk form (e.g. Pb(Mg1/3Nb2/3)O3- xPbTiO3, or PMN-xPT) require further study to optimize both the chemistry and structure for deployment in thin film devices. Furthermore, the effect of internal electric fields is more significant at the length scales of thin films, resulting in self biases that require compensation to reveal their intrinsic dielectric response. To this end, the structure-property relations of epitaxial PMN-xPT films sputter deposited on a variety of substrates were investigated. Attention was paid to how the structure (i.e., strain state, crystal structure, domain configuration, and defects) gave rise to the ferroelectric, dielectric, and piezoelectric response. Three-dimensional visualization of the dielectric response as a simultaneous function of electric field and temperature revealed the true phase transition of the films, which was found to correspond to the strain state and defect concentration. A lead-buffered anneal process was implemented to enhance the ferroelectric and dielectric response of the films without altering their stoichiometry. It was discovered that PMN- xPT films could be domain-engineered to exhibit a mixed domain state through chemistry and substrate choice. Such films exhibited a monoclinic distortion similar to that of the bulk compositions near the morphotropic phase boundary. Finally, it was revealed that the piezoelectric response could be greatly enhanced by declamping the film

  12. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Lin, Jianliang; Chistyakov, Roman

    2017-02-01

    Highly <0001> c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm-2) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a <0001> preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm-2 improved the <0001> orientation. Further increasing the peak target current density to above 0.53 Acm-2 showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  13. Absence of morphotropic phase boundary effects in BiFeO3-PbTiO3 thin films grown via a chemical multilayer deposition method

    NASA Astrophysics Data System (ADS)

    Gupta, Shashaank; Bhattacharjee, Shuvrajyoti; Pandey, Dhananjai; Bansal, Vipul; Bhargava, Suresh K.; Peng, Ju Lin; Garg, Ashish

    2011-07-01

    We report an unusual behavior observed in (BiFeO3)1- x -(PbTiO3) x (BF- xPT) thin films prepared using a multilayer chemical solution deposition method. Films of different compositions were grown by depositing several bilayers of BF and PT precursors of varying BF and PT layer thicknesses followed by heat treatment in air. X-ray diffraction showed that samples of all compositions show mixing of two compounds resulting in a single-phase mixture, also confirmed by transmission electron microscopy. In contrast to bulk compositions, samples show a monoclinic (MA-type) structure suggesting disappearance of the morphotropic phase boundary (MPB) at x=0.30 as observed in the bulk. This is accompanied by the lack of any enhancement of the remanent polarization at the MPB, as shown by the ferroelectric measurements. Magnetic measurements showed an increase in the magnetization of the samples with increasing BF content. Significant magnetization in the samples indicates melting of spin spirals in the BF- xPT films, arising from a random distribution of iron atoms. Absence of Fe2+ ions was corroborated by X-ray photoelectron spectroscopy measurements. The results illustrate that thin film processing methodology significantly changes the structural evolution, in contrast to predictions from the equilibrium phase diagram, besides modifying the functional characteristics of the BP- xPT system dramatically.

  14. Formation of diamond nanoparticle thin films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Goto, Yosuke; Ohishi, Fujio; Tanaka, Kuniaki; Usui, Hiroaki

    2016-03-01

    Thin films of diamond nanoparticles were prepared by electrophoretic deposition (EPD) using 0.5 wt % dispersions in water, ethanol, and 2-propanol. The film growth rate increased with increasing voltage applied to the electrodes. However, an excessive increase in voltage caused the degradation of film morphology. The optimum voltage was 4 V with an electrode separation of 5 mm. The film growth rate was higher in organic solvents than in water. The deposited film had a smooth surface with an average surface roughness comparable to the size of primary particles of the source material. It is notable that the EPD films had a considerably higher physical stability than spin-coated and cast films. The stability was further improved by thermally annealing the films. IR analysis revealed that the diamond nanoparticles have carboxy and amino groups on their surfaces. It is considered that the stability of the EPD films originate from a chemical reaction between these functional groups.

  15. Electrowetting on plasma-deposited fluorocarbon hydrophobic films for biofluid transport in microfluidics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bayiati, P.; Tserepi, A.; Petrou, P. S.

    2007-05-15

    The present work focuses on the plasma deposition of fluorocarbon (FC) films on surfaces and the electrostatic control of their wettability (electrowetting). Such films can be employed for actuation of fluid transport in microfluidic devices, when deposited over patterned electrodes. Here, the deposition was performed using C{sub 4}F{sub 8} and the plasma parameters that permit the creation of films with optimized properties desirable for electrowetting were established. The wettability of the plasma-deposited surfaces was characterized by means of contact angle measurements (in the static and dynamic mode). The thickness of the deposited films was probed in situ by means ofmore » spectroscopic ellipsometry, while the surface roughness was provided by atomic force microscopy. These plasma-deposited FC films in combination with silicon nitride, a material of high dielectric constant, were used to create a dielectric structure that requires reduced voltages for successful electrowetting. Electrowetting experiments using protein solutions were conducted on such optimized dielectric structures and were compared with similar structures bearing commercial spin-coated Teflon registered amorphous fluoropolymer (AF) film as the hydrophobic top layer. Our results show that plasma-deposited FC films have desirable electrowetting behavior and minimal protein adsorption, a requirement for successful transport of biological solutions in 'digital' microfluidics.« less

  16. Synthesis of Nanoporous Activated Iridium Oxide Films by Anodized Aluminum Oxide Templated Atomic Layer Deposition

    DTIC Science & Technology

    2010-11-01

    number of deposition strategies, including sputtering [10–12] and electrodeposition [13,14]. With all synthesis strategies, control of the film...to 10% ozone in 400 sccm O2 for 10 min. A 20 Å Al2O3 film was then deposited as a nucleation layer by iterative exposures of trimethyla- luminum and

  17. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  18. Control of composition and crystallinity in hydroxyapatite films deposited by electron cyclotron resonance plasma sputtering

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei; Ueno, Yuko

    2014-01-01

    Hydroxyapatite (HAp) films were deposited by electron cyclotron resonance plasma sputtering under a simultaneous flow of H2O vapor gas. Crystallization during sputter-deposition at elevated temperatures and solid-phase crystallization of amorphous films were compared in terms of film properties. When HAp films were deposited with Ar sputtering gas at temperatures above 460 °C, CaO byproducts precipitated with HAp crystallites. Using Xe instead of Ar resolved the compositional problem, yielding a single HAp phase. Preferentially c-axis-oriented HAp films were obtained at substrate temperatures between 460 and 500 °C and H2O pressures higher than 1×10-2 Pa. The absorption signal of the asymmetric stretching mode of the PO43- unit (ν3) in the Fourier-transform infrared absorption (FT-IR) spectra was the narrowest for films as-crystallized during deposition with Xe, but widest for solid-phase crystallized films. While the symmetric stretching mode of PO43- (ν1) is theoretically IR-inactive, this signal emerged in the FT-IR spectra of solid-phase crystallized films, but was absent for as-crystallized films, indicating superior crystallinity for the latter. The Raman scattering signal corresponding to ν1 PO43- sensitively reflected this crystallinity. The surface hardness of as-crystallized films evaluated by a pencil hardness test was higher than that of solid-phase crystallized films.

  19. Critical current density of high-quality Bi2Sr2Ca2Cu3Ox thin films prepared by metalorganic chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamasaki, H.; Endo, K.; Nakagawa, Y.; Umeda, M.; Kosaka, S.; Misawa, S.; Yoshida, S.; Kajimura, K.

    1992-10-01

    Critical current densities Jc were measured in as-deposited, c-axis-oriented Bi2Sr2Ca2Cu3Ox thin films with Tc values as high as 97 K, which were prepared by metalorganic chemical-vapor deposition. These films showed high Jc (≳109 A/m2) at 77.3 K in high magnetic fields (≥1 T, H∥a-b plane). The best values are 3.3×109 A/m2 at 1 T and 9.1×108 A/m2 at 8 T, which are the highest Jc for Bi-oxide thin films among those reported so far. There were no signs of weak links in the Jc(H) behavior, and the surface morphology examined by scanning electron microscopy showed no apparent grain boundaries. The values of Jc decreased sharply when the applied field deviated from the a-b plane, and went to zero at the angles where the field component in the c direction is nearly equal to the irreversibility field Hc2* parallel to the c axis. The angular dependence of Jc of these films is most reasonably explained by the theory of intrinsic pinning.

  20. Ion plating technique improves thin film deposition

    NASA Technical Reports Server (NTRS)

    Mattox, D. M.

    1968-01-01

    Ion plating technique keeps the substrate surface clean until the film is deposited, allows extensive diffusion and chemical reaction, and joins insoluble or incompatible materials. The technique involves the deposition of ions on the substrate surface while it is being bombarded with inert gas ions.

  1. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  2. Thin-film preparation by back-surface irradiation pulsed laser deposition using metal powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Yamauchi, Makiko; Suda, Yoshiaki

    2017-01-01

    Several kinds of functional thin films were deposited using a new thin-film preparation method named the back-surface irradiation pulsed laser deposition (BIPLD) method. In this BIPLD method, powder targets were used as the film source placed on a transparent target holder, and then a visible-wavelength pulsed laser was irradiated from the holder side to the substrate. Using this new method, titanium oxide and boron nitride thin films were deposited on the silicon substrate. Surface scanning electron microscopy (SEM) images suggest that all of the thin films were deposited on the substrate with some large droplets irrespective of the kind of target used. The deposition rate of the films prepared by using this method was calculated from film thickness and deposition time to be much lower than that of the films prepared by conventional PLD. X-ray diffraction (XRD) measurement results suggest that rutile and anatase TiO2 crystal peaks were formed for the films prepared using the TiO2 rutile powder target. Crystal peaks of hexagonal boron nitride were observed for the films prepared using the boron nitride powder target. The crystallinity of the prepared films was changed by annealing after deposition.

  3. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, B.E.; McLean, W. II

    1996-02-13

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus. 9 figs.

  4. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, Bruce E.; McLean, II, William

    1996-01-01

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus.

  5. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  6. Magnetic and electronic properties of SrMnO3 thin films

    NASA Astrophysics Data System (ADS)

    Mandal, Arup Kumar; Panchal, Gyanendra; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Single phase hexagonal bulk SrMnO3 (SMO) was prepared by solid state route and it was used for depositing thin films by pulsed laser deposition (PLD) technique on single crystalline (100) oriented SrTiO3 (STO) substrate. X-ray diffraction shows that the thin film is deposited in cubic SrMnO3 phase. From X-ray absorption at the Mn L edge we observed the mixed valency of Mn (Mn3+& Mn4+) due to strain induced by the lattice mismatching between SMO and STO. Due to this mixed valency of Mn ion in SMO film, the ferromagnetic nature is observed at lower temperature because of double exchange. After post annealing with very low oxygen partial pressure, magnetic and electronic property of SMO films are effectively modified.

  7. Optimization of pulsed laser deposited ZnO thin-film growth parameters for thin-film transistors (TFT) application

    NASA Astrophysics Data System (ADS)

    Gupta, Manisha; Chowdhury, Fatema Rezwana; Barlage, Douglas; Tsui, Ying Yin

    2013-03-01

    In this work we present the optimization of zinc oxide (ZnO) film properties for a thin-film transistor (TFT) application. Thin films, 50±10 nm, of ZnO were deposited by Pulsed Laser Deposition (PLD) under a variety of growth conditions. The oxygen pressure, laser fluence, substrate temperature and annealing conditions were varied as a part of this study. Mobility and carrier concentration were the focus of the optimization. While room-temperature ZnO growths followed by air and oxygen annealing showed improvement in the (002) phase formation with a carrier concentration in the order of 1017-1018/cm3 with low mobility in the range of 0.01-0.1 cm2/V s, a Hall mobility of 8 cm2/V s and a carrier concentration of 5×1014/cm3 have been achieved on a relatively low temperature growth (250 °C) of ZnO. The low carrier concentration indicates that the number of defects have been reduced by a magnitude of nearly a 1000 as compared to the room-temperature annealed growths. Also, it was very clearly seen that for the (002) oriented films of ZnO a high mobility film is achieved.

  8. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  9. Effects of substrate temperatures and deposition rates on properties of aluminum fluoride thin films in deep-ultraviolet region.

    PubMed

    Sun, Jian; Li, Xu; Zhang, Weili; Yi, Kui; Shao, Jianda

    2012-12-10

    Aluminum fluoride (AlF(3)) is a low-refractive-index material widely used in coatings for deep-ultraviolet (DUV) optical systems, especially 193 nm laser systems. Low optical loss and stability are essential for film application. In this study, AlF(3)> thin films were prepared by thermal evaporation with a resistive heating boat. The effects of substrate temperatures and deposition rates on the optical properties in vacuum and in air, composition, and microstructures were discussed respectively. In vacuum the deposition parameters directly influenced the microstructures that determined the refractive index. When the films were exposed to air, aluminum oxide (Al(2)O(3)) formed in the films with water adsorption. Thus the refractive index increased and a nonmonotonic changing trend of the refractive index with substrate temperature was observed. The Al(2)O(3) was also found to be conductive to reducing absorption loss. AlF(3) films prepared at a high substrate temperature and deposition rate could yield stable structures with large optical loss.

  10. Microstructure related properties of gadolinium fluoride films deposited by molybdenum boat evaporation

    NASA Astrophysics Data System (ADS)

    Chang, Y. H.; Wang, C. Y.; Qi, L. Q.; Liu, H.

    2017-08-01

    In order to optimize the performance of fluoride thin films in wavelength of Deep Ultraviolet (DUV), GdF3 single layers are prepared by thermal evaporation at different deposition temperatures on Fused Silica. Optical and structure properties of each sample are characterized. The results that the refrac-tive index increased gradually and the crystallization status becomes stronger with the temperature rising, the inhomogeneous of the thin films present linearity. The decrease total optical loss with deposited temper-ature is attributed to the higher packing density and lower optical absorption.

  11. Cobalt-supported alumina as catalytic film prepared by electrophoretic deposition for hydrogen release applications

    NASA Astrophysics Data System (ADS)

    Chamoun, R.; Demirci, U. B.; Cornu, D.; Zaatar, Y.; Khoury, A.; Khoury, R.; Miele, P.

    2010-10-01

    Shaped catalysts are crucial for technological applications. In this context, we have developed Co-αAl 2O 3 catalyst films deposited over Cu plates to be used in hydrogen generation by hydrolysis of sodium borohydride NaBH 4 in alkaline solution. The Co-αAl 2O 3 films were prepared by electrophoretic deposition according to six different routes. While five of them failed in fabricating adhering films, the sixth route, consisting of electrodepositing Co-impregnated αAl 2O 3, showed promising results. The as-obtained shaped catalysts were stable when hydrogen vigorously bubbled and catalyzed the NaBH 4 hydrolysis with attractive hydrogen generation rates. These results open an alternative route for preparing shaped catalysts in this reaction.

  12. Growth, structure and stability of sputter-deposited MoS2 thin films.

    PubMed

    Kaindl, Reinhard; Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS 2 ) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS 2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS 2 films by magnetron sputtering. MoS 2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO 2 /Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS 2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS 2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS 2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS 2 thin films are discussed. A potential application for such conductive nanostructured MoS 2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS 2 films.

  13. Growth, structure and stability of sputter-deposited MoS2 thin films

    PubMed Central

    Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS2) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films. PMID:28685112

  14. Impact of laser power density on tribological properties of Pulsed Laser Deposited DLC films

    NASA Astrophysics Data System (ADS)

    Gayathri, S.; Kumar, N.; Krishnan, R.; AmirthaPandian, S.; Ravindran, T. R.; Dash, S.; Tyagi, A. K.; Sridharan, M.

    2013-12-01

    Fabrication of wear resistant and low friction carbon films on the engineered substrates is considered as a challenging task for expanding the applications of diamond-like carbon (DLC) films. In this paper, pulsed laser deposition (PLD) technique is used to deposit DLC films on two different types of technologically important class of substrates such as silicon and AISI 304 stainless steel. Laser power density is one of the important parameter used to tailor the fraction of sp2 bonded amorphous carbon (a-C) and tetrahedral amorphous carbon (ta-C) made by sp3 domain in the DLC film. The I(D)/I(G) ratio decreases with the increasing laser power density which is associated with decrease in fraction of a-C/ta-C ratio. The fraction of these chemical components is quantitatively analyzed by EELS which is well supported to the data obtained from the Raman spectroscopy. Tribological properties of the DLC are associated with chemical structure of the film. However, the super low value of friction coefficient 0.003 is obtained when the film is predominantly constituted by a-C and sp2 fraction which is embedded within the clusters of ta-C. Such a particular film with super low friction coefficient is measured while it was deposited on steel at low laser power density of 2 GW/cm2. The super low friction mechanism is explained by low sliding resistance of a-C/sp2 and ta-C clusters. Combination of excellent physical and mechanical properties of wear resistance and super low friction coefficient of DLC films is desirable for engineering applications. Moreover, the high friction coefficient of DLC films deposited at 9GW/cm2 is related to widening of the intergrain distance caused by transformation from sp2 to sp3 hybridized structure.

  15. Microenergetic Shock Initiation Studies on Deposited Films of PETN

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-06-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with surface profilometry, scanning electron microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the in-plane and out-of-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult due to the attenuated shock and the high density of the PETN films. Mesoscale models of microenergetic samples were created using the shock physics code CTH and compared with experimental results. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, and density.

  16. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2017-06-27

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves electrochemically exchanging a mediating element on a substrate with a noble metal film by alternatingly sweeping potential in forward and reverse directions for a predetermined number of times in an electrochemical cell. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis.

  17. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  18. Electrostatic spray deposition of porous Fe 2O 3 thin films as anode material with improved electrochemical performance for lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Wang, L.; Xu, H. W.; Chen, P. C.; Zhang, D. W.; Ding, C. X.; Chen, C. H.

    Iron oxide materials are attractive anode materials for lithium-ion batteries for their high capacity and low cost compared with graphite and most of other transition metal oxides. Porous carbon-free α-Fe 2O 3 films with two types of pore size distribution were prepared by electrostatic spray deposition, and they were characterized by X-ray diffraction, scanning electron microscopy and X-ray absorption near-edge spectroscopy. The 200 °C-deposited thin film exhibits a high reversible capacity of up to 1080 mAh g -1, while the initial capacity loss is at a remarkable low level (19.8%). Besides, the energy efficiency and energy specific average potential (E av) of the Fe 2O 3 films during charge/discharge process were also investigated. The results indicate that the porous α-Fe 2O 3 films have significantly higher energy density than Li 4Ti 5O 12 while it has a similar E av of about 1.5 V. Due to the porous structure that can buffer the volume changes during lithium intercalation/de-intercalation, the films exhibit stable cycling performance. As a potential anode material for high performance lithium-ion batteries that can be applied on electric vehicle and energy storage, rate capability and electrochemical performance under high-low temperatures were also investigated.

  19. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com; Ahmed, Naser M.; Hassan, Zai

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in themore » macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).« less

  20. Influence of Deposition Temperature on Optical and Laser-Induced Damage Properties of LaTiO3 Films

    NASA Astrophysics Data System (ADS)

    Su, Junhong; Xu, Junqi; Yang, Chen; Cheng, Yaojin

    2015-08-01

    LaTiO3 films were prepared at room temperature, 60, 140 and 220°C using a thermal vapor deposition technique with an electronic beam gun to investigate the relationship between deposition temperatures and the optical properties of the samples. In the ellipsometric analysis, the corresponding refractive indexes were 1.8993, 1.9123, 1.9197 and 1.9283 at a wavelength of 1064 nm. At the same time, extremely low absorption characteristics of all the samples presented in the visible and IR regions. With the same high-energy testing laser of 200 mJ (about 40 J/cm2) at a wavelength of 1064 nm and a pulse width of 10 ns, the laser-induced damage threshold (LIDT) of the LaTiO3 samples prepared at different temperatures were 15.5, 16.7, 18.5 and 18.2 J/cm2, respectively. This shows that a higher LIDT may be obtained at higher deposition temperatures.

  1. Metallic Ni3S2 Films Grown by Atomic Layer Deposition as an Efficient and Stable Electrocatalyst for Overall Water Splitting.

    PubMed

    Ho, Thi Anh; Bae, Changdeuck; Nam, Hochul; Kim, Eunsoo; Lee, Seung Yong; Park, Jong Hyeok; Shin, Hyunjung

    2018-04-18

    We describe the direct preparation of crystalline Ni 3 S 2 thin films via atomic layer deposition (ALD) techniques at temperatures as low as 250 °C without postthermal treatments. A new ALD chemistry is proposed using bis(1-dimethylamino-2-methyl-2-butoxy) nickel(II) [Ni(dmamb) 2 ] and H 2 S as precursors. Homogeneous and conformal depositions of Ni 3 S 2 films were achieved on 4 in. wafers (both metal and oxide substrates, including Au and SiO 2 ). The resulting crystalline Ni 3 S 2 layers exhibited highly efficient and stable performance as electrocatalysts for both the hydrogen evolution reaction (HER) and the oxygen evolution reaction (OER) in alkaline solutions, with a low overpotential of 300 mV and a high turnover frequency for HER and an overpotential of 400 mV for OER (at a current density of 10 mA/cm 2 ). Using our Ni 3 S 2 films as both the cathode and the anode, two-electrode full-cell electrolyzers were constructed, which showed stable operation for 100 h at a current density of 10 mA/cm 2 . The proposed ALD electrocatalysts on planar surfaces exhibited the best performance among Ni 3 S 2 materials for overall water splitting recorded to date.

  2. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  3. Tuning metal-insulator transitions in epitaxial V2O3 thin films

    NASA Astrophysics Data System (ADS)

    Thorsteinsson, Einar B.; Shayestehaminzadeh, Seyedmohammad; Arnalds, Unnar B.

    2018-04-01

    We present a study of the synthesis of epitaxial V2O3 films on c-plane Al2O3 substrates by reactive dc-magnetron sputtering. The results reveal a temperature window, at substantially lower values than previously reported, wherein epitaxial films can be obtained when deposited on [0001] oriented surfaces. The films display a metal-insulator transition with a change in the resistance of up to four orders of magnitude, strongly dependent on the O2 partial pressure during deposition. While the electronic properties of the films show sensitivity to the amount of O2 present during deposition of the films, their crystallographic structure and surface morphology of atomically flat terraced structures with up to micrometer dimensions are maintained. The transition temperature, as well as the scale of the metal-insulator transition, is correlated with the stoichiometry and local strain in the films controllable by the deposition parameters.

  4. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  5. Microstructure-related properties at 193 nm of MgF2 and GdF3 films deposited by a resistive-heating boat.

    PubMed

    Liu, Ming-Chung; Lee, Cheng-Chung; Kaneko, Masaaki; Nakahira, Kazuhide; Takano, Yuuichi

    2006-03-01

    MgF2 and GdF3 materials, used for a single-layer coating at 193 nm, are deposited by a resistive-heating boat at specific substrate temperatures. Optical characteristics (transmittance, refractive index, extinction coefficient, and optical loss) and microstructures (morphology and crystalline structure) are investigated and discussed. Furthermore, MgF2 is used as a low-index material, and GdF3 is used as a high-index material for multilayer coatings. Reflectance, stress, and the laser-induced damage threshold (LIDT) are studied. It is shown that MgF2 and GdF3 thin films, deposited on the substrate at a temperature of 300 degrees C, obtain good quality thin films with high transmittance and little optical loss at 193 nm. For multilayer coatings, the stress mainly comes from MgF2, and the absorption comes from GdF3. Among those coatings, the sixteen-layer design, sub/(1.4L 0.6H)8/air, shows the largest LIDT.

  6. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  7. Temperature-dependent leakage current behavior of epitaxial Bi0.5Na0.5TiO3-based thin films made by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Hejazi, M. M.; Safari, A.

    2011-11-01

    This paper discusses the electrical conduction mechanisms in a 0.88 Bi0.5Na0.5TiO3-0.08 Bi0.5K0.5TiO3-0.04 BaTiO3 thin film in the temperature range of 200-350 K. The film was deposited on a SrRuO3/SrTiO3 substrate by pulsed laser deposition technique. At all measurement temperatures, the leakage current behavior of the film matched well with the Lampert's triangle bounded by three straight lines of different slopes. The relative location of the triangle sides varied with temperature due to its effect on the density of charge carriers and un-filled traps. At low electric fields, the ohmic conduction governed the leakage mechanism. The calculated activation energy of the trap is 0.19 eV implying the presence of shallow traps in the film. With increasing the applied field, an abrupt increase in the leakage current was observed. This was attributed to a trap-filling process by the injected carriers. At sufficiently high electric fields, the leakage current obeyed the Child's trap-free square law suggesting the space charge limited current was the dominant mechanism.

  8. The effects of changing deposition conditions on the similarity of sputter-deposited fluorocarbon thin films to bulk PTFE

    NASA Astrophysics Data System (ADS)

    Zandona, Philip

    Solid lubrication of space-borne mechanical components is essential to their survival and the continued human exploration of space. Recent discoveries have shown that PTFE when blended with alumina nanofillers exhibits greatly improved physical performance properties, with wear rates being reduced by several orders of magnitude. The bulk processes used to produce the PTFE-alumina blends are limiting. Co-sputter deposition of PTFE and a filler material overcomes several of these limitations by enabling the reduction of particle size to the atomic level and also by allowing for the even coating of the solid lubricant on relatively large areas and components. The goal of this study was to establish a baseline performance of the sputtered PTFE films as compared to the bulk material, and to establish deposition conditions that would result in the most bulk-like film possible. In order to coax change in the structure of the sputtered films, sputtering power and deposition temperature were increased independently. Further, post-deposition annealing was applied to half of the deposited film in an attempt to affect change in the film structure. Complications in the characterization process due to increasing film thickness were also examined. Bulk-like metrics for characterization processes the included Fourier transform infrared spectroscopy (FTIR), X-ray spectroscopy (XPS), nanoindentation via atomic force microscopy, and contact angle of water on surface measurements were established. The results of the study revealed that increasing sputtering power and deposition temperature resulted in an increase in the similarity between the fluorocarbon films and the bulk PTFE, at a cost of affecting the potential of the film thicknesses, either by affecting the deposition process directly, or by decreasing the longevity of the sputtering targets.

  9. Calcium phosphates deposited on titanium electrode surface--part 1: Effect of the electrode polarity and oxide film on the deposited materials.

    PubMed

    Okawa, Seigo; Watanabe, Kouichi; Kanatani, Mitsugu

    2013-01-01

    We report experimental results about the effect of polarity of electrode and anodized titanium oxide film on the deposited materials by electrolysis of an acidic calcium phosphate solution. Mirror-polished titanium and anodized titanium were used as anode or cathode, and a Pt plate was used as a counter electrode. The load voltage was held constant at 20 VDC. No deposited materials were found on the anode surface. On the other hand, dicalcium phosphate dihydrate (DCPD) was deposited on the cathode surface at the beginning of the electrolysis. After the electrolysis time 600 s, the non-stoichiometric hydroxyapatite (HAp) with several hundred nanometers was formed on the specimen surface. Based on X-ray photoelectron spectroscopy data, the anodized oxide film contained both P(5+) and P(3+) ions. This characteristic of the oxide film and the electrolysis conditions were related to the behavior of the deposition of ultra fine HAp with high crystallinity.

  10. Structural and optical studied of nano structured lead sulfide thin films prepared by the chemical bath deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al Din, Nasser Saad, E-mail: nsaadaldin@yahoo.com; Hussain, Nabiha, E-mail: nabihahssin@yahoo.com; Jandow, Nidhal, E-mail: nidhaljandow@yahoo.com

    2016-07-25

    Lead (II) Sulfide PbS thin films were deposited on glass substrates at 25°C by chemical bath deposition (CBD) method. The structural properties of the films were studied as a function of the concentration of Thiourea (CS (NH{sub 2}){sub 2}) as Source of Sulfide and deposition time. The surface morphology of the films was characterized by X-ray diffraction and SEM. The obtained results showed that the as-deposited films Polycrystalline had cubic crystalline phase that belong to S.G: Fm3m. We found that they have preferred orientation [200]. Also the thickness of thin films decrease with deposition time after certain value and, itmore » observed free sulfide had orthorhombic phase. Optical properties showed that the thin films have high transmission at visible range and low transmission at UV, IR range. The films of PbS have direct band gap (I.68 - 2.32 ev) at 300 K the values of band energy decreases with increases thickness of the Lead (II) Sulfide films.« less

  11. Capillary assisted deposition of carbon nanotube film for strain sensing

    NASA Astrophysics Data System (ADS)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  12. Fabrication of zinc-dicarboxylate- and zinc-pyrazolate-carboxylate-framework thin films through vapour-solid deposition.

    PubMed

    Medishetty, Raghavender; Zhang, Zongji; Sadlo, Alexander; Cwik, Stefan; Peeters, Daniel; Henke, Sebastian; Mangayarkarasi, Nagarathinam; Devi, Anjana

    2018-05-17

    Fabrication of three-dimensional metal-organic framework (MOF) thin films has been investigated for the first time through the conversion of a ZnO layer via a pure vapour-solid deposition reaction at ambient pressure. The fabrication of MOF thin films with a dicarboxylate linker, (DMA)2[Zn3(bdc)4] (1) (bdc = 1,4-benzenedicarboxylate), and a carboxy-pyrazolate linker, [Zn4O(dmcapz)6] (2) (dmcapz = 3,5-dimethyl-4-carboxypyrazole), involves the deposition of the linker and/or the preparation of a composite film preliminarily and its subsequent conversion into a MOF film using closed cell thermal treatment. Furthermore, it was possible to isolate thin films with a MOF-5 isotype structure grown along the [110] direction, using a carboxy-pyrazolate linker. This was achieved just by the direct reaction of the ZnO film and the organic linker vapors, employing a simple route that demonstrates the feasibility of MOF thin film fabrication using inexpensive routes at ambient pressure.

  13. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    PubMed

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  14. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  15. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  16. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  17. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  18. Investigations into the formation of nanocrystalline quantum dot thin films by mist deposition process

    NASA Astrophysics Data System (ADS)

    Kshirsagar, Aditya

    Semiconductor nanocrystalline quantum dots (NQDs) have material properties remarkably different compared to bulk semiconductors with the same material composition. These NQDs have various novel applications in the electronic and photonic industry, such as light emitting diodes (LEDs) and flat-panel displays. In these applications, ultra-thin films of NQDs in the monolayer regime are needed to ensure optimal current transport properties and device efficiency. There is ongoing search to find a suitable method to deposit and pattern such ultra-thin films of quantum dots with few monolayer thicknesses. Several competing approaches are available, each with its pros and cons. This study explores mist deposition as the technique to fill this void. In this study, ultra-thin films of quantum dots are deposited on diverse substrates and are characterized to understand the mechanics of mist deposition. Various applications of blanket deposited and patterned quantum dot films are studied. The results discussed here include atomic force microscopy analysis of the films to study surface morphology, fluorescence microscopy to study light emission and optical microscope images to study patterning techniques. These results demonstrate the ability of mist deposition to form 1-4 monolayers thick, uniform, defect-free patterned films with root mean square (RMS) surface roughness less than 2 nm. LEDs fabricated using mist deposition show a peak luminescence greater than 500 cd/m2 for matched red, yellow and green devices using Alq3 as the electron transport layer, and over 9000 cd/m2 for red devices using ZnO as the electron transport layer, respectively. In addition to the experimental approach to study the process and explore potential applications, simulation and modeling are carried out to understand the various aspects of mist deposition. A mathematical model is presented which discusses the atomization process of the precursor solution, the physics involved during the deposition

  19. Zirconium doped TiO2 thin films deposited by chemical spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Juma, A.; Oja Acik, I.; Oluwabi, A. T.; Mere, A.; Mikli, V.; Danilson, M.; Krunks, M.

    2016-11-01

    Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO2 thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO2 thin films were uniform and homogeneous showing much smaller grains than the undoped TiO2 films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO2 film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO4 phase started forming after annealing at 800 °C. The optical band gap for TiO2 decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO2:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  20. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films

    NASA Astrophysics Data System (ADS)

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO2 films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO2 films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO2 films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO2 films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content.

  1. Enhanced photoelectrocatalytic performance of α-Fe2O3 thin films by surface plasmon resonance of Au nanoparticles coupled with surface passivation by atom layer deposition of Al2O3.

    PubMed

    Liu, Yuting; Xu, Zhen; Yin, Min; Fan, Haowen; Cheng, Weijie; Lu, Linfeng; Song, Ye; Ma, Jing; Zhu, Xufei

    2015-12-01

    The short lifetime of photogenerated charge carriers of hematite (α-Fe2O3) thin films strongly hindered the PEC performances. Herein, α-Fe2O3 thin films with surface nanowire were synthesized by electrodeposition and post annealing method for photoelectrocatalytic (PEC) water splitting. The thickness of the α-Fe2O3 films can be precisely controlled by adjusting the duration of the electrodeposition. The Au nanoparticles (NPs) and Al2O3 shell by atom layer deposition were further introduced to modify the photoelectrodes. Different constructions were made with different deposition orders of Au and Al2O3 on Fe2O3 films. The Fe2O3-Au-Al2O3 construction shows the best PEC performance with 1.78 times enhancement by localized surface plasmon resonance (LSPR) of NPs in conjunction with surface passivation of Al2O3 shells. Numerical simulation was carried out to investigate the promotion mechanisms. The high PEC performance for Fe2O3-Au-Al2O3 construction electrode could be attributed to the Al2O3 intensified LSPR, effective surface passivation by Al2O3 coating, and the efficient charge transfer due to the Fe2O3-Au Schottky junctions.

  2. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  3. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    NASA Astrophysics Data System (ADS)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  4. High throughput two-step ultrasonic spray deposited CH3NH3PbI3 thin film layer for solar cell application

    NASA Astrophysics Data System (ADS)

    Lan, Ding-Hung; Hong, Shao-Huan; Chou, Li-Hui; Wang, Xiao-Feng; Liu, Cheng-Liang

    2018-06-01

    Organometal halide perovskite materials have demonstrated tremendous advances in the photovoltaic field recently because of their advantageous features of simple fabrication and high power conversion efficiency. To meet the high demand for high throughput and cost-effective, we present a wet process method that enables the probing of the parameters for perovskite layer deposition through two-step sequential ultrasonic spray-coating. This paper describes a detailed investigation on the effects of modification of spray precursor solution (PbI2 and CH3NH3I precursor concentration and solvents used) and post-annealing condition (temperature and time), which can be performed to create optimal film quality as well as improve device efficiency. Through the systematic optimization, the inverted planar perovskite solar cells show the reproducible photovoltaic properties with best power conversion efficiency (PCE) of 10.40% and average PCE of 9.70 ± 0.40%. A continuous spray-coating technique for rapid fabrication of total 16 pieces of perovskite films was demonstrated for providing a viable alternative for the high throughput production of the perovskite solar cells.

  5. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  6. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  7. Properties of RF sputtered cadmium telluride (CdTe) thin films: Influence of deposition pressure

    NASA Astrophysics Data System (ADS)

    Kulkarni, R. R.; Pawbake, A. S.; Waykar, R. G.; Rondiya, S. R.; Jadhavar, A. A.; Pandharkar, S. M.; Karpe, S. D.; Diwate, K. D.; Jadkar, S. R.

    2016-04-01

    Influence of deposition pressure on structural, morphology, electrical and optical properties of CdTe thin films deposited at low substrate temperature (100°C) by RF magnetron sputtering was investigated. The formation of CdTe was confirmed by low angle XRD and Raman spectroscopy. The low angle XRD analysis revealed that the CdTe films have zinc blende (cubic) structure with crystallites having preferred orientation in (111) direction. Raman spectra show the longitudinal optical (LO) phonon mode peak ˜ 165.4 cm-1 suggesting high quality CdTe film were obtained over the entire range of deposition pressure studied. Scanning electron microscopy analysis showed that films are smooth, homogenous, and crack-free with no evidence of voids. The EDAX data revealed that CdTe films deposited at low deposition pressure are high-quality stoichiometric. However, for all deposition pressures, films are rich in Cd relative to Te. The UV-Visible spectroscopy analysis show the blue shift in absorption edge with increasing the deposition pressure while the band gap show decreasing trend. The highest electrical conductivity was obtained for the film deposited at deposition pressure 1 Pa which indicates that the optimized deposition pressure for our sputtering unit is 1 Pa. Based on the experimental results, these CdTe films can be useful for the application in the flexible solar cells and other opto-electronic devices.

  8. Atomic Layer Deposition of GeSe Films Using HGeCl3 and [(CH3)3Si]2Se with the Discrete Feeding Method for the Ovonic Threshold Switch.

    PubMed

    Kim, Woohyun; Yoo, Sijung; Yoo, Chanyoung; Park, Eui-Sang; Jeon, Jeongwoo; Kwon, Young Jae; Woo, Kyung Seok; Kim, Han Joon; Lee, Yoon Kyeung; Hwang, Cheol Seong

    2018-06-19

    The ovonic threshold switch (OTS) based on the voltage snapback of amorphous chalcogenides possesses several desirable characteristics for passive memory array. Among the materials that can be used as OTS, GeSe has a strong glass-forming ability (~350°C crystallization temperature), with a simple binary composition. Described herein is a new method of depositing GeSe films through atomic layer deposition (ALD), in which HGeCl3 and [(CH3)3Si]2Se are used as Ge and Se precursors, respectively. The stoichiometric GeSe thin films were formed through a ligand exchange reaction between the two precursor molecules, without the adoption of an additional reaction gas, at low substrate temperatures ranging from 70 to 150°C. The pseudo-saturation behavior of ALD, however, which requires a long time to achieve the saturation growth rate, was observed. This was due to the adverse influence of the physisorbed precursor and byproduct molecules on the efficient chemical adsorption reaction between the precursors and reaction sites. To overcome the slow saturation and excessive use of the Ge precursor, the discrete feeding method (DFM), where HGeCl3 is supplied multiple times consecutively with subdivided pulse times, was adopted. DFM led to the saturation of the GeSe growth rate at a much shorter total injection time of the Ge precursor, and improved the film density and oxidation resistance properties. The GeSe film grown via DFM exhibited a short OTS time of ~40 ns, a ~107 ON/OFF current ratio, and ~104 selectivity. The OTS behavior was consistent with the modified Poole-Frenkel (PF) mechanism in the OFF state. In contrast, the similar GeSe film grown through the conventional ALD showed a low density and high vulnerability to oxidation, which prevented the OTS performance. The ALD method of GeSe films introduced here will contribute to the fabrication of a three-dimensionally integrated memory as a selector device for preventing sneak current. © 2018 IOP Publishing Ltd.

  9. Low temperature sputter-deposited ZnO films with enhanced Hall mobility using excimer laser post-processing

    NASA Astrophysics Data System (ADS)

    Tsakonas, C.; Kuznetsov, V. L.; Cranton, W. M.; Kalfagiannis, N.; Abusabee, K. M.; Koutsogeorgis, D. C.; Abeywickrama, N.; Edwards, P. P.

    2017-12-01

    We report the low temperature (T  <  70 °C) fabrication of ZnO thin films (~140 nm) with Hall mobility of up to 17.3 cm2 V-1 s-1 making them suitable for thin film transistor (TFT) applications. The films were deposited by rf magnetron sputtering at T  <  70 °C and subsequently laser processed in ambient temperature in order to modify the Hall mobility and carrier concentration. Medium-to-low energy laser radiation densities and a high number of pulses were used to avoid damaging the films. Laser annealing of the films after aging in the lab under 25%-35% relative humidity and at an average illuminance of 120 lux resulted in an overall higher mobility and relatively low carrier concentration in comparison to the non-aged films that were laser processed immediately after deposition. A maximum overall measured Hall mobility of 17.3 cm2 V-1 s-1 at a carrier density of 2.3  ×  1018 cm-3 was measured from a 1 GΩ as deposited and aged film after the laser treatment. We suggest that the aging of non-processed films reduces structural defects mainly at grain boundaries by air species chemisorption, with concomitant increase in thermal conductivity so that laser processing can have an enhancing effect. Such a processing combination can act synergistically and produce suitable active layers for TFT applications with low temperature processing requirements.

  10. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  11. Structural, magnetic and electronic properties of pulsed-laser-deposition grown SrFeO3-δ thin films and SrFeO3-δ /La2/3Ca1/3MnO3 multilayers

    NASA Astrophysics Data System (ADS)

    Perret, E.; Sen, K.; Khmaladze, J.; Mallett, B. P. P.; Yazdi-Rizi, M.; Marsik, P.; Das, S.; Marozau, I.; Uribe-Laverde, M. A.; de Andrés Prada, R.; Strempfer, J.; Döbeli, M.; Biškup, N.; Varela, M.; Mathis, Y.-L.; Bernhard, C.

    2017-12-01

    We studied the structural, magnetic and electronic properties of SrFeO3-δ (SFO) thin films and SrFeO3-δ /La2/3 Ca1/3 MnO3 (LCMO) superlattices that have been grown with pulsed laser deposition (PLD) on La0.3 Sr0.7 Al0.65 Ta0.35 O3 (LSAT) substrates. X-ray reflectometry and scanning transmission electron microscopy (STEM) confirm the high structural quality of the films and flat and atomically sharp interfaces of the superlattices. The STEM data also reveal a difference in the interfacial layer stacking with a SrO layer at the LCMO/SFO and a LaO layer at the SFO/LCMO interfaces along the PLD growth direction. The x-ray diffraction (XRD) data suggest that the as grown SFO films and SFO/LCMO superlattices have an oxygen-deficient SrFeO3-δ structure with I4/ mmm space group symmetry (δ≤slant 0.2 ). Subsequent ozone annealed SFO films are consistent with an almost oxygen stoichiometric structure (δ ≈ 0 ). The electronic and magnetic properties of these SFO films are similar to the ones of corresponding single crystals. In particular, the as grown SrFeO3films are insulating whereas the ozone annealed films are metallic. The magneto-resistance effects of the as grown SFO films have a similar magnitude as in the single crystals, but extend over a much wider temperature range. Last but not least, for the SFO/LCMO superlattices we observe a rather large exchange bias effect that varies as a function of the cooling field.

  12. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  13. Deposition of zinc sulfide thin films by chemical bath process

    NASA Astrophysics Data System (ADS)

    Oladeji, Isaiah O.; Chow, Lee

    1996-11-01

    Deposition of high quality zinc sulfide (ZnS) thin film over a large area is required if it is to be effectively used in electroluminescent devices, solar cells, and other optoelectronic devices. Of all deposition techniques, chemical bath deposition (CBD) is the least costly technique that meets the above requirements. Recently it is found that the growth of ZnS film, of thickness less than 100 nm in a single dip, by CBD is facilitated by the use of ammonia and hydrazine as complexing agents. Here we report that the thickness of the deposited ZnS film can be increased if ammonium salt is used as a buffer. We also present an analytical study to explain our results and to further understand the ZnS growth process in CBD.

  14. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  15. Effect of negative bias on the composition and structure of the tungsten oxide thin films deposited by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Meihan; Lei, Hao; Wen, Jiaxing; Long, Haibo; Sawada, Yutaka; Hoshi, Yoichi; Uchida, Takayuki; Hou, Zhaoxia

    2015-12-01

    Tungsten oxide thin films were deposited at room temperature under different negative bias voltages (Vb, 0 to -500 V) by DC reactive magnetron sputtering, and then the as-deposited films were annealed at 500 °C in air atmosphere. The crystal structure, surface morphology, chemical composition and transmittance of the tungsten oxide thin films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and UV-vis spectrophotometer. The XRD analysis reveals that the tungsten oxide films deposited at different negative bias voltages present a partly crystallized amorphous structure. All the films transfer from amorphous to crystalline (monoclinic + hexagonal) after annealing 3 h at 500 °C. Furthermore, the crystallized tungsten oxide films show different preferred orientation. The morphology of the tungsten oxide films deposited at different negative bias voltages is consisted of fine nanoscale grains. The grains grow up and conjunct with each other after annealing. The tungsten oxide films deposited at higher negative bias voltages after annealing show non-uniform special morphology. Substoichiometric tungsten oxide films were formed as evidenced by XPS spectra of W4f and O1s. As a result, semi-transparent films were obtained in the visible range for all films deposited at different negative bias voltages.

  16. Characterization of AlF3 thin films at 193 nm by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Lee, Cheng-Chung; Liu, Ming-Chung; Kaneko, Masaaki; Nakahira, Kazuhide; Takano, Yuuichi

    2005-12-01

    Aluminum fluoride (AlF3) was deposited by a resistive heating boat. To obtain a low optical loss and high laser-induced damage threshold (LIDT) at 193 nm, the films were investigated under different substrate temperatures, deposition rates, and annealing after coating. The optical property (the transmittance, refractive index, extinction coefficient, and optical loss) at 193 nm, microstructure (the cross-sectional morphology, surface roughness, and crystalline structure), mechanical property (stress), and LIDT of AlF3 thin films have been studied. AlF3 thin films deposited at a high substrate temperature and low deposition rate showed a lower optical loss. The highest LIDT occurred at the substrate temperature of 150 °C. The LIDT of the films prepared at a deposition rate of 2 Å/s was higher than that at other deposition rates. The annealing process did not influence the optical properties too much, but it did increase the LIDT and stress.

  17. Characterization of AlF3 thin films at 193 nm by thermal evaporation.

    PubMed

    Lee, Cheng-Chung; Liu, Ming-Chung; Kaneko, Masaaki; Nakahira, Kazuhide; Takano, Yuuichi

    2005-12-01

    Aluminum fluoride (AlF3) was deposited by a resistive heating boat. To obtain a low optical loss and high laser-induced damage threshold (LIDT) at 193 nm, the films were investigated under different substrate temperatures, deposition rates, and annealing after coating. The optical property (the transmittance, refractive index, extinction coefficient, and optical loss) at 193 nm, microstructure (the cross-sectional morphology, surface roughness, and crystalline structure), mechanical property (stress), and LIDT of AlF3 thin films have been studied. AlF3 thin films deposited at a high substrate temperature and low deposition rate showed a lower optical loss. The highest LIDT occurred at the substrate temperature of 150 degrees C. The LIDT of the films prepared at a deposition rate of 2 A/s was higher than that at other deposition rates. The annealing process did not influence the optical properties too much, but it did increase the LIDT and stress.

  18. Indium Oxide Thin Films by Atomic Layer Deposition Using Trimethylindium and Ozone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mane, Anil U.; Allen, Amy J.; Kanjolia, Ravindra K.

    We investigated the atomic layer deposition (ALD) of indium oxide (In2O3) thin films using alternating exposures of trimethylindium (TMIn) and a variety of oxygen sources: ozone (O-3), O-2, deionized H2O, and hydrogen peroxide (H2O2). We used in situ quartz crystal microbalance measurements to evaluate the effectiveness of the different oxygen sources and found that only O-3 yielded viable and sustained 111203 growth with TMIn. These measurements also provided details about the In2O3 growth mechanism and enabled us to verify that both the TMIn and O-3 surface reactions were self-limiting. In2O3 thin films were prepared and characterized using X-ray diffraction, ultravioletmore » visible spectrophotometry, spectroscopic ellipsometry, X-ray photoelectron spectroscopy, and scanning electron microscopy. The electrical transport properties of these layers were studied by Hall probe measurements. We found that, at deposition temperatures within the range of 100-200 degrees C, the In2O3 growth per cycle was nearly constant at 0.46 angstrom/cycle and the films were dense and pure. The film thickness was highly uniform (<0.3% variation) along the 45 cm length of our tubular ALD reactor. At higher growth temperatures the In2O3 growth per cycle increased due to thermal decomposition of the TMIn. The ALD In2O3 films showed resistivities as low as 3.2 x 10(-3) Omega cm, and carrier concentrations as large as 7.0 x 10(19) cm(-3). This TMIn/O-3 process for In2O3 ALD should be suitable for eventual scale-up in photovoltaics.« less

  19. Chemical nature of colossal dielectric constant of CaCu3Ti4O12 thin film by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Deng, Guochu; Xanthopoulos, Nicolas; Muralt, Paul

    2008-04-01

    Epitaxial CaCu3Ti4O12 thin films grown by pulsed laser deposition were studied in the as-deposited and oxygen annealed state. The first one exhibited the usual transition from dielectric to colossal dielectric behavior upon increasing the temperature to above 100K. This transition disappeared after annealing at 900°C in air. The two states significantly differ in their x-ray photoelectron spectra. The state of colossal dielectric constant corresponds to a bulk material with considerable amounts of Cu + and Ti3+, combined with Cu species enrichment at the surface. The annealed state exhibited a nearly stoichiometric composition with no Cu+ and Ti3+. The previously observed p-type conduction in the as-deposited state is thus related to oxygen vacancies compensated by the point defects of Cu+ and Ti3+.

  20. Organic Photovoltaic Devices Based on Oriented n-Type Molecular Films Deposited on Oriented Polythiophene Films.

    PubMed

    Mizokuro, Toshiko; Tanigaki, Nobutaka; Miyadera, Tetsuhiko; Shibata, Yousei; Koganezawa, Tomoyuki

    2018-04-01

    The molecular orientation of π-conjugated molecules has been reported to significantly affect the performance of organic photovoltaic devices (OPVs) based on molecular films. Hence, the control of molecular orientation is a key issue toward the improvement of OPV performance. In this research, oriented thin films of an n-type molecule, 3,4,9,10-Perylenetetracarboxylic Bisbenzimida-zole (PTCBI), were formed by deposition on in-plane oriented polythiophene (PT) films. Orientation of the PTCBI films was evaluated by polarized UV-vis spectroscopy and 2D-Grazing incidence X-ray diffraction. Results indicated that PTCBI molecules on PT film exhibit nearly edge-on and in-plane orientation (with molecular long axis along the substrate), whereas PTCBI molecules without PT film exhibit neither. OPVs composed of PTCBI molecular film with and without PT were fabricated and evaluated for correlation of orientation with performance. The OPVs composed of PTCBI film with PT showed higher power conversion efficiency (PCE) than that of film without PT. The experiment indicated that in-plane orientation of PTCBI molecules absorbs incident light more efficiently, leading to increase in PCE.

  1. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  2. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  3. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Novotný, M.; Čížek, J.; Kužel, R.; Bulíř, J.; Lančok, J.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.; Anwand, W.; Brauer, G.

    2012-06-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ˜ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ˜ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate.

  4. Influence of processing conditions on the optical properties of chemically deposited zinc sulphide (ZnS) thin film

    NASA Astrophysics Data System (ADS)

    Igweoko, A. E.; Augustine, C.; Idenyi, N. E.; Okorie, B. A.; Anyaegbunam, F. N. C.

    2018-03-01

    In this paper, we present the influence of post deposition annealing and varying concentration on the optical properties of ZnS thin films fabricated by chemical bath deposition (CBD) at 65 °C from chemical baths comprising NH3/SC(NH2)2/ZnSO4 solutions at pH of about 10. The film samples were annealed at temperatures ranging from 373 K–473 K and the concentration of the film samples vary from 0.1 M–0.7 M. Post deposition annealing and concentration played an important role on the optical parameters investigated which includes absorbance, transmittance, reflectance, absorption coefficient, band gap, refractive index and extinction coefficient. The optical parameters were found to vary with post deposition annealing in one direction and concentration of Zn2+ in the reverse direction. For instance, post deposition annealing increases the band gap from 3.65 eV for as-deposited to 3.70 eV, 3.75 eV and 3.85 eV for annealed at 373 K, 423 K and 473 K respectively whereas concentration of Zn2+ decreases the band gap from 3.95 eV at 0.1 M to 3.90 eV, 3.85 eV and 3.80 eV at 0.3 M, 0.5 M and 0.7 M respectively. The fundamental absorption edge of ZnS thin films shifted toward the highest photon energies (blue shift) after annealing and shifted toward the lowest photon energies (red shift) with increasing Zn ions concentration. A linear relation between band gap energy and Urbach energy was found. After annealing, the Urbach energy increases form 3.10 eV to 3.50 eV and decreases from 3.40 eV to 3.10 eV at varying Zn2+ concentration. The property of wide band gap makes ZnS suitable for buffer layer of film solar cells, permitting more light especially the short wavelength light into absorber layer.

  5. Structural and Magnetic Properties of Sputter-Deposited Polycrystalline Ni-Mn-Ga Ferromagnetic Shape-Memory Thin Films

    NASA Astrophysics Data System (ADS)

    Vinodh Kumar, S.; Seenithurai, S.; Manivel Raja, M.; Mahendran, M.

    2015-10-01

    Polycrystalline Ni-Mn-Ga ferromagnetic shape-memory thin films have been deposited on Si (100) substrates using a direct-current magnetron sputtering technique. The microstructure and the temperature dependence of magnetic properties of the films have been investigated by x-ray diffraction, scanning electron microscopy, and thermomagnetic measurements. As-deposited Ni50.2Mn30.6Ga19.2 film showed quasi-amorphous structure with paramagnetic nature at room temperature. When annealed at 873 K, the quasi-amorphous film attained crystallinity and possessed L21 cubic ordering with high magnetic transition temperature. Saturation magnetization and coercivity values for the annealed film were found to be 220 emu/cm3 and 70 Oe, respectively, indicating soft ferromagnetic character with low magnetocrystalline anisotropy. The magnetic transitions of the film deposited at 100 W were above room temperature, making this a potential candidate for use in microelectromechanical system devices.

  6. Mechanical and shape memory properties of ferromagnetic Ni2MnGa sputter-deposited films

    NASA Astrophysics Data System (ADS)

    Ohtsuka, M.; Matsumoto, M.; Itagaki, K.

    2003-10-01

    The ternary intermetallic compound Ni2MnGa is an intelligent material, which has a shape memory effect and a ferromagnetic property. Use of shape memory alloy films for an actuator of micro machines is very attractive because of its large recovery force. The data of mechanical and shape memory properties of the films are required to use for the actuator. The purpose of this study is to investigate the effects of fabrication conditions and to clarify the relationships between these properties and fabrication conditions of the Ni{2}MnGa films. The Ni{2}MnGa films were deposited with a radio-frequency magnetron sputtering apparatus using a Ni{50}Mn{25}Ga{25} or Ni{52}Mn{24}Ga{24} target. After deposition, the films were annealed at 873sim 1173 K. The asdeposited films were crystalline and had columnar grains. After the heat treatment, the grains widened and the grain boundary became indistinct with increasing heat treatment temperature. MnO and Ni{3} (Mn, Ga) precipitations were observed in the heat-treated films. The mechanical properties of the films were measured by the nanoindentation method. Hardness and elastic modulus of as-deposited films were larger than those of arcmelted bulk alloys. The hardness of the films was affected by the composition, crystal structure, microstructure and precipitation, etc. The elastic modulus of the films was also changed with the heat treatment conditions. The heat-treated films showed a thermal two-way shape memory effect.

  7. Microstructural and mechanical properties of Al2O3/ZrO2 nanomultilayer thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, G.; Sastikumar, D.; Kuppusami, P.; Babu, R. Venkatesh; Song, Jung Il

    2018-02-01

    Single layer aluminium oxide (Al2O3), zirconium oxide (ZrO2) and Al2O3/ZrO2 nano multilayer films were deposited on Si (100) substrates at room temperature by pulsed laser deposition. The development of Al2O3/ZrO2 nanolayered structure is an important method used to stabilize the high temperature phase (tetragonal and cubic) of ZrO2 at room temperature. In the Al2O3/ZrO2 multilayer structure, the Al2O3 layer was kept constant at 5 nm, while the ZrO2 layer thickness varied from 5 to 20 nm (5/5, 5/10, 5/15 and 5/20 nm) with a total of 40 bilayers. The X-ray diffraction studies of single layer Al2O3 indicated the γ-Al2O3 of cubic structure, while the single layer ZrO2 indicated both monoclinic and tetragonal phases. The 5/5 and 5/10 nm multilayer films showed the nanocrystalline nature of ZrO2 with tetragonal phase. The high resolution transmission electron microscopy studies indicated the formation of well-defined Al2O3 and ZrO2 layers and that they are of uniform thickness. The atomic force microscopy studies revealed the uniform and dense distribution of nanocrystallites. The nanoindentation studies indicated the hardness of 20.8 ± 1.10 and 10 ± 0.60 GPa, for single layer Al2O3 and ZrO2, respectively, and the hardness of multilayer films varied with bilayer thickness.

  8. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  9. Apparatus and method for selective area deposition of thin films on electrically biased substrates

    DOEpatents

    Zuhr, R.A.; Haynes, T.E.; Golanski, A.

    1994-10-11

    An ion beam deposition process for selective area deposition on a polarized substrate uses a potential applied to the substrate which allows the ionized particles to reach into selected areas for film deposition. Areas of the substrate to be left uncoated are held at a potential that repels the ionized particles. 3 figs.

  10. Apparatus and method for selective area deposition of thin films on electrically biased substrates

    DOEpatents

    Zuhr, R.A.; Haynes, T.E.; Golanski, A.

    1999-06-08

    An ion beam deposition process for selective area deposition on a polarized substrate uses a potential applied to the substrate which allows the ionized particles to reach into selected areas for film deposition. Areas of the substrate to be left uncoated are held at a potential that repels the ionized particles. 3 figs.

  11. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  12. Lattice structure and magnetization of LaCoO3 thin films

    NASA Astrophysics Data System (ADS)

    Rata, A. D.; Herklotz, A.; Schultz, L.; Dörr, K.

    2010-07-01

    We investigate the structure and magnetic properties of thin films of the LaCoO3 compound. Thin films are deposited by pulsed laser deposition on various substrates in order to tune the strain from compressive to tensile. Single-phase (001) oriented LaCoO3 layers were grown on all substrates despite large misfits. The tetragonal distortion of the films covers a wide range from -2% to 2.8%. Our LaCoO3 films are ferromagnetic with Curie temperature around 85 K, contrary to the bulk. The total magnetic moment is below 1 μ B /Co3+, a value relatively small for an exited spin-state of the Co3+ ions, but comparable to values reported in literature. A correlation of strain states and magnetic moment of Co3+ ions in LaCoO3 thin films is observed.

  13. Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement

    PubMed Central

    Schneider, Nathanaëlle; Lincot, Daniel

    2013-01-01

    Summary This paper describes the atomic layer deposition of In2(S,O)3 films by using In(acac)3 (acac = acetylacetonate), H2S and either H2O or O2 plasma as oxygen sources. First, the growth of pure In2S3 films was studied in order to better understand the influence of the oxygen pulses. X-Ray diffraction measurements, optical analysis and energy dispersive X-ray spectroscopy were performed to characterize the samples. When H2O was used as the oxygen source, the films have structural and optical properties, and the atomic composition of pure In2S3. No pure In2O3 films could be grown by using H2O or O2 plasma. However, In2(S,O)3 films could be successfully grown by using O2 plasma as oxygen source at a deposition temperature of T = 160 °C, because of an exchange reaction between S and O atoms. By adjusting the number of In2O3 growth cycles in relation to the number of In2S3 growth cycles, the optical band gap of the resulting thin films could be tuned. PMID:24367743

  14. Synthesis of indium oxi-sulfide films by atomic layer deposition: The essential role of plasma enhancement.

    PubMed

    Bugot, Cathy; Schneider, Nathanaëlle; Lincot, Daniel; Donsanti, Frédérique

    2013-01-01

    This paper describes the atomic layer deposition of In2(S,O)3 films by using In(acac)3 (acac = acetylacetonate), H2S and either H2O or O2 plasma as oxygen sources. First, the growth of pure In2S3 films was studied in order to better understand the influence of the oxygen pulses. X-Ray diffraction measurements, optical analysis and energy dispersive X-ray spectroscopy were performed to characterize the samples. When H2O was used as the oxygen source, the films have structural and optical properties, and the atomic composition of pure In2S3. No pure In2O3 films could be grown by using H2O or O2 plasma. However, In2(S,O)3 films could be successfully grown by using O2 plasma as oxygen source at a deposition temperature of T = 160 °C, because of an exchange reaction between S and O atoms. By adjusting the number of In2O3 growth cycles in relation to the number of In2S3 growth cycles, the optical band gap of the resulting thin films could be tuned.

  15. Structural and electrical properties of different vanadium oxide phases in thin film form synthesized using pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Majid, S. S., E-mail: suhailphy276@gmail.com; Rahman, F.; Shukla, D. K.

    2015-06-24

    We present here the structural and electrical properties of the thin films of V{sub 2}O{sub 3} (Vanadium sesquioxide) and V{sub 5}O{sub 9}. Both these oxide phases, V{sub 2}O{sub 3} and V{sub 5}O{sub 9}, have beenachieved on (001) orientedSi substrate using the V{sub 2}O{sub 5} target by optimizing the deposition parameters using pulsed laser deposition technique (PLD).Deposited films were characterized by X-ray diffraction(XRD)and four probe temperature dependent resistivity measurements. XRD studies reveal the V{sub 2}O{sub 3} and V{sub 5}O{sub 9} phases and the amount of strain present in both these films. The temperature dependency of electrical resistivity confirmed the characteristic metal-insulatormore » transitions (MIT) for both the films, V{sub 2}O{sub 3} and V{sub 5}O{sub 9}.« less

  16. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  17. MOCVD of Bi2Te3 and Sb2Te3 on GaAs substrates for thin-film thermoelectric applications.

    PubMed

    Kim, Jeong-Hun; Jung, Yong-Chul; Suh, Sang-Hee; Kim, Jin-Sang

    2006-11-01

    Metal organic chemical vapour deposition (MOCVD) has been investigated for growth of Bi2Te3 and Sb2Te3 films on (001) GaAs substrates using trimethylbismuth, triethylantimony and diisopropyltelluride as metal organic sources. The surface morphologies of Bi2Te3 and Sb2Te3 films were strongly dependent on the deposition temperatures as it varies from a step-flow growth mode to island coalescence structures depending on deposition temperature. In-plane carrier concentration and electrical Hall mobility were highly dependent on precursor ratio of VI/V and deposition temperature. By optimizing growth parameters, we could clearly observe an electrically intrinsic region of the carrier concentration over the 240 K in Bi2Te3 films. The high Seebeck coefficient (of -160 microVK(-1) for Bi2Te3 and +110 microVK(-1) for Sb2Te3 films, respectively) and good surface morphologies of these materials are promising for the fabrication of a few nm thick periodic Bi2Te3/Sb2Te3 super lattice structures for thin film thermoelectric device applications.

  18. Properties of NiO thin films deposited by intermittent spray pyrolysis process

    NASA Astrophysics Data System (ADS)

    Reguig, B. A.; Khelil, A.; Cattin, L.; Morsli, M.; Bernède, J. C.

    2007-02-01

    NiO thin films have been grown on glass substrates by intermittent spray pyrolysis deposition of NiCl 2·6H 2O diluted in distilled water, using a simple "perfume atomizer". The effect of the solution molarity on their properties was studied and compared to those of NiO thin films deposited with a classical spray system. It is shown that NiO thin films crystallized in the NiO structure are achieved after deposition. Whatever the precursor molarity, the grain size is around 25-30 nm. The crystallites are preferentially oriented along the (1 1 1) direction. All the films are p-type. However, the thickness and the conductivity of the NiO films depend on the precursor contraction. By comparison with the properties of films deposited by classical spray technique, it is shown that the critical precursor concentration, which induces strong thin films properties perturbations, is higher when a perfume atomizer is used. This broader stability domain can be attributed to better chlorides decomposition during the rest time used in the perfume atomizer technique.

  19. Photoluminescence and anti-deliquesce of cesium iodide and its sodium-doped films deposited by thermal evaporation at high deposition rates

    NASA Astrophysics Data System (ADS)

    Hsu, Jin-Cherng; Chiang, Yueh-Sheng; Ma, Yu-Sheng

    2013-03-01

    Cesium iodide (CsI) and sodium iodide (NaI) are good scintillators due to their high luminescence efficiency. These alkali halides can be excited by ultra-violet or by ionizing radiation. In this study, CsI and its Na-doped films about 8 μm thick were deposited by thermal evaporation boat without heating substrates at high deposition rates of 30, 50, 70, 90, and 110 nm/sec, respectively. The as-deposited films were sequentially deposited a silicon dioxide film to protect from deliquesce. And, the films were also post-annealed in vacuum at 150, 200, 250, and 300 °C, respectively. We calculated the packing densities of the samples according to the measurements of Fourier transform infrared spectroscopy (FTIR) and observed the luminescence properties by photoluminescence (PL) system. The surfaces and cross sections of the films were investigated by scanning electron microscope (SEM). From the above measurements we can find the optimal deposition rate of 90 nm/sec and post-annealing temperature of 250 °C in vacuum for the asdeposited cesium iodide and its sodium-doped films.

  20. Properties of spray-deposited liquid-phase exfoliated graphene films

    NASA Astrophysics Data System (ADS)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  1. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    NASA Astrophysics Data System (ADS)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  2. Friction and wear of plasma-deposited diamond films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Garscadden, Alan; Barnes, Paul N.; Jackson, Howard E.

    1993-01-01

    Reciprocating sliding friction experiments in humid air and in dry nitrogen and unidirectional sliding friction experiments in ultrahigh vacuum were conducted with a natural diamond pin in contact with microwave-plasma-deposited diamond films. Diamond films with a surface roughness (R rms) ranging from 15 to 160 nm were produced by microwave-plasma-assisted chemical vapor deposition. In humid air and in dry nitrogen, abrasion occurred when the diamond pin made grooves in the surfaces of diamond films, and thus the initial coefficients of friction increased with increasing initial surface roughness. The equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. In vacuum the friction for diamond films contacting a diamond pin arose primarily from adhesion between the sliding surfaces. In these cases, the initial and equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. The equilibrium coefficients of friction were 0.02 to 0.04 in humid air and in dry nitrogen, but 1.5 to 1.8 in vacuum. The wear factor of the diamond films depended on the initial surface roughness, regardless of environment; it increased with increasing initial surface roughness. The wear factors were considerably higher in vacuum than in humid air and in dry nitrogen.

  3. RAPID COMMUNICATION: Large-area uniform ultrahigh-Jc YBa2Cu3O7-x film fabricated by the metalorganic deposition method using trifluoroacetates

    NASA Astrophysics Data System (ADS)

    Araki, Takeshi; Yamagiwa, Katsuya; Hirabayashi, Izumi; Suzuki, Katsumi; Tanaka, Shoji

    2001-07-01

    Ultrahigh-Jc YBa2Cu3O7-x (YBCO) films have been successfully fabricated by the metalorganic deposition method using a trifluoroacetate coating solution which is prepared by a newly developed purification technique, the solvent-into-gel (SIG) method. The prepared pure coating solution has less than 0.25% impurities and has a wide flexibility in process conditions to obtain high-Jc YBCO film. Using this feature, we have successfully formed 50 mm diameter YBCO films, which have a critical current density over 10 MA cm-2 (77 K, 0 T) on LaAlO3 single crystalline substrates.

  4. Friction and Wear Properties of As-deposited and Carbon Ion-implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1994-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 ke V ion energy, resulting in a dose of 1.2310(exp 17) carbon ions/cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40 percent relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and were properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to 10(exp -8)mm(exp 3)/N-m) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4)mm(exp 3/N-m) in ultrahigh vacuum. The carbon ion implanation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, nondiamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine- and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7)mm(exp 3/N-m). Even in ultrahigh vacuum, the presence of the nondiamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6)mm(exp 3)/N-m. Thus, the carbon ion-implanted, fine

  5. Deposition and characterization of vanadium oxide based thin films for MOS device applications

    NASA Astrophysics Data System (ADS)

    Rakshit, Abhishek; Biswas, Debaleen; Chakraborty, Supratic

    2018-04-01

    Vanadium Oxide films are deposited on Si (100) substrate by reactive RF-sputtering of a pure Vanadium metallic target in an Argon-Oxygen plasma environment. The ratio of partial pressures of Argon to Oxygen in the sputtering-chamber is varied by controlling their respective flow rates and the resultant oxide films are obtained. MOS Capacitor based devices are then fabricated using the deposited oxide films. High frequency Capacitance-Voltage (C-V) and gate current-gate voltage (I-V) measurements reveal a significant dependence of electrical characteristics of the deposited films on their sputtering deposition parameters mainly, the relative content of Argon/Oxygen in the plasma chamber. A noteworthy change in the electrical properties is observed for the films deposited under higher relative oxygen content in the plasma atmosphere. Our results show that reactive sputtering serves as an indispensable deposition-setup for fabricating vanadium oxide based MOS devices tailor-made for Non-Volatile Memory (NVM) applications.

  6. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films.

    PubMed

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO(2) films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO(2) films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO(2) films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO(2) films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content. Copyright © 2012 Elsevier B.V. All rights reserved.

  7. Electrochemical properties of magnetron sputtered WO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Madhavi, V.; Kondaiah, P.; Hussain, O. M.

    2013-02-05

    Thin films of tungsten oxide (WO{sub 3}) were deposited on ITO substrates by using RF magnetron sputtering at oxygen and argon atmospheres of 6 Multiplication-Sign 10{sup -2}Pa and 4 Pa respectively. The chemical composition and surface morphology of the WO{sub 3} thin films have been studied by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM) respectively. The results indicate that the deposited WO{sub 3} thin films are nearly stoichiometric. The electrochemical performances of the WO{sub 3} thin films have been evaluated by galvonostatic charging/discharging method. The discharge capacity was 15{mu}Ah/cm{sup 2}{mu}m at the initial cycle and faded rapidly inmore » the first few cycles and stabilized at a lesser stage.« less

  8. Characterization of Cu2ZnSnS4 thin films prepared by photo-chemical deposition

    NASA Astrophysics Data System (ADS)

    Moriya, Katsuhiko; Watabe, Jyunichi; Tanaka, Kunihiko; Uchiki, Hisao

    2006-09-01

    Cu2ZnSnS4 (CZTS) thin films were prepared by post-annealing films of metal sulfides of Cu2S, ZnS and SnS2 precursors deposited on soda-lime glass substrates by photo-chemical deposition (PCD) from aqueous solution containing CuSO4, ZnSO4, SnSO4 and Na2S2O3. In this study, sulfurization was employed to prepare high quality CZTS thin films. Deposited films of metal sulfides were annealed in a furnace in an atmosphere of N2 or N2+H2S(5%) at the temperature of 300°, 400° or 500 °C. The sulfured films showed X-ray diffraction peaks from (112), (220), and (312) planes of CZTS and the peaks became sharp by an increase in the sulfurization temperature. CZTS thin film annealed in atmosphere of N2 was S-poor. After annealing atmosphere was changed from N2 into N2+H2S(5%), the decrease of a composi- tional ratio of sulfur could be suppressed.

  9. Low-Temperature Preparation of (111)-oriented Pb(Zr,Ti)O3 Films Using Lattice-Matched (111)SrRuO3/Pt Bottom Electrode by Metal-Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kuwabara, Hiroki; Sumi, Akihiro; Okamoto, Shoji; Hoko, Hiromasa; Cross, Jeffrey S.; Funakubo, Hiroshi

    2009-04-01

    Pb(Zr0.35Ti0.65)O3 (PZT) films 170 nm thick were prepared at 415 °C by pulsed metal-organic chemical vapor deposition. The (111)-oriented PZT films with local epitaxial growth were obtained on (111)SrRuO3/(111)Pt/TiO2/SiO2/Si substrates and their ferroelectricities were ascertained. Ferroelectricity was improved by postannealing under O2 gas flow up to 550 °C. Larger remanent polarization and better fatigue endurance were obtained using a SrRuO3 top electrode compared to a Pt top electrode for PZT films after annealing at 500 °C.

  10. Morphogenesis of nanostructures in glancing angle deposition of metal thin film coatings

    NASA Astrophysics Data System (ADS)

    Brown, Timothy James

    Atomic vapors condensed onto solid surfaces form a remarkable category of condensed matter materials, the so-called thin films, with a myriad of compositions, morphological structures, and properties. The dynamic process of atomic condensation exhibits self-assembled pattern formation, producing morphologies with atomic-scale three- dimensional structures of seemingly limitless variety. This study attempts to shed new light on the dynamical growth processes of thin film deposition by analyzing in detail a previously unreported specific distinct emergent structure, a crystalline triangular-shaped spike that grows within copper and silver thin films. I explored the deposition parameters that lead to the growth of these unique structures, referred to as "nanospikes", fabricating approximately 55 thin films and used scanning electron microscopy and x-ray diffraction analysis. The variation of parameters include: vapor incidence angle, film thickness, substrate temperature, deposition rate, deposition material, substrate, and source-to-substrate distance. Microscopy analysis reveals that the silver and copper films deposited at glancing vapor incidence angles, 80 degrees and greater, have a high degree of branching interconnectivity between adjacent inclined nanorods. Diffraction analysis reveals that the vapor incidence angle influences the sub-populations of crystallites in the films, producing two different [110] crystal texture orientations. I hypothesize that the growth of nanospikes from nanorods is initiated by the stochastic arrival of vapor atoms and photons emitted from the deposition source at small diameter nanorods, and then driven by localized heating from vapor condensation and photon absorption. Restricted heat flow due to nanoscale thermal conduction maintains an elevated local temperature at the nanorod, enhancing adatom diffusion and enabling fast epitaxial crystal growth, leading to the formation and growth of nanospikes. Electron microscopy and x

  11. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    NASA Astrophysics Data System (ADS)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-02-01

    Ba0.7Sr0.3TiO3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 °C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (˜0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness.

  12. Nanostructuring and texturing of pulsed laser deposited hydroxyapatite thin films

    NASA Astrophysics Data System (ADS)

    Kim, Hyunbin; Catledge, Shane; Vohra, Yogesh; Camata, Renato; Lacefield, William

    2003-03-01

    Hydroxyapatite (HA) [Ca_10(PO_4)_6(OH)_2] is commonly deposited onto orthopedic and dental metallic implants to speed up bone formation around devices, allowing earlier stabilization in a patient. Pulsed laser deposition (PLD) is a suitable means of placing thin HA films on these implants because of its control over stoichiometry, crystallinity, and nanostructure. These characteristics determine the mechanical properties of the films that must be optimized to improve the performance of load-bearing implants and other devices that undergo bone insertion. We have used PLD to produce nanostructured and preferentially oriented HA films and evaluated their mechanical properties. Pure, highly crystalline HA films on Ti-6Al-4V substrates were obtained using a KrF excimer laser (248nm) with energy density of 4-8 J/cm^2 and deposition temperature of 500-700^rcC. Scanning electron and atomic force microscopies reveal that our careful manipulation of energy density and substrate temperature has led to films made up of HA grains in the nanometer scale. Broadening of x-ray diffraction peaks as a function of deposition temperature suggests it may be possible to control the film nanostructure to a great extent. X-ray diffraction also shows that as the laser energy density is increased in the 4-8 J/cm^2 range, the hexagonal HA films become preferentially oriented along the c-axis perpendicular to the substrate. Texture, nanostructure, and phase make-up all significantly influence the mechanical properties. We will discuss how each of these factors affects hardness and Young's modulus of the HA films as measured by nanoindentation.

  13. MoOx thin films deposited by magnetron sputtering as an anode for aqueous micro-supercapacitors

    PubMed Central

    Liu, Can; Li, Zhengcao; Zhang, Zhengjun

    2013-01-01

    In order to examine the potential application of non-stoichiometric molybdenum oxide as anode materials for aqueous micro-supercapacitors, conductive MoOx films (2 ⩽ x ⩽ 2.3) deposited via RF magnetron sputtering at different temperatures were systematically studied for composition, structure and electrochemical properties in an aqueous solution of Li2SO4. The MoOx (x ≈ 2.3) film deposited at 150 °C exhibited a higher areal capacitance (31 mF cm−2 measured at 5 mV s−1), best rate capability and excellent stability at potentials below −0.1 V versus saturated calomel electrode, compared to the films deposited at room temperature and at higher temperatures. These superior properties were attributed to the multi-valence composition and mixed-phase microstructure, i.e., the coexistence of MoO2 nanocrystals and amorphous MoOx (2.3 < x ⩽ 3). A mechanism combining Mo(IV) oxidation/reduction on the hydrated MoO2 grain surfaces and cation intercalation/extrusion is proposed to illustrate the pseudo-capacitive process. PMID:27877625

  14. MoOx thin films deposited by magnetron sputtering as an anode for aqueous micro-supercapacitors

    NASA Astrophysics Data System (ADS)

    Liu, Can; Li, Zhengcao; Zhang, Zhengjun

    2013-12-01

    In order to examine the potential application of non-stoichiometric molybdenum oxide as anode materials for aqueous micro-supercapacitors, conductive MoOx films (2 ⩽ x ⩽ 2.3) deposited via RF magnetron sputtering at different temperatures were systematically studied for composition, structure and electrochemical properties in an aqueous solution of Li2SO4. The MoOx (x ≈ 2.3) film deposited at 150 °C exhibited a higher areal capacitance (31 mF cm-2 measured at 5 mV s-1), best rate capability and excellent stability at potentials below -0.1 V versus saturated calomel electrode, compared to the films deposited at room temperature and at higher temperatures. These superior properties were attributed to the multi-valence composition and mixed-phase microstructure, i.e., the coexistence of MoO2 nanocrystals and amorphous MoOx (2.3 < x ⩽ 3). A mechanism combining Mo(IV) oxidation/reduction on the hydrated MoO2 grain surfaces and cation intercalation/extrusion is proposed to illustrate the pseudo-capacitive process.

  15. Structural, magnetic, and electronic properties of GdTiO{sub 3} Mott insulator thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grisolia, M. N.; Bruno, F. Y.; Sando, D.

    2014-10-27

    We report on the optimization process to synthesize epitaxial thin films of GdTiO{sub 3} on SrLaGaO{sub 4} substrates by pulsed laser deposition. Optimized films are free of impurity phases and are fully strained. They possess a magnetic Curie temperature T{sub C} = 31.8 K with a saturation magnetization of 4.2 μ{sub B} per formula unit at 10 K. Transport measurements reveal an insulating response, as expected. Optical spectroscopy indicates a band gap of ∼0.7 eV, comparable to the bulk value. Our work adds ferrimagnetic orthotitanates to the palette of perovskite materials for the design of emergent strongly correlated states at oxide interfaces using a versatile growthmore » technique such as pulsed laser deposition.« less

  16. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    NASA Astrophysics Data System (ADS)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  17. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ frommore » which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a

  18. Structural and optical properties of annealed and illuminated (Ag3AsS3)0.6(As2S3)0.4 thin films

    NASA Astrophysics Data System (ADS)

    Studenyak, I. P.; Neimet, Yu. Yu.; Rati, Y. Y.; Stanko, D.; Kranjčec, M.; Kökényesi, S.; Daróci, L.; Bohdan, R.

    2014-11-01

    (Ag3AsS3)0.6(As2S3)0.4 thin films were deposited upon a quartz substrate by rapid thermal evaporation. Structural studies of the as-deposited, annealed and illuminated films were performed using XRD, scanning electron and atomic force microscopies. Surfaces of all the films were found to be covered with Ag-rich crystalline micrometer sized cones. Thermal annealing leads to mechanical deformation of part of the cones and their detachment from the base film surface while the laser illumination leads to the new formations appearance on the surface of thin films. The spectroscopic studies of optical transmission spectra for as-deposited, annealed and illuminated thin films were carried out. The optical absorption spectra in the region of its exponential behaviour were analysed, the dispersion dependences of refractive index as well as their variation after annealing and illumination were investigated.

  19. Properties of AlF3 and LaF3 films at 193nm

    NASA Astrophysics Data System (ADS)

    Xue, Chunrong; Shao, Jianda

    2010-10-01

    In order to develop low loss, high-performance 193nm Fluoride HR mirrors and anti-reflection coatings, LaF3 and AlF3 materials, used for a single-layer coating, were deposited by a molybdenum boat evaporation process. Various microstructures that formed under different substrate temperatures and with deposition rates were investigated. The relation between these microstructures (including cross section morphology, surface roughness and crystalline structure), the optical properties (including refractive index and optical loss) and mechanical properties (stress) were investigated. Furthermore, AlF3 used as a low-index material and LaF3 used as a high-index material were designed and deposited for multilayer coatings. Transmittance, reflectance, stress, and the laser-induced damage threshold (LIDT) were studied. It is shown that AlF3 and LaF3 thin films, deposited on the substrate at a temperature of 300 °C, obtained good quality thin films with high transmittance and little optical loss at 193 nm. For multilayer coatings, the absorption mainly comes from LaF3. Based on these studies, The thickness of 193nm films was controled by a 1/3 baffle with pre-coating technology. the LaF3/AlF3 AR coantings and HR mirrors at 193nm were designed and deposited. Under the present experimental conditions, the reflectance of LaF3/AlF3 HR mirror is up to 96%, and its transmittance is 1.5%. the LaF3/AlF3 AR coanting's residual reflectance is less than 0.14%, and single-sided transmittance is 93.85%. To get a high-performance 193nm AR coating, super-polished substrate is the best choice.

  20. Deposition of a-C/B films from o-carborane and trimethyl boron precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geddes, J.B.; Getty, W.D.

    1996-12-31

    Vacuum wall deposition of a-B/C films has had tremendous positive impact on the performance of tokamak fusion reactors. In this work, precursor vapor and helium carrier gas have been used to create a plasma using a novel plasma source. Either trimethyl boron (TMB) or sublimed vapor from o-carborane solid can be used as deposition precursors. The plasma operates in a pressure range of 5 to 15 mTorr and typical flow rates are 5 sccm He plus 0.5-1 sccm o-carborane or TMB vapor. The film deposition rate ranges from less than 100 {angstrom}/minute to over 1,000 {angstrom}/minute. Microwave power levels rangemore » from 300--400 W at 2.45 GHz. The temperature and bias of the substrate can be varied, and the temperature of the substrate is recorded during deposition. The films have been analyzed using XPS. The atomic composition of the films has been measured. The o-carborane films have a much higher boron concentration than those deposited from TMB. The chemical bond characteristics of the different species have also been examined for each type of film. The thickness of the films is measured by profilometry, and this is combined with measurements of the film area and weight to calculate the film density. X-ray diffraction analysis has been performed; no evidence of any crystalline structure was found. Films with a thickness of a few thousand {angstrom} are routinely obtained. Deposition rates were 350 {angstrom}/minute on average.« less

  1. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  2. Nanoscale monoclinic domains in epitaxial SrRuO{sub 3} thin films deposited by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghica, C., E-mail: cghica@infim.ro; Negrea, R. F.; Nistor, L. C.

    2014-07-14

    In this paper, we analyze the structural distortions observed by transmission electron microscopy in thin epitaxial SrRuO{sub 3} layers used as bottom electrodes in multiferroic coatings onto SrTiO{sub 3} substrates for future multiferroic devices. Regardless of the nature and architecture of the multilayer oxides deposited on the top of the SrRuO{sub 3} thin films, selected area electron diffraction patterns systematically revealed the presence of faint diffraction spots appearing in forbidden positions for the SrRuO{sub 3} orthorhombic structure. High-resolution transmission electron microscopy (HRTEM) combined with Geometric Phase Analysis (GPA) evidenced the origin of these forbidden diffraction spots in the presence ofmore » structurally disordered nanometric domains in the SrRuO{sub 3} bottom layers, resulting from a strain-driven phase transformation. The local high compressive strain (−4% ÷ −5%) measured by GPA in the HRTEM images induces a local orthorhombic to monoclinic phase transition by a cooperative rotation of the RuO{sub 6} octahedra. A further confirmation of the origin of the forbidden diffraction spots comes from the simulated diffraction patterns obtained from a monoclinic disordered SrRuO{sub 3} structure.« less

  3. Adhesion, friction, and deformation of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Buckley, Donald H.; Alterovitz, Samuel A.; Pouch, John J.; Liu, David C.

    1987-01-01

    The tribological properties and mechanical strength of boron nitride films were investigated. The BN films were predominantly amorphous and nonstoichiometric and contained small amounts of oxides and carbides. It was found that the yield pressure at full plasticity, the critical load to fracture, and the shear strength of interfacial adhesive bonds (considered as adhesion) depended on the type of metallic substrate on which the BN was deposited. The harder the substrate, the greater the critical load and the adhesion. The yield pressures of the BN film were 12 GPa for the 440C stainless steel substrate, 4.1 GPa for the 304 stainless steel substrate, and 3.3 GPa for the titanium substrate.

  4. Adhesion, friction and deformation of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Buckley, D. H.; Alterovitz, S. A.; Pouch, J. J.; Liu, D. C.

    1987-01-01

    The tribological properties and mechanical strength of boron nitride films were investigated. The BN films were predominantly amorphous and nonstoichiometric and contained small amounts of oxides and carbides. It was found that the yield pressure at full plasticity, the critical load to fracture, and the shear strength of interfacial adhesive bonds (considered as adhesion) depended on the type of metallic substrate on which the BN was deposited. The harder the substrate, the greater the critical load and the adhesion. The yield pressures of the BN film were 12 GPa for the 440C stainless steel substrate, 4.1 GPa for the 304 stainless steel substrate, and 3.3 GPa for the titanium substrate.

  5. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  6. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  7. Hybrid gas-to-particle conversion and chemical vapor deposition for production of high-surface area films

    NASA Astrophysics Data System (ADS)

    Nguyen, Quynh Tan

    A hybrid process, based upon gas-to-particle conversion and chemical vapor deposition, is presented as an alternative technique for producing porous films with the main advantages of solvent-free, low-substrate temperature operation. Starting from solid precursors, nanoparticles were produced in the vapor phase. Downstream of this reaction zone, these nanoparticles were collected via thermophoresis onto a cooled substrate forming a porous film. Initially, alumina (Al2O3) films were produced. Later, multi-component processing was explored by incorporating platinum (Pt) nanoparticles into the Al2O3 matrix leading to the production of Pt/Al 2O3 films by two routes: simultaneous precursor injection processing or by a layer-by-layer approach. In single component processing, the formation of nanoparticle aggregates was evident within the amorphous Al2O3 films. Aggregates, composed of these particles, are likely held together by relatively weak van der Waals forces leading to the observed poor physical cohesion. In multi-component processing, reasonable control of composition and distribution of species is possible with Pt nanoparticles appearing to be co-agglomerated with alumina. Deposited crystalline Pt nanoparticles may encourage the crystallization of the amorphous Al2O3. Finally, from chemisorption results, the produced sample appears to have potentially greater catalytic activity than a commercially available standard. A model is in development to study nanoparticle interactions with a gas and deposition occurring in stagnation flow onto the cooled horizontal substrate within the tubular reactor. Using velocity and temperature fields generated from numerical solutions to the Navier-Stokes and energy equations, particle trajectories were calculated from the summation of drag, gravitational, thermophoretic, and Brownian forces. In rectangular coordinates, cooling stage width to reactor diameter ratio, deposition stage temperature, and initial velocity were the

  8. Femtosecond pulsed laser deposition of amorphous, ultrahard boride thin films

    NASA Astrophysics Data System (ADS)

    Stock, Michael; Molian, Pal

    2004-05-01

    Amorphous thin films (300-500 nm) of ultrahard AlMgB10 with oxygen and carbon impurities were grown on Si (100) substrates at 300 K using a solid target of AlMgB14 containing a spinel phase (MgAl2O4) and using a 120 fs pulsed, 800 nm wavelength Ti:sapphire laser. The films were subsequently annealed in argon gas up to 1373 K for 2 h. Scanning electron microscopy (SEM) was used to examine the particulate formation, atomic force microscopy was employed to characterize the film surface topography, x-ray diffraction and transmission electron microscopy were used to determine the microstructure, x-ray photoelectron spectroscopy was performed to examine the film composition, and nanoindentation was employed to study the hardness of thin films. The as-deposited and postannealed films (up to 1273 K) had a stochiometry of AlMgB10 with a significant amount of oxygen and carbon impurities and exhibited amorphous structures for a maximum hardness of 40+/-3 GPa. However, postannealing at higher temperatures led to crystallization and transformation of the film to SiB6 with a substantial loss in hardness. Results are also compared with our previous study on 23 ns, 248 nm wavelength (KrF excimer) pulsed laser deposition of AlMgB14 reported in this journal [Y. Tian, A. Constant, C. C. H. Lo, J. W. Anderegg, A. M. Russell, J. E. Snyder, and P. A. Molian, J. Vac. Sci. Technol. A 21, 1055 (2003)]. .

  9. Atomic layer deposition of Nb-doped ZnO for thin film transistors

    NASA Astrophysics Data System (ADS)

    Shaw, A.; Wrench, J. S.; Jin, J. D.; Whittles, T. J.; Mitrovic, I. Z.; Raja, M.; Dhanak, V. R.; Chalker, P. R.; Hall, S.

    2016-11-01

    We present physical and electrical characterization of niobium-doped zinc oxide (NbZnO) for thin film transistor (TFT) applications. The NbZnO films were deposited using atomic layer deposition. X-ray diffraction measurements indicate that the crystallinity of the NbZnO films reduces with an increase in the Nb content and lower deposition temperature. It was confirmed using X-ray photoelectron spectroscopy that Nb5+ is present within the NbZnO matrix. Furthermore, photoluminescence indicates that the band gap of the ZnO increases with a higher Nb content, which is explained by the Burstein-Moss effect. For TFT applications, a growth temperature of 175 °C for 3.8% NbZnO provided the best TFT characteristics with a saturation mobility of 7.9 cm2/Vs, the current On/Off ratio of 1 × 108, and the subthreshold swing of 0.34 V/decade. The transport is seen to follow a multiple-trap and release mechanism at lower gate voltages and percolation thereafter.

  10. Growth, characterization and post-processing of inorganic and hybrid organic-inorganic thin films deposited using atomic and molecular layer deposition techniques

    NASA Astrophysics Data System (ADS)

    Abdulagatov, Aziz Ilmutdinovich

    Atomic layer deposition (ALD) and molecular layer deposition (MLD) are advanced thin film coating techniques developed for deposition of inorganic and hybrid organic-inorganic films respectively. Decreasing device dimensions and increasing aspect ratios in semiconductor processing has motivated developments in ALD. The beginning of this thesis will cover study of new ALD chemistry for high dielectric constant Y 2O3. In addition, the feasibility of conducting low temperature ALD of TiN and TiAlN is explored using highly reactive hydrazine as a new nitrogen source. Developments of these ALD processes are important for the electronics industry. As the search for new materials with more advanced properties continues, attention has shifted toward exploring the synthesis of hierarchically nanostructured thin films. Such complex architectures can provide novel functions important to the development of state of the art devices for the electronics industry, catalysis, energy conversion and memory storage as a few examples. Therefore, the main focus of this thesis is on the growth, characterization, and post-processing of ALD and MLD films for fabrication of novel composite (nanostructured) thin films. Novel composite materials are created by annealing amorphous ALD oxide alloys in air and by heat treatment of hybrid organic-inorganic MLD films in inert atmosphere (pyrolysis). The synthesis of porous TiO2 or Al2O3 supported V2O5 for enhanced surface area catalysis was achieved by the annealing of inorganic TiVxOy and AlV xOy ALD films in air. The interplay between phase separation, surface energy difference, crystallization, and melting temperature of individual oxides were studied for their control of film morphology. In other work, a class of novel metal oxide-graphitic carbon composite thin films was produced by pyrolysis of MLD hybrid organic-inorganic films. For example, annealing in argon of titania based hybrid films enabled fabrication of thin films of intimately

  11. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    PubMed

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  12. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1989-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  13. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.

    1990-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  14. Interfacial development of electrophoretically deposited graphene oxide films on Al alloys

    DOE PAGES

    Jin, Sumin; Dickerson, James H.; Pham, Viet Hung; ...

    2015-07-28

    Adhesion between film and substrate is critical for electronic device and coating applications. Interfacial development between electrophoretically deposited graphene oxide films on Al 1100 and Al 5052 alloys were investigated using FT-IR and XPS depth profiling techniques. Obtained results suggest metal ion permeation from the substrates into deposited graphene oxide films. The interface between the films and the substrates were primarily composed of Al-O-C bonds from oxygenated defects on graphene oxide plane rather than expected Al-C formation. Films heat treated at 150 °C had change in microstructure and peak shifts in XPS spectra suggesting change in chemical structure of bondsmore » between the films and the substrates.« less

  15. Surface analysis monitoring of polyelectrolyte deposition on Ba 0.5Sr 0.5TiO 3 thin films

    NASA Astrophysics Data System (ADS)

    Morales-Cruz, Angel L.; Fachini, Estevão R.; Miranda, Félix A.; Cabrera, Carlos R.

    2007-09-01

    Thin films are currently gaining interest in many areas such as integrated optics, sensors, friction, reducing coatings, surface orientation layers, and general industrial applications. Recently, molecular self-assembling techniques have been applied for thin film deposition of electrically conducting polymers, conjugated polymers for light-emitting devices, nanoparticles, and noncentrosymmetric-ordered second order nonlinear optical (NOL) devices. Polyelectrolytes self-assemblies have been used to prepare thin films. The alternate immersion of a charged surface in polyannion and a polycation solution leads usually to the formation of films known as polyelectrolyte multilayers. These polyanion and polycation structures are not neutral. However, charge compensation appears on the surface. This constitutes the building driving force of the polyelectrolyte multilayer films. The present approach consists of two parts: (a) the chemisorption of 11-mercaptoundecylamine (MUA) to construct a self-assembled monolayer with the consequent protonation of the amine, and (b) the deposition of opposite charged polyelectrolytes in a sandwich fashion. The approach has the advantage that ionic attraction between opposite charges is the driving force for the multilayer buildup. For our purposes, the multilayer of polyelectrolytes depends on the quality of the surface needed for the application. In many cases, this approach will be used in a way that the roughness factor defects will be diminished. The polyelectrolytes selected for the study were: polystyrene sulfonate sodium salt (PSS), poly vinylsulfate potassium salt (PVS), and polyallylamine hydrochloride (PAH), as shown in Fig. 1. The deposition of polyelectrolytes was carried out by a dipping procedure with the corresponding polyelectrolyte. Monitoring of the alternate deposition of polyelectrolyte bilayers was done by surface analysis techniques such as X-ray photoelectron spectroscopy (XPS), specular reflectance infrared (IR

  16. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    NASA Astrophysics Data System (ADS)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  17. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    NASA Astrophysics Data System (ADS)

    Xu, Yi; Li, Liuhe; Luo, Sida; Lu, Qiuyuan; Gu, Jiabin; Lei, Ning; Huo, Chunqin

    2017-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  18. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  19. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  20. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  1. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  2. Investigation of the influence of liquid water films on O3 and PAN deposition on plant leaf surfaces treated with organic / inorganic compounds

    NASA Astrophysics Data System (ADS)

    Sun, Shang; Moravek, Alexander; von der Heyden, Lisa; Held, Andreas; Kesselmeier, Jürgen; Sörgel, Matthias

    2016-04-01

    Liquid water films on environmental surfaces play an important role in various fields of interest (Burkhardt and Eiden, 1994). For example, the deposition of water soluble trace gases could be increased by surface moisture. Chameides and Stelson (1992) found out that the dissolution of trace gases in airborne particulate matter increases with rising water/solid ratio of the particles. Further, Flechard et al. (1999) concluded that deliquescent salt particles represent a potential sink for trace gases, depending on their chemical property. The formation of surface water films and its influence on the gas deposition was proposed by many previous studies (Fuentes and Gillespie, 1992, Burkhardt and Eiden, 1994, van Hove et al., 1989, Burkhardt et al., 1999, Flechard et al., 1999). In this study we investigate the influence of leaf surface water films on the deposition of O3 and PAN under controlled laboratory conditions. A twin cuvette system described in Sun et al. (2015) was used to control the environmental parameters such as light, temperature, trace gas mixing ratio and humidity. Furthermore, the leaf surface was treated with various organic and inorganic solutions to investigate the influence of deposited compounds on the electrical surface conductance of the leaves and the surface deposition of O3 and PAN at various relative humidities. The result shows that RHcrit, where the electrical surface conductance (G) increases exponentially, was 40 % during the light period and 50 % during the dark period. Furthermore, we observed that the formation of the leaf surface liquid film was depended on the deposited compounds on the leaf cuticles. For the O3 deposition on plants (Quercus ilex) a clear enhancement at rising environmental air humidity under light and dark condition was found. The increase during light conditions can be related partly to increasing stomatal conductance with higher RH. From the non-stomatal deposition measured in dark experiments, we could

  3. Synthesis of composite TiN/Ni3N/a-Si3N4 thin films using the plasma focus device

    NASA Astrophysics Data System (ADS)

    Adeel Umar, Zeshan; Ahmad, Riaz; Khan, Ijaz Ahmad; Hussain, Tousif; Hussnain, Ali; Khalid, Nida; Awais, Ali; Ali, T.

    2013-12-01

    Composite films of TiN/Ni3N/a-Si3N4 were synthesized using the Mather-type plasma focus device with varying numbers of focus deposition shots (5, 15, and 25) at 0° and 10° angular positions. The composition and structural analysis of these films were analyzed by using Rutherford backscattering (RBS) and X-ray diffraction (XRD). Scanning electron microscope and atomic force microscope were used to study the surface morphology of films. XRD patterns confirm the formation of composite TiN/Ni3N/a-Si3N4 films. The crystallite size of TiN (200) plane is 11 and 22 nm, respectively, at 0° and 10° angular positions for same 25 focus deposition shots. Impurity levels and thickness were measured using RBS. Scanning electron microscopy results show the formation of net-like structures for multiple focus shots (5, 15, and 25) at angular positions of 0° and 10°. The average surface roughness of the deposited films increases with increasing focus shots. The roughness of the film decreases at higher angle 10° and the films obtained are smoother as compared with the films deposited at 0° angular positions.

  4. Silicon nanomembranes as a means to evaluate stress evolution in deposited thin films

    Treesearch

    Anna M. Clausen; Deborah M. Paskiewicz; Alireza Sadeghirad; Joseph Jakes; Donald E. Savage; Donald S. Stone; Feng Liu; Max G. Lagally

    2014-01-01

    Thin-film deposition on ultra-thin substrates poses unique challenges because of the potential for a dynamic response to the film stress during deposition. While theoretical studies have investigated film stress related changes in bulk substrates, little has been done to learn how stress might evolve in a film growing on a compliant substrate. We use silicon...

  5. Synthesis and tribological properties of diamond-like carbon films by electrochemical anode deposition

    NASA Astrophysics Data System (ADS)

    Li, Yang; Zhang, GuiFeng; Hou, XiaoDuo; Deng, DeWei

    2012-06-01

    Diamond-like carbon films (DLC) are deposited on Ti substrate by electrochemical anodic deposition at room temperature in pure methanol solution using a pulsed DC voltage at a range from 200 V to 2000 V. Raman spectroscopy analysis of the films reveals two broaden characteristic absorption peaks centred at ˜1350 cm-1 and 1580 cm-1, relating to D- and G-band of typical DLC films, respectively. A broad peak centred at 1325-1330 cm-1 is observed when an applied potential is 1200 V, which can confirm that the deposited films contained diamond structure phase. Tribological properties of the coated Ti substrates have been measured by means of a ball-on-plate wear test machine. A related growth mechanism of DLC films by the anodic deposition mode has also been discussed.

  6. On the solid phase crystallization of In{sub 2}O{sub 3}:H transparent conductive oxide films prepared by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Macco, Bart; Verheijen, Marcel A.; Black, Lachlan E.

    2016-08-28

    Hydrogen-doped indium oxide (In{sub 2}O{sub 3}:H) has emerged as a highly transparent and conductive oxide, finding its application in a multitude of optoelectronic devices. Recently, we have reported on an atomic layer deposition (ALD) process to prepare high quality In{sub 2}O{sub 3}:H. This process consists of ALD of In{sub 2}O{sub 3}:H films at 100 °C, followed by a solid phase crystallization step at 150–200 °C. In this work, we report on a detailed electron microscopy study of this crystallization process which reveals new insights into the crucial aspects for achieving the large grain size and associated excellent properties of the material. Themore » key finding is that the best optoelectronic properties are obtained by preparing the films at the lowest possible temperature prior to post-deposition annealing. Electron microscopy imaging shows that such films are mostly amorphous, but feature a very low density of embedded crystallites. Upon post-deposition annealing, crystallization proceeds merely from isotropic crystal grain growth of these embedded crystallites rather than by the formation of additional crystallites. The relatively high hydrogen content of 4.2 at. % in these films is thought to cause the absence of additional nucleation, thereby rendering the final grain size and optoelectronic properties solely dependent on the density of embedded crystallites. The temperature-dependent grain growth rate has been determined, from which an activation energy of (1.39 ± 0.04) eV has been extracted. Finally, on the basis of the observed crystallization mechanism, a simple model to fully describe the crystallization process has been developed. This model has been validated with a numerical implementation thereof, which accurately predicts the observed temperature-dependent crystallization behaviour.« less

  7. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  8. Improved properties of barium strontium titanate thin films grown on copper foils by pulsed laser deposition using a self-buffered layer.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, S.; Ma, B.; Narayanan, M.

    2012-01-01

    Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) films were deposited by pulsed laser deposition on copper foils with low-temperature self-buffered layers. The deposition conditions included a low oxygen partial pressure and a temperature of 700 C to crystallize the films without the formation of secondary phases and substrate oxidation. The results from x-ray diffraction and scanning electron microscopy indicated that the microstructure of the BST films strongly depended on the growth temperature. The use of the self-buffered layer improved the dielectric properties of the deposited BST films. The leakage current density of the BST films on the copper foil was 4.4 xmore » 10{sup -9} A cm{sup -2} and 3.3 x 10{sup -6} A cm{sup -2} with and without the self-buffered layer, respectively. The ferroelectric hysteresis loop for the BST thin film with buffer layer was slim, in contrast to the distorted loop observed for the film without the buffer layer. The permittivity (7 0 0) and dielectric loss tangent (0.013) of the BST film on the copper foil with self-buffered layer at room temperature were comparable to those of the film on metal and single-crystal substrates.« less

  9. Evidence of extended cation solubility in atomic layer deposited nanocrystalline BaTiO3 thin films and its strong impact on the electrical properties.

    PubMed

    Falmbigl, Matthias; Karateev, Igor A; Golovina, Iryna S; Plokhikh, Aleksandr V; Parker, Thomas C; Vasiliev, Alexander L; Spanier, Jonathan E

    2018-06-22

    Thin films of ≈50 nm thickness with Ba/Ti-ratios ranging from 0.8 to 1.06 were prepared by depositing alternating layers of Ba(OH)2 and TiO2. Annealing at 750 °C promoted the solid-solid transformation into polycrystalline BaTiO3 films containing a mixture of the perovskite and the hexagonal polymorphs with average crystallite sizes smaller than 14 nm and without impurity phases. This, together with an increase of the cubic lattice parameters for Ba-rich films, suggests an extended metastable solubility range for the perovskite-phase in these nanocrystalline thin films on both sides of the stoichiometric composition. Mapping of the cation distribution utilizing energy-filtered transmission electron microscopy corroborates defect accommodation within the BaTiO3 grains. While the cation off-stoichiometry in thermodynamic equilibrium is negligible for BaTiO3, the metastable extended solubility range in the thin films can be directly correlated to the low annealing temperature and nanocrystalline nature. The leakage current behavior can be explained by the formation of Schottky defects for nonstoichiometric films, and the cation ratio has a distinct impact on the dielectric properties: while excess-BaO has a marginal detrimental effect on the permittivity, the dielectric constant declines rapidly by more than 50% towards the Ti-rich side. The present findings highlight the importance of compositional control for the synthesis of nanocrystalline BaTiO3 thin films, in particular for low annealing and/or deposition temperatures. Our synthesis approach using alternating layers of Ba(OH)2 and TiO2 provides a route to precisely control the cation stoichiometry.

  10. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  11. Synthesis of Diamond-Like Carbon Films on Planar and Non-Planar Geometries by the Atmospheric Pressure Plasma Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Noborisaka, Mayui; Hirako, Tomoaki; Shirakura, Akira; Watanabe, Toshiyuki; Morikawa, Masashi; Seki, Masaki; Suzuki, Tetsuya

    2012-09-01

    Diamond-like carbon (DLC) films were synthesized by the dielectric barrier discharge-based plasma deposition at atmospheric pressure and their hardness and gas barrier properties were measured. A decrease in size of grains and heating substrate temperature improved nano-hardness up to 3.3 GPa. The gas barrier properties of DLC-coated poly(ethylene terephthalate) (PET) sheets were obtained by 3-5 times of non-coated PET with approximately 0.5 µm in film thickness. The high-gas-barrier DLC films deposited on PET sheets are expected to wrap elevated bridge of the super express and prevent them from neutralization of concrete. We also deposited DLC films inside PET bottles by the microwave surface-wave plasma chemical vapor deposition (CVD) method at near-atmospheric pressure. Under atmospheric pressure, the films were coated uniformly inside the PET bottles, but did not show high gas barrier properties. In this paper, we summarize recent progress of DLC films synthesized at atmospheric pressure with the aimed of food packaging and concrete pillar.

  12. Optical and microwave dielectric properties of pulsed laser deposited Na{sub 0.5}Bi{sub 0.5}TiO{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Joseph, Andrews; Goud, J. Pundareekam; Raju, K. C. James

    2016-05-23

    Optical properties of pulsed laser deposited (PLD) sodium bismuth titanate thin films (NBT), are investigated at wavelengths of 190-2500 nm. Microwave dielectric properties were investigated using the Split Post Dielectric Resonator (SPDR) technique. At 10 GHz, the NBT films have a dielectric constant of 205 and loss tangent of 0.0373 at room temperature. The optical spectra analysis reveals that NBT thin films have an optical band gap E{sub g}=3.55 eV and it has a dielectric constant of 3.37 at 1000 nm with dielectric loss of 0.299. Hence, NBT is a promising candidate for photonic device applications.

  13. In situ electric properties of Ag films deposited on rough substrates

    NASA Astrophysics Data System (ADS)

    Zhou, Hong; Yu, Sen-Jiang; Zhang, Yong-Ju; Chen, Miao-Gen; Jiao, Zhi-Wei; Si, Ping-Zhan

    2013-01-01

    Silver (Ag) films have been deposited on rough substrates (including frosted glass and silicone grease), and for comparison on flat glass, by DC-magnetron sputtering, and their sheet resistances measured in situ during deposition. It is found that the growth of Ag films proceeds through three distinct stages: discontinuous, semi-continuous, and continuous regimes. The sheet resistance on rough substrates jumps in the vicinity of the percolation threshold, whereas the resistance on flat substrates decreases monotonically during deposition. The abnormal in situ electric properties on rough substrates are well explained based on the differences of the growth mechanism and microstructure of Ag films on different substrates.

  14. Thin films deposited by femtosecond pulsed laser ablation of tungsten carbide

    NASA Astrophysics Data System (ADS)

    De Bonis, A.; Teghil, R.; Santagata, A.; Galasso, A.; Rau, J. V.

    2012-09-01

    Ultra-short Pulsed Laser Deposition has been applied to the production of thin films from a tungsten carbide target. The gaseous phase obtained by the laser ablation shows a very weak primary plume, in contrast with a very strong secondary one. The deposited films, investigated by Scanning Electron Microscopy, Atomic Force Microscopy, X-Ray Photoelectron Spectroscopy and X-Ray Diffraction, present a mixture of WC and other phases with lower carbon content. All films are amorphous, independently from the substrate temperature. The characteristics of the deposits have been explained in terms of thermal evaporation and cooling rate of molten particles ejected from the target.

  15. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    NASA Astrophysics Data System (ADS)

    Ma, B.; Li, M.; Koritala, R. E.; Fisher, B. L.; Markowitz, A. R.; Erck, R. A.; Baurceanu, R.; Dorris, S. E.; Miller, D. J.; Balachandran, U.

    2003-04-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx9° was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55°. In-plane texture in the ISD MgO films developed in the first approx0.5 mum from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the substrate normal have a unique orientation relationship with the ISD MgO films. An orientation relationship of YBCOlangle100rangleparallelMgOlangle111rangle and YBCOlangle010rangleparallelMgOlangle110rangle was measured by x-ray pole figure analyses and confirmed by transmission electron microscopy. A Tc of 91 K with a sharp transition and transport Jc of 5.5 × 105 A cm-2 at 77 K in self-field were measured on a YBCO film that was 0.46 mum thick, 4 mm wide and 10 mm long.

  16. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    NASA Astrophysics Data System (ADS)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  17. Synthesis of poly(3-hydroxybutyrate) nanospheres and deposition thereof into porous thin film

    NASA Astrophysics Data System (ADS)

    Abid, S.; Raza, Z. A.; Rehman, A.

    2016-10-01

    Polymeric nanostructures have gained importance in medical science as drug delivery carriers due to their biocompatibility and biodegradability. Polyhydroxybutyrate (PHB) is one of the natural biodegradable polymers used to deliver drugs in the form of nano/microcapsules. In this study, solvent evaporation method has been used for the synthesis of PHB nanospheres using poly(vinyl) alcohol (PVA) both as emulsifier and stabilizer. The produced PHB nanospheres were analyzed using dynamic light scattering and scanning electron microscopy. The size of nanospheres decreased whereas the zeta potential increased on increasing the concentration of emulsifier. The PHB nanospheres were then deposited into porous thin film on a glass surface and characterized against bulk PHB film by using atomic force microscopy, contact angle measurement and x-ray diffraction.

  18. A new approach to the deposition of nanostructured biocatalytic films

    NASA Astrophysics Data System (ADS)

    Troitsky, V. I.; Berzina, T. S.; Pastorino, L.; Bernasconi, E.; Nicolini, C.

    2003-06-01

    In the present work, monolayer engineering was used to fabricate biocatalytic nanostructured thin films based on the enzyme penicillin G acylase. The biocatalytic films with enhanced characteristics were produced by the deposition of alternate-layer assemblies with a predetermined structure using a combination of Langmuir-Blodgett and adsorption techniques. The value of enzyme activity and the level of protein detachment were measured in dependence on the variation of film composition and on the sequence of layer alternation. As a result, highly active and stable structures were found, which could be promising candidates for practical applications. The method of modification of the deposition method to provide continuous film formation on large-area supports is discussed.

  19. Electron transport in nanocrystalline SiC films obtained by direct ion deposition

    NASA Astrophysics Data System (ADS)

    Kozlovskyi, A.; Semenov, A.; Skorik, S.

    2016-12-01

    Electrical conductivity of nanocrystalline SiC films obtained by direct ion deposition was investigated within the temperature interval from 2 to 770 K. It were investigated the samples of films with 3С-SiC polytype structure and the heteropolytype films formed by layers of different polytypes SiC (3C-SiC/21R-SiC, 21R-SiC/27R-SiC, 3C-SiC/15R-SiC). The films had n-type conductivity that ensured a small excess of silicon ions. The thermally activated character of electron transport in the 3С-SiC polytype films was established. In the heteropolytype films the temperature dependence of the electrical resistance was described by the relation R(T) = R0 × exp[-kT/E0]. It was shown that the charge transport mechanism in the heteropolytype samples is electron tunneling through potential barriers formed by the conduction band offset in the contact region of the heterojunction. Tunnel charge transport occurs due to the presence of discrete energy states in the forbidden band caused the dimensional quantization.

  20. AFM investigation and optical band gap study of chemically deposited PbS thin films

    NASA Astrophysics Data System (ADS)

    Zaman, S.; Mansoor, M.; Abubakar; Asim, M. M.

    2016-08-01

    The interest into deposition of nanocrystalline PbS thin films, the potential of designing and tailoring both the topographical features and the band gap energy (Eg) by controlling growth parameters, has significant technological importance. Nanocrystalline thin films of lead sulfide were grown onto glass substrates by chemical bath deposition (CBD) method. The experiments were carried out by varying deposition temperature. We report on the modification of structural and optical properties as a function of deposition temperature. The morphological changes of the films were analyzed by using SEM and AFM. AFM was also used to calculate average roughness of the films. XRD spectra indicated preferred growth of cubic phase of PbS films in (200) direction with increasing deposition time. Optical properties have been studied by UV-Spectrophotometer. From the diffused reflectance spectra we have calculated the optical Eg shift from 0.649-0.636 eV with increasing deposition time.

  1. Stripe domains and magnetoresistance in thermally deposited nickel films

    NASA Astrophysics Data System (ADS)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  2. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  3. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  4. Polymer-assisted aqueous deposition of metal oxide films

    DOEpatents

    Li, DeQuan [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM

    2003-07-08

    An organic solvent-free process for deposition of metal oxide thin films is presented. The process includes aqueous solutions of necessary metal precursors and an aqueous solution of a water-soluble polymer. After a coating operation, the resultant coating is fired at high temperatures to yield optical quality metal oxide thin films.

  5. Transport properties and c/a ratio of V{sub 2}O{sub 3} thin films grown on C- and R-plane sapphire substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Joe; Limelette, Patrice; Funakubo, Hiroshi

    2015-12-14

    We prepared V{sub 2}O{sub 3} thin films on C- or R-plane sapphire (Al{sub 2}O{sub 3}) substrates by a pulsed laser deposition method. X-ray diffraction analyses confirmed that single-phase V{sub 2}O{sub 3} films were epitaxially grown on both C- and R-planes under an Ar gas ambient of 2 × 10{sup −2} mbar at a substrate temperature of 873 K. Depending on the deposition conditions, c/a ratios at room temperature of (0001)-oriented V{sub 2}O{sub 3} films widely ranged from 2.79 to 2.88. Among them, the films of 2.81 ≤ c/a ≤ 2.84 showed complex metal (M)–insulator (I)–M transition during cooling from 300 to 10 K, while those of larger c/a ratiosmore » were accompanied by metallic properties throughout this temperature range. All the films on R-plane substrates underwent simple M-I transition at ∼150 K, which was more abrupt than the films on C-plane, whereas their c/a ratios were narrowly distributed. The distinct difference of M-I transition properties between C- and R-plane films is explained by the intrinsic a- and c-axes evolution through the transition from M to I phases.« less

  6. (abstract) Optical Scattering and Surface Microroughness of Ion Beam Deposited Au and Pt Thin Films

    NASA Technical Reports Server (NTRS)

    Al-Jumaily, Ghanim A.; Raouf, Nasrat A.; Edlou, Samad M.; Simons, John C.

    1994-01-01

    Thin films of gold and platinum have been deposited onto superpolished fused silica substrates using thermal evaporation, ion assisted deposition (IAD), and ion assisted sputtering. The influence of ion beam flux, thin film material, and deposition rate on the films microroughness have been investigated. Short range surface microroughness of the films has been examined using scanning tunneling microscopy (STM) and atomic force microscopy (AFM). Long range surface microroughness has been characterized using an angle resolved optical scatterometer. Results indicate that ion beam deposited coatings have improved microstructure over thermally evaporated films.

  7. Evaporation-Driven Deposition of ITO Thin Films from Aqueous Solutions with Low-Speed Dip-Coating Technique.

    PubMed

    Ito, Takashi; Uchiyama, Hiroaki; Kozuka, Hiromitsu

    2017-05-30

    We suggest a novel wet coating process for preparing indium tin oxide (ITO) films from simple solutions containing only metal salts and water via evaporation-driven film deposition during low-speed dip coating. Homogeneous ITO precursor films were deposited on silica glass substrates from the aqueous solutions containing In(NO 3 ) 3 ·3H 2 O and SnCl 4 ·5H 2 O by dip coating at substrate withdrawal speeds of 0.20-0.50 cm min -1 and then crystallized by the heat treatment at 500-800 °C for 10-60 min under N 2 gas flow of 0.5 L min -1 . The ITO films heated at 600 °C for 30 min had a high optical transparency in the visible range and a good electrical conductivity. Multiple-coating ITO films obtained with five-times dip coating exhibited the lowest sheet (ρ S ) and volume (ρ V ) resistivities of 188 Ω sq -1 and 4.23 × 10 -3 Ω cm, respectively.

  8. Structure, mechanical and tribological properties of TiSiC films deposited by magnetron sputtering segment target

    NASA Astrophysics Data System (ADS)

    Jiang, Jinlong; He, Kaichen; He, XingXing; Huang, Hao; Pang, Xianjuan; Wei, Zhiqiang

    2017-12-01

    In this work, the TiSiC films were deposited by magnetron sputtering segment target with various areal ratio of Ti80Si20 to C. The effects of segment target component on the structure, mechanical and tribological properties of the films were investigated. The results revealed that the deposited films exhibited a structural transform from a cubic TiC structure to a nanocomposite structure with nanocrystalline TiC in a-C:Si matrix, and finally x-ray amorphous structures with decreasing areal ratio of Ti80Si20 to C. The TiSiC film deposited at the Ti80Si20:C areal ratio of 7:7 showed superior mechanical and tribological properties such as high hardness (18.6 Gpa), good scratch resistant (46 N), low friction coefficient (0.2) and low wear rate (8.6  ×  10-7 mm3 Nm-1), which suggests that it is a promising candidate for the protective films.

  9. Microstructural and magneto-transport characterization of Bi2SexTe3-x topological insulator thin films grown by pulsed laser deposition method

    NASA Astrophysics Data System (ADS)

    Jin, Zhenghe; Kumar, Raj; Hunte, Frank; Narayan, Jay; Kim, Ki Wook; North Carolina State University Team

    Bi2SexTe3-x topological insulator thin films were grown on Al2O3 (0001) substrate by pulsed laser deposition (PLD). XRD and other structural characterization measurements confirm the growth of the textured Bi2SexTe3-x thin films on Al2O3 substrate. The magneto-transport properties of thick and thin Þlms were investigated to study the effect of thickness on the topological insulator properties of the Bi2SexTe3 - x films. A pronounced semiconducting behavior with a highly insulating ground state was observed in the resistivity vs. temperature data. The presence of the weak anti-localization (WAL) effect with a sharp cusp in the magnetoresistance measurements confirms the 2-D surface transport originating from the TSS in Bi2SexTe3-x TI films. A high fraction of surface transport is observed in the Bi2SexTe3-x TI thin films which decreases in Bi2SexTe3-x TI thick films. The Cosine (θ) dependence of the WAL effect supports the observation of a high proportion of 2-D surface state contribution to overall transport properties of the Bi2SexTe3-x TI thin films. Our results show promise that high quality Bi2SexTe3-x TI thin films with significant surface transport can be grown by PLD method to exploit the exotic properties of the surface transport in future generation spintronic devices. This work was supported, in part, by National Science Foundation ECCS-1306400 and FAME.

  10. Transparent and semitransparent conducting film deposition by reactive-environment, hollow cathode sputtering

    NASA Astrophysics Data System (ADS)

    Delahoy, A. E.; Guo, S. Y.

    2005-07-01

    Highly transparent and conductive In2O3 and ZnO films containing different doping elements such as Ti, Mo, Zr, Nb, Ta, W (for In2O3), and B (for ZnO) have been prepared by reactive-environment, hollow cathode sputtering (RE-HCS). The use of Nb and W as effective dopants is reported for the first time. Metallic targets were used exclusively, and the dopant concentration was easily controlled using a second sputtering power supply. As a result of the cathode and gas flow geometry, the sputtering is conducted in metal mode, and the target and doping materials are free from oxidation during the deposition process. Film resistivities achieved with the various dopants are reported. For In2O3:Mo (IMO), a resistivity of 1.6×10-4Ω cm and a mobility of 80 cm2/Vs were achieved for Mo concentrations in the range 0.5-5.0% as measured by inductively coupled plasma (ICP). X-ray photoelectron spectroscopy (XPS) analysis indicates Mo with a +6 valence state and that the film is stoichiometric. For In2O3:Ti (ITiO), a superior optical transmission is achieved relative to IMO, while carrier mobility and conductivity were similar. Remarkably, semitransparent films of InN:O having sheet resistances of 9.5 Ω/square have also been prepared. ZnO:B films deposited by RE-HCS exhibit superior optical properties relative to ZnO:Al, and when applied as a window layer to CIGS solar cells yield higher quantum efficiencies.

  11. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    NASA Astrophysics Data System (ADS)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  12. Effect of non-vacuum thermal annealing on high indium content InGaN films deposited by pulsed laser deposition.

    PubMed

    Wang, Tzu-Yu; Ou, Sin-Liang; Shen, Kun-Ching; Wuu, Dong-Sing

    2013-03-25

    InGaN films with 33% and 60% indium contents were deposited by pulsed laser deposition (PLD) at a low growth temperature of 300 °C. The films were then annealed at 500-800 °C in the non-vacuum furnace for 15 min with an addition of N(2) atmosphere. X-ray diffraction results indicate that the indium contents in these two films were raised to 41% and 63%, respectively, after annealing in furnace. In(2)O(3) phase was formed on InGaN surface during the annealing process, which can be clearly observed by the measurements of auger electron spectroscopy, transmission electron microscopy and x-ray photoelectron spectroscopy. Due to the obstruction of indium out-diffusion by forming In(2)O(3) on surface, it leads to the efficient increment in indium content of InGaN layer. In addition, the surface roughness was greatly improved by removing In(2)O(3) with the etching treatment in HCl solution. Micro-photoluminescence measurement was performed to analyze the emission property of InGaN layer. For the as-grown InGaN with 33% indium content, the emission wavelength was gradually shifted from 552 to 618 nm with increasing the annealing temperature to 800 °C. It reveals the InGaN films have high potential in optoelectronic applications.

  13. Nanoscale magneto-structural coupling in as-deposited and freestanding single-crystalline Fe7Pd3 ferromagnetic shape memory alloy thin films

    PubMed Central

    Landgraf, Anja; Jakob, Alexander M; Ma, Yanhong; Mayr, Stefan G

    2013-01-01

    Ferromagnetic shape memory alloys are characterized by strong magneto-mechanical coupling occurring at the atomic scale causing large magnetically inducible strains at the macroscopic level. Employing combined atomic and magnetic force microscopy studies at variable temperature, we systematically explore the relation between the magnetic domain pattern and the underlying structure for as-deposited and freestanding single-crystalline Fe7Pd3 thin films across the martensite–austenite transition. We find experimental evidence that magnetic domain appearance is strongly affected by the presence and absence of nanotwinning. While the martensite–austenite transition upon temperature variation of as-deposited films is clearly reflected in topography by the presence and absence of a characteristic surface corrugation pattern, the magnetic domain pattern is hardly affected. These findings are discussed considering the impact of significant thermal stresses arising in the austenite phase. Freestanding martensitic films reveal a hierarchical structure of micro- and nanotwinning. The associated domain organization appears more complex, since the dominance of magnetic energy contributors alters within this length scale regime. PMID:27877596

  14. SILAR deposited Bi2S3 thin film towards electrochemical supercapacitor

    NASA Astrophysics Data System (ADS)

    Raut, Shrikant S.; Dhobale, Jyotsna A.; Sankapal, Babasaheb R.

    2017-03-01

    Bi2S3 thin film electrode has been synthesized by simple and low cost successive ionic layer adsorption and reaction (SILAR) method on stainless steel (SS) substrate at room temperature. The formation of interconnected nanoparticles with nanoporous surface morphology has been achieved and which is favourable to the supercapacitor applications. Electrochemical supercapacitive performance of Bi2S3 thin film electrode has been performed through cyclic voltammetry, charge-discharge and stability studies in aqueous Na2SO4 electrolyte. The Bi2S3 thin film electrode exhibits the specific capacitance of 289 Fg-1 at 5 mVs-1 scan rate in 1 M Na2SO4 electrolyte.

  15. Effect of starting powder morphology on film texture for bismuth layer-structured ferroelectrics prepared by aerosol deposition method

    NASA Astrophysics Data System (ADS)

    Suzuki, Muneyasu; Tsuchiya, Tetsuo; Akedo, Jun

    2017-06-01

    We report grain orientation control for bismuth layer-structured ferroelectrics (BLSFs) films deposited by aerosol deposition (AD) method at room temperature. Bi4Ti3O12 (BiT), SrBi2Ta2O9 (SBTa), and SrBi4Ti4O15 (SBTi) starting powders with particles of various shape (plate-like, spherical, and angular) were prepared by solid-state reaction and fused salt synthesis. Their AD films represented fine microstructures without pores, which agrees well with previous reports. Although the SBTa AD films deposited by using spherical particles exhibited an extremely low Lotgering factor (F), the BiT AD films deposited by using plate-like particles exhibited a marked c-axis orientation. The F of BiT and SBTi AD films decreased with increasing film thickness (t). We consider that the dispersion of agglomerated plate-like particles on the film surface and the densification of the compacted powder layer occurring while under particle impact are important in obtaining the grain-oriented AD films. These results of using the AD method with shape-controlled particles are expected to result in open up an innovative functional coating technique.

  16. Tuning cationic composition of La:EuTiO{sub 3−δ} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkabko, Andrey, E-mail: shkabko@gmail.com; Empa, Solid State Chemistry and Catalysis, CH-8600 Dübendorf; Xu, Chencheng

    2013-11-01

    Eu{sub 1−x}La{sub x}TiO{sub 3−δ} (x = 0, 0.3, 0.5) films were deposited in a p(Ar(96%)/H{sub 2}(4%)) = 4 × 10{sup −4} mbar atmosphere on (LaAlO{sub 3}){sub 0.3}-(Sr{sub 2}AlTaO{sub 6}){sub 0.7} vicinal substrates (0.1°). Reflection high-energy electron diffraction oscillation characteristics of a layer-by-layer growth mode were observed for stoichiometric and Ti-rich films and the laser fluence suited to deposit stoichiometric films was identified to be 1.25 J/cm{sup 2} independent of the La content. The variety of resulting film compositions follows the general trend of Eu-enrichment for low laser and Ti-enrichment for high laser fluence. X-ray diffraction confirms that all the filmsmore » are compressively strained with a general trend of an increase of c-axis elongation for non-stoichiometric films. The surfaces of non-stoichiometric films have an increased roughness, the highest sheet resistances, exhibit the presence of islands, and are Eu{sup 3+} rich for films deposited at low laser fluence.« less

  17. Multiferroic BiFeO3 thin films processed via chemical solution deposition: Structural and electrical characterization

    NASA Astrophysics Data System (ADS)

    Iakovlev, S.; Solterbeck, C.-H.; Kuhnke, M.; Es-Souni, M.

    2005-05-01

    Polycrystalline BiFeO3 thin films were fabricated on (111)Pt/Ti/SiO2/Si substrates via Bi-acetate- and Fe-acetylacetonate-based chemical solution deposition and spin-coating techniques. The processing parameters were optimized in order to obtain films with high resistivity. The optical properties (refractive indices and extinction coefficients) were measured by means of ellipsometry (HeNe laser, λ=632.8Å). Microstructure characterization was made by means of atomic force microscopy, grazing incidence x-ray diffractometry (XRD), and texture analysis. Additionally, powders prepared from a stoichiometric precursor were investigated by means of thermogravimetric and differential thermal analyses and XRD. It is demonstrated that the formation of perovskite-type BiFeO3 is accompanied by the appearance of bismuth oxide at low temperatures which then transforms into Bi36Fe2O57. For the films it was found that annealing in oxygen leads to higher indices of refraction, lower roughness, and smaller grain size. Complete crystallization of the films was achieved at a substantially lower temperature compared to that of the powders. A (100) (pseudocubic) out-of-plane preferred orientation was revealed for specimens annealed in air and oxygen. It is supposed that the crystal lattice of the thin film is close to cubic possibly due to stress development at the substrate/film interface. The electrical properties of the films were measured at room temperature by impedance analysis. The piezoelectric properties were determined using a laser vibrometer. Room temperature resistances measured at 1 kHz for metal-film-metal configurations for the specimens annealed in air and O2 were 14 Ω and 1.35 kΩ, respectively. This is explained in terms of the high sensitivity of the oxidation state (+2 or +3) of iron ions to oxygen stoichiometry in the specimens. Further electrical characterization of the specimen annealed in O2 revealed very low frequency dispersion of the dielectric constant. A

  18. Improved ferroelectric polarization of V-doped Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films prepared by a chemical solution deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, D. P.; University of Science and Technology of China, Hefei 230026; Yang, J., E-mail: jyang@issp.ac.cn

    We prepared V-doped Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films on Pt/Ti/SiO{sub 2}/Si (100) substrates by using a chemical solution deposition route and investigated the doping effect on the microstructure, dielectric, leakage, and ferroelectric properties of Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films. The Bi{sub 5.97}Fe{sub 2}Ti{sub 2.91}V{sub 0.09}O{sub 18} thin film exhibits improved dielectric properties, leakage current, and ferroelectric properties. The incorporation of vanadium resulted in a substantially enhanced remnant polarization (2P{sub r}) over 30 μC/cm{sup 2} in Bi{sub 5.97}Fe{sub 2}Ti{sub 2.91}V{sub 0.09}O{sub 18} thin film compared with 10 μC/cm{sup 2} in Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin film. It ismore » demonstrated that the improved properties may stem from the improvement of crystallinity of the films with the contribution of suppressed oxygen vacancies and decreased mobility of oxygen vacancies caused by the V-doping. The results will provide a guidance to optimize the ferroelectric properties in Bi{sub 6}Fe{sub 2}Ti{sub 3}O{sub 18} thin films by chemical solution deposition, which is important to further explore single-phase multiferroics in the n = 5 Aurivillius thin films.« less

  19. Comparison of the properties of Pb thin films deposited on Nb substrate using thermal evaporation and pulsed laser deposition techniques

    NASA Astrophysics Data System (ADS)

    Perrone, A.; Gontad, F.; Lorusso, A.; Di Giulio, M.; Broitman, E.; Ferrario, M.

    2013-11-01

    Pb thin films were prepared at room temperature and in high vacuum by thermal evaporation and pulsed laser deposition techniques. Films deposited by both the techniques were investigated by scanning electron microscopy to determine their surface topology. The structure of the films was studied by X-ray diffraction in θ-2θ geometry. The photoelectron performances in terms of quantum efficiency were deduced by a high vacuum photodiode cell before and after laser cleaning procedures. Relatively high quantum efficiency (>10-5) was obtained for all the deposited films, comparable to that of corresponding bulk. Finally, film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Weak and strong points of these two competitive techniques are illustrated and discussed.

  20. Sputtering characteristics, crystal structures, and transparent conductive properties of TiOxNy films deposited on α-Al2O3(0 0 0 1) and glass substrates

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei

    2012-12-01

    Adding N2 gas during reactive sputtering of a Ti target prevented the target surface from being severely poisoned by oxygen atoms and sustained a high deposition rate for titanium oxynitride films under metal-mode-like sputtering conditions. With progress in the degree of oxidization, films deposited onto a glass substrate varied from TiO1-xNx having a face-centered cubic (fcc) structure to TiO2-xNx having an anatase structure. Titanium oxynitride films deposited on an Al2O3(0 0 0 1) substrate were epitaxial with major orientations toward the (1 1 1) and (2 0 0) directions for fcc-TiO1-xNx and (1 1 2) for anatase-TiO2-xNx. Intermediately oxidized films between TiO1-xNx and TiO2-xNx were amorphous on the glass substrate but crystallized into a Magneli phase, TinO(N)2n-1, on the Al2O3(0 0 0 1) substrate. Partially substituting oxygen in TiO2 with nitrogen as well as continuously irradiating the growing film surface with a Xe plasma stream preferentially formed anatase rather than rutile. However, the occupation of anion sites with enough oxygen rather than nitrogen was the required condition for anatase crystals to form. The transparent conductive properties of epitaxial TiO2-xNx films on Al2O3(0 0 0 1) were superior to those of microcrystalline films on the glass substrate. Since resistivity and optical transmittance of TiOxNy films vary continuously with changing N2 flow rate, their transparent conductive properties can be controlled more easily than TiOx. Nb5+ ions could be doped as donors in TiO2-xNx anatase crystals.

  1. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp; Micro System Integration Center; Isobe, Shigehito

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  2. High quality of IWO films prepared at room temperature by reactive plasma deposition for photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Lu, Zhongdan; Meng, Fanying; Cui, Yanfeng; Shi, Jianhua; Feng, Zhiqiang; Liu, Zhengxin

    2013-02-01

    High-quality tungsten-doped indium oxide (IWO) films are deposited on glass substrates at room temperature by the reactive plasma deposition (RPD) process under different oxygen/argon (O2/Ar) ratios. It is revealed that the O2/Ar ratio plays an important role in obtaining high conductivity without compromising the optical transmission of the films. The effect of the annealing temperature on the structure, electrical and optical properties of IWO thin films is investigated. The as-deposited film is crystalline and then re-crystallizes by postannealing. In this work, the IWO film with the O2/Ar ratio of 14% annealed at 220 °C exhibits the best electrical conductivity, with a lowest resistivity of 3.34 × 10-4 Ω cm and a highest mobility of 77.8 cm2 V-1 s-1, and which has the average transmittance of 85.50% (visible region) and 94.21% (near-infrared region). These optical and electrical characteristics of IWO films make them suitable for a-Si/C-Si heterojunction solar cell applications.

  3. ZnS thin films deposition by thermal evaporation for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Benyahia, K.; Benhaya, A.; Aida, M. S.

    2015-10-01

    ZnS thin films were deposited on glass substrates by thermal evaporation from millimetric crystals of ZnS. The structural, compositional and optical properties of the films are studied by X-ray diffraction, SEM microscopy, and UV-VIS spectroscopy. The obtained results show that the films are pin hole free and have a cubic zinc blend structure with (111) preferential orientation. The estimated optical band gap is 3.5 eV and the refractive index in the visible wavelength ranges from 2.5 to 1.8. The good cubic structure obtained for thin layers enabled us to conclude that the prepared ZnS films may have application as buffer layer in replacement of the harmful CdS in CIGS thin film solar cells or as an antireflection coating in silicon-based solar cells.

  4. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Photoelectrochemical performance of W-doped BiVO4 thin-films deposited by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Holland, Stephen K.; Dutter, Melissa R.; Lawrence, David J.; Reisner, Barbara A.; DeVore, Thomas C.

    2013-09-01

    The effect of tungsten doping and hydrogen annealing treatments on the photoelectrochemical (PEC) performance of bismuth vanadate (BiVO4) photoanodes for solar water splitting was studied. Thin films of BiVO4 were deposited on ITO-coated glass slides by ultrasonic spray pyrolysis of an aqueous solution containing bismuth nitrate and vanadium oxysulfate. Tungsten doping was achieved by adding either silicotungstic acid (STA) or ammonium metatungstate (AMT) in the aqueous precursor. The 1.7 μm - 2.2 μm thick films exhibited a highly porous microstructure. Undoped films that were reduced at 375 ºC in 3% H2 exhibited the largest photocurrent densities under 0.1 W cm-2 AM1.5 illumination. This performance enhancement was believed to be due to the formation of oxygen vacancies, which are shallow electron donors, in the films. Films doped with 1% or 5% tungsten from either STA or AMT exhibited reduced photoelectrochemical performance and greater sample-to-sample performance variations. Powder X-ray diffraction data of the undoped films indicated that they were comprised primarily of the monoclinic scheelite phase while unidentified phases were also present. Scanning electron microscopy showed slightly different morphology characteristics for the Wdoped films. It is surmised that the addition of W in the deposition process promoted the morphology differences and the formation of different phases, thus reducing the PEC performance of the photoanode samples. Significant PEC performance variability was also observed among films deposited using the described process.

  6. Near-failure detonation behavior of vapor-deposited hexanitrostilbene (HNS) films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan R.; Marquez, Michael P.; Tappan, Alexander S.

    2017-01-01

    Hexanitrostilbene (HNS) films were deposited onto polycarbonate substrates using vacuum thermal sublimation. The deposition conditions were varied in order to alter porosity in the films, and the resulting microstructures were quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation were determined. The polycarbonate substrates also acted as recording plates for detonation experiments, and films near the critical thickness displayed distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions.

  7. Pulsed laser deposition of functionalized Mg-Al layered double hydroxide thin films

    NASA Astrophysics Data System (ADS)

    Vlad, A.; Birjega, R.; Tirca, I.; Matei, A.; Mardare, C. C.; Hassel, A. W.; Nedelcea, A.; Dinescu, M.; Zavoianu, R.

    2018-02-01

    In this paper, magnesium-aluminium layered double hydroxide (LDH) has been functionalized with sodium dodecyl sulfate (DS) and deposited as thin film by pulsed laser deposition (PLD). Mg, Al-LDH powders were prepared by co-precipitation and used as reference material. Intercalation of DS as an anionic surfactant into the LDHs host layers has been prepared in two ways: co-precipitation (P) and reconstruction (R). DS intercalation occurred in LDH powder via both preparation methods. The films deposited via PLD, in particular at 532 and 1064 nm, preserve the organic intercalated layered structure of the targets prepared from these powders. The results reveal the ability of proposed deposition technique to produce functional composite organo-modified LDHs thin films.

  8. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  9. Friction and Wear Properties of As-Deposited and Carbon Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1996-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 keV ion energy, resulting in a dose of 1.2 x 10(exp 17) carbon ions per cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, X-ray photoelectron spectroscopy, and X-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40% relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and wear properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to lO(exp -8) mm(exp 3) N(exp -1) m(exp -1)) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4) mm(exp 7) N(exp -1) m(exp -1)) in ultrahigh vacuum. The carbon ion implantation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, non-diamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7) mm(exp 3) N(exp -1) m(exp-1)). Even in ultrahigh vacuum, the presence of the non-diamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6

  10. MoO x thin films deposited by magnetron sputtering as an anode for aqueous micro-supercapacitors.

    PubMed

    Liu, Can; Li, Zhengcao; Zhang, Zhengjun

    2013-12-01

    In order to examine the potential application of non-stoichiometric molybdenum oxide as anode materials for aqueous micro-supercapacitors, conductive MoO x films (2 ⩽ x ⩽ 2.3) deposited via RF magnetron sputtering at different temperatures were systematically studied for composition, structure and electrochemical properties in an aqueous solution of Li 2 SO 4 . The MoO x ( x ≈ 2.3) film deposited at 150 °C exhibited a higher areal capacitance (31 mF cm -2 measured at 5 mV s -1 ), best rate capability and excellent stability at potentials below -0.1 V versus saturated calomel electrode, compared to the films deposited at room temperature and at higher temperatures. These superior properties were attributed to the multi-valence composition and mixed-phase microstructure, i.e., the coexistence of MoO 2 nanocrystals and amorphous MoO x (2.3 < x ⩽ 3). A mechanism combining Mo(IV) oxidation/reduction on the hydrated MoO 2 grain surfaces and cation intercalation/extrusion is proposed to illustrate the pseudo-capacitive process.

  11. Mechanical and physicochemical properties of AlN thin films obtained by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Cibert, C.; Tétard, F.; Djemia, P.; Champeaux, C.; Catherinot, A.; Tétard, D.

    2004-10-01

    AlN thin films have been deposited on Si(100) substrates by a pulsed laser deposition method. The deposition parameters (pressure, temperature, purity of target) play an important role in the mechanical and physicochemical properties. The films have been characterized using X-ray diffraction, atomic force microscopy, Brillouin light scattering, Fourier transform infrared spectroscopy and wettability testing. With a high purity target of AlN and a temperature deposition of 750 ∘C, the measured Rayleigh wave velocity is close to the one previously determined for AlN films grown at high temperature by metal-organic chemical vapour deposition. Growth of nanocrystalline AlN at low temperature and of AlN film with good crystallinity for samples deposited at higher temperature is confirmed by infrared spectroscopy, as it was by atomic force microscopy, in agreement with X-ray diffraction results. A high hydrophobicity has been measured with zero polar contribution for the surface energy. These results confirm that films made by pulsed laser deposition of pure AlN at relatively low temperature have good prospects for microelectromechanical systems applications.

  12. Influence of temperature on the CuIn1-xGaxSe2films deposited by picosecond laser ablation

    NASA Astrophysics Data System (ADS)

    Sima, Cornelia; Toma, Ovidiu

    2017-12-01

    The goal of this study is to investigate the influence of the deposition temperature on the CuIn1-xGaxSe2 (CIGS-copper indium gallium diselenide) film characteristics deposited by picosecond laser ablation method using a Nd:YVO4 laser (8 ps, 0.2 W, 50 kHz, 532 nm; 5.7 mJ/cm2; 36 × 107 pulses). The films were deposited starting from a CuIn0.7Ga0.3Se2 target, in vacuum at 3 × 10-5 Torr for 2 h, at room temperature (RT) and 100/200/300/400 °C substrate temperature; as substrate, optical glass was used. Structure, film morphology, composition and optical properties were investigated by X ray diffraction, scanning electron microscopy (energy dispersive X ray spectroscopy), spectroscopic ellipsometry and optical spectrophotometry. CIGS crystalline films have the dominant peak corresponding to (112) direction more pronounced starting with 200 °C deposition temperature. The thickness gradually decreased with temperature increasing, being 1.44 μm at RT and 0.72 μm at 400 °C; atomic composition in the case of In, Ga, Se increased after annealing, while in the case of Cu it decreased comparing with RT; refractive indices exhibited a short decreasing tendency by increasing the deposition temperature, while the optical band gap values for CuIn0.7Ga0.3Se2 laser ablated thin films increased.

  13. Dense nanocrystalline yttrium iron garnet films formed at room temperature by aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Scooter D., E-mail: scooter.johnson@nrl.navy.mil; Glaser, Evan R.; Cheng, Shu-Fan

    Highlights: • We deposit yttrium iron garnet films at room temperature using aerosol deposition. • Films are 96% of theoretical density for yttrium iron garnet. • We report magnetic and structural properties post-deposition and post-annealing. • Low-temperature annealing decreases the FMR linewidth. • We discuss features of the FMR spectra at each anneal temperature. - Abstract: We have employed aerosol deposition to form polycrystalline yttrium iron garnet (YIG) films on sapphire at room temperature that are 90–96% dense. We characterize the structural and dynamic magnetic properties of the dense films using scanning electron microscopy, X-ray diffraction, and ferromagnetic resonance techniques.more » We find that the as-deposited films are pure single-phase YIG formed of compact polycrystallites ∼20 nm in size. The ferromagnetic resonance mode occurs at 2829 G with a linewidth of 308 G. We perform a series of successive anneals up to 1000 °C on a film to explore heat treatment on the ferromagnetic resonance linewidth. We find the narrowest linewidth of 98 G occurs after a 750 °C anneal.« less

  14. Dialkyldiselenophosphinato-metal complexes - a new class of single source precursors for deposition of metal selenide thin films and nanoparticles

    NASA Astrophysics Data System (ADS)

    Malik, Sajid N.; Akhtar, Masood; Revaprasadu, Neerish; Qadeer Malik, Abdul; Azad Malik, Mohammad

    2014-08-01

    We report here a new synthetic approach for convenient and high yield synthesis of dialkyldiselenophosphinato-metal complexes. A number of diphenyldiselenophosphinato-metal as well as diisopropyldiselenophosphinato-metal complexes have been synthesized and used as precursors for deposition of semiconductor thin films and nanoparticles. Cubic Cu2-xSe and tetragonal CuInSe2 thin films have been deposited by AACVD at 400, 450 and 500 °C whereas cubic PbSe and tetragonal CZTSe thin films have been deposited through doctor blade method followed by annealing. SEM investigations revealed significant differences in morphology of the films deposited at different temperatures. Preparation of Cu2-xSe and In2Se3 nanoparticles using diisopropyldiselenophosphinato-metal precursors has been carried out by colloidal method in HDA/TOP system. Cu2-xSe nanoparticles (grown at 250 °C) and In2Se3 nanoparticles (grown at 270 °C) have a mean diameter of 5.0 ± 1.2 nm and 13 ± 2.5 nm, respectively.

  15. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dimitrakellis, P.; Amanatides, E., E-mail: lef@plasmatech.gr; Mataras, D.

    2016-07-15

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and themore » drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the

  16. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    NASA Astrophysics Data System (ADS)

    Dimitrakellis, P.; Kalampounias, A. G.; Spiliopoulos, N.; Amanatides, E.; Mataras, D.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-07-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  17. Deposition and characterization of spray pyrolysed p-type Cu2SnS3 thin film for potential absorber layer of solar cell

    NASA Astrophysics Data System (ADS)

    Thiruvenkadam, S.; Sakthi, P.; Prabhakaran, S.; Chakravarty, Sujay; Ganesan, V.; Rajesh, A. Leo

    2018-06-01

    Thin film of ternary Cu2SnS3 (CTS), a potential absorber layer for solar cells was successfully deposited by chemical spray pyrolysis technique. The GIXRD pattern revealed that the film having tetragonal Cu2SnS3 phase with the preferential orientation along (112), (200), (220) and (312) plane and it is further confirmed using Raman spectroscopy by the existence of Raman peak at 320 cm-1. Atomic Force Microscopy (AFM) was used to estimate the surface roughness of 28.8 nm. The absorption coefficient was found to be greater than the order of 105 cm-1 and bandgap of 1.70 eV. Hall effect measurement indicates the p type nature of the film with a hole concentration of 1.03 × 1016cm-3 and a hall mobility of 404 cm2/V. The properties of CTS thin film confirmed suitable to be a potential absorber layer material for photovoltaic applications.

  18. Tuning of the Morphology and Optoelectronic Properties of ZnO/P3HT/P3HT- b-PEO Hybrid Films via Spray Deposition Method.

    PubMed

    Wang, Kun; Bießmann, Lorenz; Schwartzkopf, Matthias; Roth, Stephan V; Müller-Buschbaum, Peter

    2018-06-20

    The self-assembly of amphiphilic diblock copolymers yields the possibility of using them as a template for tailoring the film morphologies of sol-gel chemistry-derived inorganic electron transport materials, such as mesoporous ZnO and TiO 2 . However, additional steps including etching and backfilling are required for the common bulk heterojunction fabrication process when using insulating diblock copolymers. Here, we use the conducting diblock copolymer poly(3-hexylthiophene)- block-poly(ethylene oxide) (P3HT- b-PEO) in which P3HT acts as charge carrier transport material and light absorber, whereas PEO serves as a template for ZnO synthesis. The initial solution is subsequently spray-coated to obtain the hybrid film. Scanning electron microscopy and grazing-incidence small-angle X-ray scattering measurements reveal a significant change in the morphology of the hybrid films during deposition. Optoelectronic properties illustrate the improved charge separation and charge transfer process. Both the amount of the diblock copolymer and the annealing temperature play an important role in tuning the morphology and the optoelectronic properties. Hybrid films being sprayed from a solution with the ratio of ω ZnO , ω P3HT , and ω P3HT- b-PEO of 2:1:1 and subsequent annealing at 80 °C show the most promising morphology combined with an optimal photoluminescence quenching. Thus, the presented simple, reagent- and energy-saving fabrication method provides a promising approach for a large-scale preparation of bulk heterojunction P3HT/ZnO films on flexible substrates.

  19. Effect of Backbone Chemistry on the Structure of Polyurea Films Deposited by Molecular Layer Deposition

    DOE PAGES

    Bergsman, David S.; Closser, Richard G.; Tassone, Christopher J.; ...

    2017-01-01

    An experimental investigation into the growth of polyurea films by molecular layer deposition was performed by examining trends in the growth rate, crystallinity, and orientation of chains as a function of backbone flexibility. Growth curves obtained for films containing backbones of aliphatic and phenyl groups indicate that an increase in backbone flexibility leads to a reduction in growth rate from 4 to 1 Å/cycle. Crystallinity measurements collected using grazing incidence X-ray diffraction and Fourier transform infrared spectroscopy suggest that some chains form paracrystalline, out-of-plane stacks of polymer segments with packing distances ranging from 4.4 to 3.7 Å depending on themore » monomer size. Diffraction intensity is largely a function of the homogeneity of the backbone. Near-edge X-ray absorption fine structure measurements for thin and thick samples show an average chain orientation of ~25° relative to the substrate across all samples, suggesting that changes in growth rate are not caused by differences in chain angle but instead may be caused by differences in the frequency of chain terminations. In conclusion, these results suggest a model of molecular layer deposition-based chain growth in which films consist of a mixture of upward growing chains and horizontally aligned layers of paracrystalline polymer segments.« less

  20. Vacuum-integrated electrospray deposition for highly reliable polymer thin film.

    PubMed

    Park, Soohyung; Lee, Younjoo; Yi, Yeonjin

    2012-10-01

    Vacuum electrospray deposition (ESD) equipment was designed to prepare polymer thin films. The polymer solution can be injected directly into vacuum system through multi-stage pumping line, so that the solvent residues and ambient contaminants are highly reduced. To test the performance of ESD system, we fabricated organic photovoltaic cells (OPVCs) by injecting polymer solution directly onto the substrate inside a high vacuum chamber. The OPVC fabricated has the structure of Al∕P3HT:PCBM∕PEDOT:PSS∕ITO and was optimized by varying the speed of solution injection and concentration of the solution. The power conversion efficiency (PCE) of the optimized OPVC is 3.14% under AM 1.5G irradiation without any buffer layer at the cathode side. To test the advantages of the vacuum ESD, we exposed the device to atmosphere between the deposition steps of the active layer and cathode. This showed that the PCE of the vacuum processed device is 24% higher than that of the air exposed device and confirms the advantages of the vacuum prepared polymer film for high performance devices.