Sample records for ysz epitaxial layers

  1. Anomalous Epitaxial Growth in Thermally Sprayed YSZ and LZ Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-Jun

    2017-08-01

    Thermally sprayed coatings are essentially layered materials, and lamellar interfaces are of great importance to coatings' performances. In the present study, to investigate the microstructures and defect features at thermally sprayed coating interfaces, homoepitaxial 8 mol.% yttria-stabilized zirconia (YSZ) and heteroepitaxial lanthanum zirconia (LZ) films were fabricated. The epitaxial interfaces were examined by high-resolution transmission electron microscope (HR-TEM) in detail. As a result, we report, for the first time, an anomalous incommensurate homoepitaxial growth with mismatch-induced dislocations in thermally sprayed YSZ splats to create a homointerface. We also find the anomalous heteroepitaxial growth in thermally sprayed LZ splats. The mechanism of the anomalous incommensurate growth was analyzed in detail. Essentially, it is a pseudo-heteroepitaxy because of the lattice mismatch between the film and the locally heated substrate, as the locally heated substrate is significantly strained by its cold surroundings. Moreover, the super-high-density dislocations were found in the interfacial region, which resulted from sufficient thermal fluctuations and extremely rapid cooling rates. Both the anomalous lattice mismatch and super-high-density dislocations lead to weak interfaces and violent cracking in thermally sprayed coatings. These were also the essential differences between the conventional and the present epitaxy by thermal spray technique.

  2. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  3. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE PAGES

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.; ...

    2016-11-18

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  4. Epitaxial growth of YBa2Cu3O7 - delta films on oxidized silicon with yttria- and zirconia-based buffer layers

    NASA Astrophysics Data System (ADS)

    Pechen, E. V.; Schoenberger, R.; Brunner, B.; Ritzinger, S.; Renk, K. F.; Sidorov, M. V.; Oktyabrsky, S. R.

    1993-09-01

    A study of epitaxial growth of YBa2Cu3O7-δ films on oxidized Si with yttria- and zirconia-based buffer layers is reported. Using substrates with either SiO2 free or naturally oxidized (100) surfaces of Si it was found that a thin SiO2 layer on top of the Si favors high-quality superconducting film formation. Compared to yttria-stabilized ZrO2 (YSZ) single layers, YSZY2O3 double and YSZ/Y2O3YSZ triple layers allows the deposition of thin YBa2Cu3O7-δ films with improved properties including reduced aging effects. In epitaxial YBa2Cu3O7-δ films grown on the double buffer layers a critical temperature Tc(R=0)=89.5 K and critical current densities of 3.5×106 A/cm2 at 77 K and 1×107 A/cm2 at 66 K were reached.

  5. Electrophoretic deposition of bi-layered LSM/LSM-YSZ cathodes for solid oxide fuel cell

    NASA Astrophysics Data System (ADS)

    Itagaki, Yoshiteru; Watanabe, Shinji; Yamaji, Tsuyoshi; Asamoto, Makiko; Yahiro, Hidenori; Sadaoka, Yoshihiko

    2012-09-01

    Bi-layered cathodes with the LSM/LSM-YSZ structure for solid oxide fuel cells were successfully formed on the carbon-sputtered surface of a YSZ sheet by electrophoretic deposition (EPD). The thicknesses of the first layer of LSM-YSZ (LY) and the second layer of La0.8Sr0.2MnO3 (LSM) could be controlled by adjusting the deposition time in the EPD process. The cathodic properties of the bi-layered structures were superior to those of the mono-layered structures, and were dependent on the thickness of each layer. Decreasing the thickness of the first layer and increasing that of the second layer tended to reduce both polarization and ohmic resistances. The optimal thickness of the first layer at the operating temperature of 600 °C was 4 μm, suggesting that an effective three-phase boundary was extended from the interface between the electrolyte and cathode film to around 4 μm thickness.

  6. Stress-induced magnetization for epitaxial spinel ferrite films through interface engineering

    NASA Astrophysics Data System (ADS)

    Wakiya, Naoki; Shinozaki, Kazuo; Mizutani, Nobuyasu

    2004-08-01

    This study found "stress-induced magnetization" for epitaxial ferrite films with spinel structure. We grew (111)- and (001)-epitaxial Ni0.17Zn0.23Fe2.60O4(NZF) films on CeO2/Y0.15Zr0.85O1.93(YSZ )/Si(001) and oxide single-crystal substrates, respectively. There is a window of lattice mismatch (between 0 and 6.5%) to achieve bulk saturation magnetization (Ms). An NZF film grown on CeO2/YSZ //Si(001) showed tensile stress, but that stress was relaxed by introducing a ZnCo2O4(ZC ) buffer layer. NZF films grown on SrTiO3(ST )(001) and (La,Sr)(Al,Ta)O3(LSAT)(001) had compressive stress, which was enhanced by introducing a ZC buffer layer. In both cases, bulk Ms was achieved by introducing the ZC buffer layer. This similarity suggests that magnetization can be controlled by the stress.

  7. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  8. Nanosecond laser switching of surface wettability and epitaxial integration of c-axis ZnO thin films with Si(111) substrates.

    PubMed

    Molaei, R; Bayati, M R; Alipour, H M; Estrich, N A; Narayan, J

    2014-01-08

    We have achieved integration of polar ZnO[0001] epitaxial thin films with Si(111) substrates where cubic yttria-stabilized zirconia (c-YSZ) was used as a template on a Si(111) substrate. Using XRD (θ-2θ and φ scans) and HRTEM techniques, the epitaxial relationship between the ZnO and the c-YSZ layers was shown to be [0001]ZnO || [111]YSZ and [21¯1¯0]ZnO || [1¯01](c-YSZ), where the [21¯1¯0] direction lies in the (0001) plane, and the [1¯01] direction lies in the (111) plane. Similar studies on the c-YSZ/Si interface revealed epitaxy as (111)YSZ || (111)Si and in-plane (110)YSZ || (110)Si. HRTEM micrographs revealed atomically sharp and crystallographically continuous interfaces. The ZnO epilayers were subsequently laser annealed by a single pulse of a nanosecond excimer KrF laser. It was shown that the hydrophobic behavior of the pristine sample became hydrophilic after laser treatment. XPS was employed to study the effect of laser treatment on surface stoichiometry of the ZnO epilayers. The results revealed the formation of oxygen vacancies, which are envisaged to control the observed hydrophilic behavior. Our AFM studies showed surface smoothing due to the coupling of the high energy laser beam with the surface. The importance of integration of c-axis ZnO with Si(111) substrates is emphasized using the paradigm of domain matching epitaxy on the c-YSZ[111] buffer platform along with their out-of-plane orientation, which leads to improvement of the performance of the solid-state devices. The observed ultrafast response and switching in photochemical characteristics provide new opportunities for application of ZnO in smart catalysts, sensors, membranes, DNA self-assembly and multifunctional devices.

  9. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  10. Strained-layer epitaxy of germanium-silicon alloys

    NASA Astrophysics Data System (ADS)

    Bean, J. C.

    1985-10-01

    Strained-layer epitaxy is presented as a developing technique for combining Si with other materials in order to obtain semiconductors with enhanced electronic properties. The method involves applying layers sufficiently thin so that the atoms deposited match the bonding configurations of the substrate crystal. When deposited on Si, a four-fold bonding pattern is retained, with a lowered interfacial energy and augmented stored strain energy in the epitaxial layer. The main problem which remains is building an epitaxial layer thick enough to yield desired epitaxial properties while avoiding a reversion to an unstrained structure. The application of a Ge layer to Si using MBE is described, along with the formation of heterojunction multi-layer superlattices, which can reduce the dislocation effects in some homojunctions. The technique shows promise for developing materials of use as bipolar transistors, optical detectors and fiber optic transmission devices.

  11. Growth and micro structural studies on Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) buffer layers

    NASA Technical Reports Server (NTRS)

    Srinivas, S.; Pinto, R.; Pai, S. P.; Dsousa, D. P.; Apte, P. R.; Kumar, D.; Purandare, S. C.; Bhatnagar, A. K.

    1995-01-01

    Microstructure of Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) of radio frequency magnetron sputtered buffer layers was studied at various sputtering conditions on Si (100), Sapphire and LaAlO3 (100) substrates. The effect of substrate temperatures up to 800 C and sputtering gas pressures in the range of 50 mTorr. of growth conditions was studied. The buffer layers of YSZ and STO showed a strong tendency for columnar growth was observed above 15 mTorr sputtering gas pressure and at high substrate temperatures. Post annealing of these films in oxygen atmosphere reduced the oxygen deficiency and strain generated during growth of the films. Strong c-axis oriented superconducting YBa2Cu3O7-x (YBCO) thin films were obtained on these buffer layers using pulsed laser ablation technique. YBCO films deposited on multilayers of YSZ and STO were shown to have better superconducting properties.

  12. Improved Epitaxy and Surface Morphology in YBa2Cu3Oy Thin Films Grown on Double Buffered Si Wafers

    NASA Astrophysics Data System (ADS)

    Gao, J.; Kang, L.; Wong, H. Y.; Cheung, Y. L.; Yang, J.

    Highly epitaxial thin films of YBCO have been obtained on silicon wafers using a Eu2CuO4/YSZ (yttrium-stabilized ZrO2) double buffer. Our results showed that application of such a double buffer can significantly enhance the epitaxy of grown YBCO. It also leads to an excellent surface morphology. The average surface roughness was found less than 5 nm in a large range. The results of X-ray small angle reflection and positron spectroscpy demonstrate a very clear and flat interface between YBCO and buffer layers. The Eu2CuO4/YSZ double buffer could be promising for coating high-TC superconducting films on various reactive substrates.

  13. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  14. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  15. Semiconductor to Metal Transition Characteristics of VO2/NiO Epitaxial Heterostructures Integrated with Si(100)

    NASA Astrophysics Data System (ADS)

    Molaei, Roya

    The novel functionalities of Vanadium dioxide (VO2), such as, several orders of magnitude transition in resistivity and IR transmittance, provide the exciting opportunity for the development of next generation memory, sensor, and field-effect based devices. A critical issue in the development of practical devices based on metal oxides is the integration of high quality epitaxial oxide thin films with the existing silicon technology which is based on silicon (100) substrates. However, silicon is not suitable for epitaxial growth of oxides owing to its tendency to readily form an amorphous oxide layer or silicide at the film-substrate interface. The oxide films deposited directly on silicon exhibit poor crystallinity and are not suitable for device applications. To overcome this challenge, appropriate substrate templates must be developed for the growth of oxide thin films on silicon substrates. The primary objective of this dissertation was to develop an integration methodology of VO2 with Si (100) substrates so they could be used in "smart" sensor type of devices along with other multifunctional devices on the same silicon chip. This was achieved by using a NiO/c- YSZ template layer deposited in situ. It will be shown that if the deposition conditions are controlled properly. This approach was used to integrate VO 2 thin films with Si (100) substrates using pulsed laser deposition (PLD) technique. The deposition methodology of integrating VO2 thin films on silicon using various other template layers will also be discussed. Detailed epitaxial relationship of NiO/c-YSZ/Si(100) heterostructures as a template to growth of VO2 as well as were studied. We also were able to create a p-n junction within a single NiO epilayer through subsequent nanosecond laser annealing, as well as established a structure-property correlation in NiO/c-YSZ/Si(100) thin film epitaxial heterostructures with especial emphasis on the stoichiometry and crystallographic characteristics. NiO/c-YSZ

  16. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  17. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  18. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  19. Epitaxial Fe/Y2O3 interfaces as a model system for oxide-dispersion-strengthened ferritic alloys

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaspar, Tiffany C.; Bowden, Mark E.; Wang, Chong M.

    2015-02-01

    The fundamental mechanisms underlying the superior radiation tolerance properties of oxide-dispersion-strengthened ferritic steels and nanostructured ferritic alloys are poorly understood. Thin film heterostructures of Fe/Y2O3 can serve as a model system for fundamental studies of radiation damage. Epitaxial thin films of Y2O3 were deposited by pulsed laser deposition on 8% Y:ZrO2 (YSZ) substrates with (100), (110), and (111) orientation. Metallic Fe was subsequently deposited by molecular beam epitaxy. Characterization by x-ray diffraction and Rutherford backscattering spectrometry in the channeling geometry revealed a degree of epitaxial or axiotaxial ntation for Fe(211) deposited on Y2O3(110)/YSZ(110). In contrast, Fe on Y2O3(111)/YSZ(111) was fullymore » polycrystalline, and Fe on Y2O3(100)/YSZ(100) exhibited out-of-plane texture in the [110] direction with little or no preferential in-plane orientation. Scanning transmission electron microscopy imaging of Fe(211)/Y2O3(110)/YSZ(110) revealed a strongly islanded morphology for the Fe film, with no epitaxial grains visible in the cross-sectional sample. Well-ordered Fe grains with no orientation to the underlying Y2O3 were observed. Well-ordered crystallites of Fe with both epitaxial and non-epitaxial orientations on Y2O3 are a promising model system for fundamental studies of radiation damage phenomena. This is illustrated with preliminary results of He bubble formation following implantation with a helium ion microscope. He bubble formation is shown to preferentially occur at the Fe/Y2O3 interface.« less

  20. Interface engineering in epitaxial growth of layered oxides via a conducting layer insertion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Yu; Meng, Dechao; Wang, Jianlin

    2015-07-06

    There is a long-standing challenge in the fabrication of layered oxide epitaxial films due to their thermodynamic phase-instability and the large stacking layer number. Recently, the demand for high-quality thin films is strongly pushed by their promising room-temperature multiferroic properties. Here, we find that by inserting a conducting and lattice matched LaNiO{sub 3} buffer layer, high quality m = 5 Bi{sub 6}FeCoTi{sub 3}O{sub 18} epitaxial films can be fabricated using the laser molecular beam epitaxy, in which the atomic-scale sharp interface between the film and the metallic buffer layer explains the enhanced quality. The magnetic and ferroelectric properties of the high qualitymore » Bi{sub 6}FeCoTi{sub 3}O{sub 18} films are studied. This study demonstrates that insertion of the conducting layer is a powerful method in achieving high quality layered oxide thin films, which opens the door to further understand the underline physics and to develop new devices.« less

  1. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, Darrell G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong; Singh, Rakesh K.; Xi, Xiaoxing

    2017-12-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+δ, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy significantly advances the state of the art in constructing oxide materials with atomic layer precision and control over stoichiometry. With atomic layer-by-layer laser molecular-beam epitaxy we have produced conducting LaAlO3/SrTiO3 interfaces at high oxygen pressures that show no evidence of oxygen vacancies, a capability not accessible by existing techniques. The carrier density of the interfacial two-dimensional electron gas thus obtained agrees quantitatively with the electronic reconstruction mechanism.

  2. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    NASA Astrophysics Data System (ADS)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  3. Surface morphological evolution of epitaxial CrN(001) layers

    NASA Astrophysics Data System (ADS)

    Frederick, J. R.; Gall, D.

    2005-09-01

    CrN layers, 57 and 230 nm thick, were grown on MgO(001) at Ts=600-800 °C by ultrahigh-vacuum magnetron sputter deposition in pure N2 discharges from an oblique deposition angle α=80°. Layers grown at 600 °C nucleate as single crystals with a cube-on-cube epitaxial relationship with the substrate. However, rough surfaces with cauliflower-type morphologies cause the nucleation of misoriented CrN grains that develop into cone-shaped grains that protrude out of the epitaxial matrix to form triangular faceted surface mounds. The surface morphology of epitaxial CrN(001) grown at 700 °C is characterized by dendritic ridge patterns extending along the orthogonal <110> directions superposed by square-shaped super mounds with <100> edges. The ridge patterns are attributed to a Bales-Zangwill instability while the supermounds form due to atomic shadowing which leads to the formation of epitaxial inverted pyramids that are separated from the surrounding layer by tilted nanovoids. Growth at 800 °C yields complete single crystals with smooth surfaces. The root-mean-square surface roughness for 230-nm-thick layers decreases from 18.8 to 9.3 to 1.1 nm as Ts is raised from 600 to 700 to 800 °C. This steep decrease is due to a transition in the roughening mechanism from atomic shadowing to kinetic roughening. Atomic shadowing is dominant at 600 and 700 °C, where misoriented grains and supermounds, respectively, capture a larger fraction of the oblique deposition flux in comparison to the surrounding epitaxial matrix, resulting in a high roughening rate that is described by a power law with an exponent β>0.5. In contrast, kinetic roughening controls the surface morphology for Ts=800 °C, as well as the epitaxial fraction of the layers grown at 600 and 700 °C, yielding relatively smooth surfaces and β<=0.27.

  4. Interface magnetic anisotropy for monatomic layer-controlled Co/Ni epitaxial multilayers

    NASA Astrophysics Data System (ADS)

    Shioda, A.; Seki, T.; Shimada, J.; Takanashi, K.

    2015-05-01

    The magnetic properties for monatomic layer (ML)-controlled Co/Ni epitaxial multilayers were investigated in order to evaluate the interface magnetic anisotropy energy (Ks) between Ni and Co layers. The Co/Ni epitaxial multilayers were prepared on an Al2O3 (11-20) substrate with V/Au buffer layers. The value of Ks was definitely larger than that for the textured Co/Ni grown on a thermally oxidized Si substrate. We consider that the sharp interface for the epitaxial Co/Ni played a role to increase the value of Ks, which also enabled us to obtain perpendicular magnetization even for the 1 ML-Co/1 ML-Ni multilayer.

  5. Buffer layers on metal surfaces having biaxial texture as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /Ni, (RE=Rare Earth), RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /CeO.sub.2 /Ni, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /CeO.sub.2 /Cu, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approaches, which include chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  6. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vu, Hien Thu; Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn; Inorganic Materials Science

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectricmore » properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity

  7. Buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /CeO.sub.2 /Ni, RE.sub.2 O.sub.3 /Ni (RE=Rare Earth), and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /CeO.sub.2 /Cu, RE.sub.2 O.sub.3 /Cu, and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approach, which includes chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  8. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  9. High-quality crystalline yttria-stabilized-zirconia thin layer for photonic applications

    NASA Astrophysics Data System (ADS)

    Marcaud, Guillaume; Matzen, Sylvia; Alonso-Ramos, Carlos; Le Roux, Xavier; Berciano, Mathias; Maroutian, Thomas; Agnus, Guillaume; Aubert, Pascal; Largeau, Ludovic; Pillard, Valérie; Serna, Samuel; Benedikovic, Daniel; Pendenque, Christopher; Cassan, Eric; Marris-Morini, Delphine; Lecoeur, Philippe; Vivien, Laurent

    2018-03-01

    Functional oxides are considered as promising materials for photonic applications due to their extraordinary and various optical properties. Especially, yttria-stabilized zirconia (YSZ) has a high refractive index (˜2.15), leading to a good confinement of the optical mode in waveguides. Furthermore, YSZ can also be used as a buffer layer to expand toward a large family of oxides-based thin-films heterostructures. In this paper, we report a complete study of the structural properties of YSZ for the development of integrated optical devices on sapphire in telecom wavelength range. The substrate preparation and the epitaxial growth using pulsed-laser deposition technique have been studied and optimized. High-quality YSZ thin films with remarkably sharp x-ray diffraction rocking curve peaks in 10-3∘ range have then been grown on sapphire (0001). It was demonstrated that a thermal annealing of sapphire substrate before the YSZ growth allowed controlling the out-of-plane orientation of the YSZ thin film. Single-mode waveguides were finally designed, fabricated, and characterized for two different main orientations of high-quality YSZ (001) and (111). Propagation loss as low as 2 dB/cm at a wavelength of 1380 nm has been demonstrated for both orientations. These results pave the way for the development of a functional oxides-based photonics platform for numerous applications including on-chip optical communications and sensing.

  10. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  11. Process for forming epitaxial perovskite thin film layers using halide precursors

    DOEpatents

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  12. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  13. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  14. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  15. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  16. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  17. Graphene Substrate for van der Waals Epitaxy of Layer-Structured Bismuth Antimony Telluride Thermoelectric Film.

    PubMed

    Kim, Eun Sung; Hwang, Jae-Yeol; Lee, Kyu Hyoung; Ohta, Hiromichi; Lee, Young Hee; Kim, Sung Wng

    2017-02-01

    Graphene as a substrate for the van der Waals epitaxy of 2D layered materials is utilized for the epitaxial growth of a layer-structured thermoelectric film. Van der Waals epitaxial Bi 0.5 Sb 1.5 Te 3 film on graphene synthesized via a simple and scalable fabrication method exhibits good crystallinity and high thermoelectric transport properties comparable to single crystals. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    NASA Astrophysics Data System (ADS)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  19. Epitaxially Self-Assembled Alkane Layers for Graphene Electronics.

    PubMed

    Yu, Young-Jun; Lee, Gwan-Hyoung; Choi, Ji Il; Shim, Yoon Su; Lee, Chul-Ho; Kang, Seok Ju; Lee, Sunwoo; Rim, Kwang Taeg; Flynn, George W; Hone, James; Kim, Yong-Hoon; Kim, Philip; Nuckolls, Colin; Ahn, Seokhoon

    2017-02-01

    The epitaxially grown alkane layers on graphene are prepared by a simple drop-casting method and greatly reduce the environmentally driven doping and charge impurities in graphene. Multiscale simulation studies show that this enhancement of charge homogeneity in graphene originates from the lifting of graphene from the SiO 2 surface toward the well-ordered and rigid alkane self-assembled layers. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. In situ monitoring of atomic layer epitaxy via optical ellipsometry

    NASA Astrophysics Data System (ADS)

    Lyzwa, F.; Marsik, P.; Roddatis, V.; Bernhard, C.; Jungbauer, M.; Moshnyaga, V.

    2018-03-01

    We report on the use of time-resolved optical ellipsometry to monitor the deposition of single atomic layers with subatomic sensitivity. Ruddlesden-Popper thin films of SrO(SrTiO3) n=4 were grown by means of metalorganic aerosol deposition in the atomic layer epitaxy mode on SrTiO3(1 0 0), LSAT(1 0 0) and DyScO3(1 1 0) substrates. The measured time dependences of ellipsometric angles, Δ(t) and Ψ(t), were described by using a simple optical model, considering the sequence of atomic layers SrO and TiO2 with corresponding bulk refractive indices. As a result, valuable online information on the atomic layer epitaxy process was obtained. Ex situ characterization techniques, i.e. transmission electron microscopy, x-ray diffraction and x-ray reflectometry verify the crystal structure and confirm the predictions of optical ellipsometry.

  1. Depositing spacing layers on magnetic film with liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sanfort, R. M.

    1975-01-01

    Liquid phase epitaxy spacing layer is compatible with systems which are hard-bubble proofed by use of second magnetic garnet film as capping layer. Composite is superior in that: circuit fabrication time is reduced; adherence is superior; visibility is better; and, good match of thermal expansion coefficients is provided.

  2. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers.

    PubMed

    Jana, Dipankar; Porwal, S; Sharma, T K; Kumar, Shailendra; Oak, S M

    2014-04-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates.

  3. Molecular Beam Epitaxy of Layered Material Superlattices and Heterostructures

    NASA Astrophysics Data System (ADS)

    Vishwanath, Suresh; Liu, Xinyu; Rouvimov, Sergei; Furdyna, Jacek K.; Jena, Debdeep; Xing, Huili Grace

    2014-03-01

    Stacking of various layered materials is being pursued widely to realize various devices and observe novel physics. Mostly, these have been limited to exfoliation and stacking either manually or in solution, where control on rotational alignment or order of stacking is lost. We have demonstrated molecular beam epitaxy (MBE) growth of Bi2Se3/MoSe2 superlatticeand Bi2Se3/MoSe2/SnSe2 heterostructure on sapphire. We have achieved a better control on the order of stacking and number of layers as compared to the solution technique. We have characterized these structures using RHEED, Raman spectroscopy, XPS, AFM, X-ray reflectometry, cross-section (cs) and in-plane (ip) TEM. The rotational alignment is dictated by thermodynamics and is understood using ip-TEM diffraction patterns. Layered growth and long range order is evident from the streaky RHEED pattern. Abrupt change in RHEED pattern, clear demarcation of boundary between layers seen using cs-TEM and observation of Raman peaks corresponding to all the layers suggest van-der-waals epitaxy. In our knowledge this is a first demonstration of as grown superlattices and heterostuctures involving transition metal dichalcogenides and is an important step towards the goal of stacking of 2D crystals like lego blocks.

  4. Environmental Barrier Coatings Having a YSZ Top Coat

    NASA Technical Reports Server (NTRS)

    Lee, Kang N.; Gray, Hugh (Technical Monitor)

    2002-01-01

    Environmental barrier coatings (EBCs) with a Si bond coat, a yttria-stabilized zirconia (YSZ) top coat, and various intermediate coats were investigated. EBCs were processed by atmospheric pressure plasma spraying. The EBC durability was determined by thermal cycling tests in water vapor at 1300 C and 1400 C, and in air at 1400 C and 1500 C. EBCs with a mullite (3Al2O3 (dot) 2SiO2) + BSAS (1 - xBaO (dot) xSrO (dot) Al2O3 (dot) 2SiO2) intermediate coat were more durable than EBCs with a mullite intermediate coat, while EBCs with a mullite/BSAS duplex intermediate coat resulted in inferior durability. The improvement with a mullite + BSAS intermediate coat was attributed to enhanced compliance of the intermediate coat due to the addition of a low modulus BSAS second phase. Mullite + BSAS/YSZ and BSAS/YSZ interfaces produced a low melting (less than 1400 C) reaction product, which is expected to degrade the EBC performance by increasing the thermal conductivity. EBCs with a mullite + BSAS / graded mullite + YSZ intermediate coat showed the best durability among the EBCs investigated in this study. This improvement was attributed to diffused CTE (Coefficient of Thermal Expansion) mismatch stress and improved chemical stability due to the compositionally graded mullite+YSZ layer.

  5. Polypropylene Oil as a Fuel for Ni-YSZ | YSZ | LSCF Solid Oxide Fuel Cell

    NASA Astrophysics Data System (ADS)

    Pratiwi, Andini W.; Rahmawati, Fitria; Rochman, Refada A.; Syahputra, Rahmat J. E.; Prameswari, Arum P.

    2018-01-01

    This research aims to convert polypropylene plastic to polypropylene oil through pyrolysis method and use the polypropylene oil as fuel for Solid Oxide Fuel Cell, SOFC, to produce electricity. The material for SOFC single cell are Ni-YSZ, YSZ, and LSCF as anode, electrolyte and cathode, respectively. YSZ is yttria-stabilized-zirconia. Meanwhile, LSCF is a commercial La0.6Sr0.4Co0.2Fe0.8O3. The Ni-YSZ is a composite of YSZ with nickel powder. LSCF and Ni-YSZ slurry coated both side of YSZ electrolyte pellet through screen printing method. The result shows that, the produced polypropylene oil consist of C8 to C27 hydrocarbon chain. Meanwhile, a single cell performance test at 673 K, 773 K and 873 K with polypropylene oil as fuel, found that the maximum power density is 1.729 μW. cm-2 at 673 K with open circuit voltage value of 9.378 mV.

  6. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  7. Multilayer Thermal Barrier Coating (TBC) Architectures Utilizing Rare Earth Doped YSZ and Rare Earth Pyrochlores

    NASA Technical Reports Server (NTRS)

    Schmitt, Michael P.; Rai, Amarendra K.; Bhattacharya, Rabi; Zhu, Dongming; Wolfe, Douglas E.

    2014-01-01

    To allow for increased gas turbine efficiencies, new insulating thermal barrier coatings (TBCs) must be developed to protect the underlying metallic components from higher operating temperatures. This work focused on using rare earth doped (Yb and Gd) yttria stabilized zirconia (t' Low-k) and Gd2Zr2O7 pyrochlores (GZO) combined with novel nanolayered and thick layered microstructures to enable operation beyond the 1200 C stability limit of current 7 wt% yttria stabilized zirconia (7YSZ) coatings. It was observed that the layered system can reduce the thermal conductivity by approximately 45 percent with respect to YSZ after 20 hr of testing at 1316 C. The erosion rate of GZO is shown to be an order to magnitude higher than YSZ and t' Low-k, but this can be reduced by almost 57 percent when utilizing a nanolayered structure. Lastly, the thermal instability of the layered system is investigated and thought is given to optimization of layer thickness.

  8. Influence of miscut Y2O3-stabilized ZrO2 substrates on the azimuthal domain structure and ferroelectric properties of epitaxial La-substituted Bi4Ti3O12 films

    NASA Astrophysics Data System (ADS)

    Lee, Sung Kyun; Hesse, Dietrich; Gösele, Ulrich; Lee, Ho Nyung

    2006-09-01

    We have investigated the influence of both miscut angle and miscut direction of Y2O3-stabilized ZrO2 (YSZ) (100) single crystal substrates on the azimuthal domain structure of SrRuO3 electrode layers as well as of La-substituted Bi4Ti3O12 (BLT) ferroelectric thin films, both grown on these substrates by pulsed laser deposition. X-ray diffraction ϕ scan and pole figure characterizations revealed that the YSZ[011] miscut direction is more effective to uniformly reduce the number of azimuthal domain variants in the films than the YSZ[001] miscut direction. The BLT films on YSZ(100) substrates with miscut angle of 5° and [011] miscut direction involve only half the number of azimuthal domains, compared to the BLT films on exactly cut YSZ(100) substrates. Atomic force microscopy and plan-view transmission electron microscopy also confirmed that almost all BLT grains on these miscut YSZ(100) substrates are arranged along only two (out of four) specific azimuthal directions. The BLT films on YSZ(100) substrates with 5° miscut towards YSZ[011] showed an about 1.3 times higher remanent polarization (Pr=12.5μC /cm2) than the BLT films on exactly cut YSZ(100) substrates (Pr=9.5μC/cm2), due most probably to a lower areal density of azimuthal domain boundaries. It thus appears that reducing the structural domains can be an effective way to further enhance the ferroelectric properties of multiply twinned, epitaxial ferroelectric films.

  9. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  10. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  11. Carbon tolerance of Ni-Cu and Ni-Cu/YSZ sub-μm sized SOFC thin film model systems

    NASA Astrophysics Data System (ADS)

    Götsch, Thomas; Schachinger, Thomas; Stöger-Pollach, Michael; Kaindl, Reinhard; Penner, Simon

    2017-04-01

    Thin films of YSZ, unsupported Ni-Cu 1:1 alloy phases and YSZ-supported Ni-Cu 1:1 alloy solutions have been reproducibly prepared by magnetron sputter deposition on Si wafers and NaCl(001) single crystal facets at two selected substrate temperatures of 298 K and 873 K. Subsequently, the layer properties of the resulting sub-μm thick thin films as well as the tendency towards carbon deposition following treatment in pure methane at 1073 K has been tested comparatively. Well-crystallized structures of cubic YSZ, cubic NiCu and cubic NiCu/YSZ have been obtained following deposition at 873 K on both substrates. Carbon is deposited on all samples following the trend Ni-Cu (1:1) = Ni-Cu (1:1)/YSZ > pure YSZ, indicating that at least the 1:1 composition of layered Ni-Cu alloy phases is not able to suppress the carbon deposition completely, rendering it unfavorable for usage as anode component in sub-μm sized fuel cells. It is shown that surfaces with a high Cu/Ni ratio nevertheless prohibit any carbon deposition.

  12. Epitaxial GaN layers formed on langasite substrates by the plasma-assisted MBE method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lobanov, D. N., E-mail: dima@ipmras.ru; Novikov, A. V.; Yunin, P. A.

    2016-11-15

    In this publication, the results of development of the technology of the epitaxial growth of GaN on single-crystal langasite substrates La{sub 3}Ga{sub 5}SiO{sub 14} (0001) by the plasma-assisted molecular-beam epitaxy (PA MBE) method are reported. An investigation of the effect of the growth temperature at the initial stage of deposition on the crystal quality and morphology of the obtained GaN layer is performed. It is demonstrated that the optimal temperature for deposition of the initial GaN layer onto the langasite substrate is about ~520°C. A decrease in the growth temperature to this value allows the suppression of oxygen diffusion frommore » langasite into the growing layer and a decrease in the dislocation density in the main GaN layer upon its subsequent high-temperature deposition (~700°C). Further lowering of the growth temperature of the nucleation layer leads to sharp degradation of the GaN/LGS layer crystal quality. As a result of the performed research, an epitaxial GaN/LGS layer with a dislocation density of ~10{sup 11} cm{sup –2} and low surface roughness (<2 nm) is obtained.« less

  13. Improved radiation tolerance of MAPS using a depleted epitaxial layer

    NASA Astrophysics Data System (ADS)

    Dorokhov, A.; Bertolone, G.; Baudot, J.; Brogna, A. S.; Colledani, C.; Claus, G.; De Masi, R.; Deveaux, M.; Dozière, G.; Dulinski, W.; Fontaine, J.-C.; Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I.; Voutsinas, G.; Wagner, F. M.; Winter, M.

    2010-12-01

    Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) [1] have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) [2,3]. Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10 μm pitch device was found to be ˜1013 neq/cm2, while it was only 2×1012 neq/cm2 for a 20 μm pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10 14) n eq/cm 2. This goal relies on a fabrication process featuring a 15 μm thin, high resistivity ( ˜1 kΩ cm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages ( <5 V) is similar to the layer thickness. Measurements with m.i.p.s show that the charge collected in the seed pixel is at least twice larger for the depleted epitaxial layer than for the undepleted one, translating into a signal-to-noise ratio (SNR) of ˜50. Tests after irradiation have shown that this excellent performance is maintained up to the highest fluence considered ( 3×1013 neq/cm2), making evidence of a significant extension of the radiation tolerance limits of MAPS. Standing for minimum ionising particle.

  14. Orientation control and domain structure analysis of {100}-oriented epitaxial ferroelectric orthorhombic HfO{sub 2}-based thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Katayama, Kiliha; Shimizu, Takao; Sakata, Osami

    2016-04-07

    Orientation control of {100}-oriented epitaxial orthorhombic 0.07YO{sub 1.5}-0.93HfO{sub 2} films grown by pulsed laser deposition was investigated. To achieve in-plane lattice matching, indium tin oxide (ITO) and yttria-stabilized zirconia (YSZ) were selected as underlying layers. We obtained (100)- and (001)/(010)-oriented films on ITO and YSZ, respectively. Ferroelastic domain formation was confirmed for both films by X-ray diffraction using the superlattice diffraction that appeared only for the orthorhombic symmetry. The formation of ferroelastic domains is believed to be induced by the tetragonal–orthorhombic phase transition upon cooling the films after deposition. The present results demonstrate that the orientation of HfO{sub 2}-based ferroelectricmore » films can be controlled in the same manner as that of ferroelectric films composed of conventional perovskite-type material such as Pb(Zr, Ti)O{sub 3} and BiFeO{sub 3}.« less

  15. Diamagnetic to ferromagnetic switching in VO2 epitaxial thin films by nanosecond excimer laser treatment

    NASA Astrophysics Data System (ADS)

    Molaei, R.; Bayati, R.; Nori, S.; Kumar, D.; Prater, J. T.; Narayan, J.

    2013-12-01

    VO2(010)/NiO(111) epitaxial heterostructures were integrated with Si(100) substrates using a cubic yttria-stabilized zirconia (c-YSZ) buffer. The epitaxial alignment across the interfaces was determined to be VO2(010)‖NiO(111)‖c-YSZ(001)‖Si(001) and VO2[100]‖NiO⟨110⟩‖c-YSZ⟨100⟩‖Si⟨100⟩. The samples were subsequently treated by a single shot of a nanosecond KrF excimer laser. Pristine as-deposited film showed diamagnetic behavior, while laser annealed sample exhibited ferromagnetic behavior. The population of majority charge carriers (e-) and electrical conductivity increased by about two orders of magnitude following laser annealing. These observations are attributed to the introduction of oxygen vacancies into the VO2 thin films and the formation of V3+ defects.

  16. Effect of La2O3 addition on interface chemistry between 4YSZ top layer and Ni based alloy bond coat in thermal barrier coating by EB PVD.

    PubMed

    Park, Chan-Young; Yang, Young-Hwan; Kim, Seong-Won; Lee, Sung-Min; Kim, Hyung-Tae; Jang, Byung-Koog; Lim, Dae-Soon; Oh, Yoon-Suk

    2014-11-01

    The effect of a 5 mol% La2O3 addition on the forming behavior and compositional variation at interface between a 4 mol% Yttria (Y2O3) stabilized ZrO2 (4YSZ) top coat and bond coat (NiCrAlY) as a thermal barrier coating (TBC) has been investigated. Top coats were deposited by electron beam physical vapor deposition (EB PVD) onto a super alloy (Ni-Cr-Co-Al) substrate without pre-oxidation of the bond coat. Top coats are found to consist of dense columnar grains with a thin interdiffusion layer between metallic bond coats. In the as-received 4YSZ coating, a thin interdiffusion zone at the interface between the top and bond coats was found to consist of a Ni-Zr intermetallic compound with a reduced quantity of Y, Al or O elements. On the other hand, in the case of an interdiffusion area of 5 mol% La2O3-added 4YSZ coating, it was found that the complicated composition and structure with La-added YSZ and Ni-Al rich compounds separately. The thermal conductivity of 5 mol% La2O3-added 4YSZ coating (- 1.6 W/m x k at 1100 degrees C) was lower than a 4YSZ coating (- 3.2 W/m x k at 1100 degrees C) alone.

  17. Wafer-scale layer transfer of GaAs and Ge onto Si wafers using patterned epitaxial lift-off

    NASA Astrophysics Data System (ADS)

    Mieda, Eiko; Maeda, Tatsuro; Miyata, Noriyuki; Yasuda, Tetsuji; Kurashima, Yuichi; Maeda, Atsuhiko; Takagi, Hideki; Aoki, Takeshi; Yamamoto, Taketsugu; Ichikawa, Osamu; Osada, Takenori; Hata, Masahiko; Ogawa, Arito; Kikuchi, Toshiyuki; Kunii, Yasuo

    2015-03-01

    We have developed a wafer-scale layer-transfer technique for transferring GaAs and Ge onto Si wafers of up to 300 mm in diameter. Lattice-matched GaAs or Ge layers were epitaxially grown on GaAs wafers using an AlAs release layer, which can subsequently be transferred onto a Si handle wafer via direct wafer bonding and patterned epitaxial lift-off (ELO). The crystal properties of the transferred GaAs layers were characterized by X-ray diffraction (XRD), photoluminescence, and the quality of the transferred Ge layers was characterized using Raman spectroscopy. We find that, after bonding and the wet ELO processes, the quality of the transferred GaAs and Ge layers remained the same compared to that of the as-grown epitaxial layers. Furthermore, we realized Ge-on-insulator and GaAs-on-insulator wafers by wafer-scale pattern ELO technique.

  18. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  19. Investigation of low leakage current radiation detectors on n-type 4H-SiC epitaxial layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Khai V.; Chaudhuri, Sandeep K.; Mandal, Krishna C.

    2014-09-01

    The surface leakage current of high-resolution 4H-SiC epitaxial layer Schottky barrier detectors has been improved significantly after surface passivations of 4H-SiC epitaxial layers. Thin (nanometer range) layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) were deposited on 4H-SiC epitaxial layers using plasma enhanced chemical vapor deposition (PECVD) on 20 μm thick n-type 4H-SiC epitaxial layers followed by the fabrication of large area (~12 mm2) Schottky barrier radiation detectors. The fabricated detectors have been characterized through current-voltage (I-V), capacitance-voltage (C-V), and alpha pulse height spectroscopy measurements; the results were compared with that of detectors fabricated without surface passivations. Improved energy resolution of ~ 0.4% for 5486 keV alpha particles was observed after passivation, and it was found that the performance of these detectors were limited by the presence of macroscopic and microscopic crystal defects affecting the charge transport properties adversely. Capacitance mode deep level transient studies (DLTS) revealed the presence of a titanium impurity related shallow level defects (Ec-0.19 eV), and two deep level defects identified as Z1/2 and Ci1 located at Ec-0.62 and ~ Ec-1.40 eV respectively.

  20. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  1. Atomic layer deposition of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Wonjong; Cho, Gu Young; Noh, Seungtak

    2015-01-15

    An ultrathin yttria-stabilized zirconia (YSZ) blocking layer deposited by atomic layer deposition (ALD) was utilized for improving the performance and reliability of low-temperature solid oxide fuel cells (SOFCs) supported by an anodic aluminum oxide substrate. Physical vapor-deposited YSZ and gadolinia-doped ceria (GDC) electrolyte layers were deposited by a sputtering method. The ultrathin ALD YSZ blocking layer was inserted between the YSZ and GDC sputtered layers. To investigate the effects of an inserted ultrathin ALD blocking layer, SOFCs with and without an ultrathin ALD blocking layer were electrochemically characterized. The open circuit voltage (1.14 V) of the ALD blocking-layered SOFC was visiblymore » higher than that (1.05 V) of the other cell. Furthermore, the ALD blocking layer augmented the power density and improved the reproducibility.« less

  2. Fabrication of low-temperature solid oxide fuel cells with a nanothin protective layer by atomic layer deposition

    PubMed Central

    2013-01-01

    Anode aluminum oxide-supported thin-film fuel cells having a sub-500-nm-thick bilayered electrolyte comprising a gadolinium-doped ceria (GDC) layer and an yttria-stabilized zirconia (YSZ) layer were fabricated and electrochemically characterized in order to investigate the effect of the YSZ protective layer. The highly dense and thin YSZ layer acted as a blockage against electron and oxygen permeation between the anode and GDC electrolyte. Dense GDC and YSZ thin films were fabricated using radio frequency sputtering and atomic layer deposition techniques, respectively. The resulting bilayered thin-film fuel cell generated a significantly higher open circuit voltage of approximately 1.07 V compared with a thin-film fuel cell with a single-layered GDC electrolyte (approximately 0.3 V). PMID:23342963

  3. Fabrication of magnetic tunnel junctions with epitaxial and textured ferromagnetic layers

    DOEpatents

    Chang, Y. Austin; Yang, Jianhua Joshua

    2008-11-11

    This invention relates to magnetic tunnel junctions and methods for making the magnetic tunnel junctions. The magnetic tunnel junctions include a tunnel barrier oxide layer sandwiched between two ferromagnetic layers both of which are epitaxial or textured with respect to the underlying substrate upon which the magnetic tunnel junctions are grown. The magnetic tunnel junctions provide improved magnetic properties, sharper interfaces and few defects.

  4. Ionic Conductivity Increased by Two Orders of Magnitude in Micrometer-Thick Vertical Yttria-Stabilized ZrO 2 Nanocomposite Films

    DOE PAGES

    Lee, Shinbuhm; Zhang, Wenrui; Khatkhatay, Fauzia; ...

    2015-09-03

    We design and create a unique cell geometry of templated micrometer-thick epitaxial nanocomposite films which contain ~20 nm diameter yttria-stabilized ZrO 2 (YSZ) nanocolumns, strain coupled to a SrTiO 3 matrix. We also enhanced the ionic conductivity of these nanocolumnsby over 2 orders of magnitude compared to plain YSZ films. Concomitant with the higher ionic conduction is the finding that the YSZ nanocolumns in the films have much higher crystallinity and orientation, compared to plain YSZ films. Hence, “oxygen migration highways” are formed in the desired out-of-plane direction. This improved structure is shown to originate from the epitaxial coupling ofmore » the YSZ nanocolumns to the SrTiO 3 film matrix and from nucleation of the YSZ nanocolumns on an intermediate nanocomposite base layer of highly aligned Sm-doped CeO 2 nanocolumns within the SrTiO 3 matrix. Furthermore, this intermediate layer reduces the lattice mismatch between the YSZ nanocolumns and the substrate. Vertical ionic conduction values as high as 10 –2 Ω –1 cm –1 were demonstrated at 360 °C (300 °C lower than plain YSZ films), showing the strong practical potential of these nanostructured films for use in much lower operation temperature ionic devices.« less

  5. Effect of Elastic Strain Fluctuation on Atomic Layer Growth of Epitaxial Silicide in Si Nanowires by Point Contact Reactions.

    PubMed

    Chou, Yi-Chia; Tang, Wei; Chiou, Chien-Jyun; Chen, Kai; Minor, Andrew M; Tu, K N

    2015-06-10

    Effects of strain impact a range of applications involving mobility change in field-effect-transistors. We report the effect of strain fluctuation on epitaxial growth of NiSi2 in a Si nanowire via point contact and atomic layer reactions, and we discuss the thermodynamic, kinetic, and mechanical implications. The generation and relaxation of strain shown by in situ TEM is periodic and in synchronization with the atomic layer reaction. The Si lattice at the epitaxial interface is under tensile strain, which enables a high solubility of supersaturated interstitial Ni atoms for homogeneous nucleation of an epitaxial atomic layer of the disilicide phase. The tensile strain is reduced locally during the incubation period of nucleation by the dissolution of supersaturated Ni atoms in the Si lattice but the strained-Si state returns once the atomic layer epitaxial growth of NiSi2 occurs by consuming the supersaturated Ni.

  6. Molecular Dynamics Simulation of the Structure and Ion Transport in the Ce1 - x Gd x O2 - δ|YSZ Heterosystem

    NASA Astrophysics Data System (ADS)

    Galin, M. Z.; Ivanov-Schitz, A. K.; Mazo, G. N.

    2018-01-01

    Molecular dynamics simulation has been used to develop a realistic atomistic model of two-layer Ce1 - x Gd x O2 - δ|YSZ heterosystem. It is shown that Ce1 - x Gd x O2 - δ and YSZ layers (about 15 and 16 Å thick, respectively) retain their crystal structure on the whole. The main structural distortions are found to occur near the Ce1 - x Gd x O2 - δ|YSZ geometric interface, within a narrow interfacial region of few angstroms thick. Both the generalized diffusion characteristics of the system as a whole and the oxygen diffusion coefficients in the layers are calculated, and the diffusion activation energies are determined.

  7. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  8. Evidence of yttrium silicate inclusions in YSZ-porcelain veneers.

    PubMed

    Stoner, Brian R; Griggs, Jason A; Neidigh, John; Piascik, Jeffrey R

    2014-04-01

    This report introduces the discovery of crystalline defects that can form in the porcelain veneering layer when in contact with yttria-stabilized zirconia (YSZ). The focus was on dental prostheses and understanding the defects that form in the YSZ/porcelain system; however the data reported herein may have broader implications toward the use and stability of YSZ-based ceramics in general. Specimens were cut from fully sintered YSZ plates and veneering porcelain was applied (<1 mm thick) to one surface and fired under manufacturer's recommended protocol. Scanning electron microscopy (SEM) with integrated electron dispersive X-ray (EDAX) was used for microstructural and elemental analysis. EDAX, for chemical analysis and transmission electron diffraction (TED) for structural analysis were both performed in the transmission electron microscope (TEM). Additionally, in order to spatially resolve Y-rich precipitates, micro-CT scans were conducted at varying depths within the porcelain veneer. Local EDAX (SEM) was performed in the regions of visible inclusions and showed significant increases in yttrium concentration. TEM specimens also showed apparent inclusions in the porcelain and selected area electron diffraction was performed on these regions and found the inclusions to be crystalline and identified as either yttrium-silicate (Y2 SiO5 ) or yttrium-disilicate (Y2 Si2 O7 ). Micro-CT data showed that yttrium-silicate precipitates were distributed throughout the thickness of the porcelain veneer. Future studies are needed to determine whether many of the premature failures associated with this materials system may be the result of crystalline flaws that form as a result of high temperature yttrium diffusion near the surfaces of YSZ. © 2013 Wiley Periodicals, Inc.

  9. Effect of Ni content on the morphological evolution of Ni-YSZ solid oxide fuel cell electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen-Wiegart, Yu-chen Karen; Kennouche, David; Scott Cronin, J.

    2016-02-22

    The coarsening of Ni in Ni–yttria-stabilized zirconia (YSZ) anodes is a potential cause of long term solid oxide fuel cells (SOFC) performance degradation. The specifics of the Ni-YSZ structure—including Ni/YSZ ratio, porosity, and particle size distributions—are normally selected to minimize anode polarization resistance, but they also impact long-term stability. A better understanding of how these factors influence long-term stability is important for designing more durable anodes. The effect of structural details, e.g., Ni-YSZ ratio, on Ni coarsening has not been quantified. Furthermore, prior measurements have been done by comparing evolved structures with control samples, such that sample-to-sample variations introduce errors.more » Here, we report a four dimensional (three spatial dimensions and time) study of Ni coarsening in Ni-YSZ anode functional layers with different Ni/YSZ ratios, using synchrotron x-ray nano-tomography. The continuous structural evolution was observed and analyzed at sub-100 nm resolution. It is shown quantitatively that increasing the Ni/YSZ ratio increases the Ni coarsening rate. This is due to both increased pore volume and a decrease in the YSZ volume fraction, such that there is more free volume and a less obtrusive YSZ network, both of which allow greater Ni coarsening. The results are shown to be in good agreement with a power-law coarsening model. The finding is critical for informing the design of SOFC electrode microstructures that limit coarsening and performance degradation.« less

  10. Effect of Ni content on the morphological evolution of Ni-YSZ solid oxide fuel cell electrodes

    NASA Astrophysics Data System (ADS)

    Chen-Wiegart, Yu-chen Karen; Kennouche, David; Scott Cronin, J.; Barnett, Scott A.; Wang, Jun

    2016-02-01

    The coarsening of Ni in Ni-yttria-stabilized zirconia (YSZ) anodes is a potential cause of long term solid oxide fuel cells (SOFC) performance degradation. The specifics of the Ni-YSZ structure—including Ni/YSZ ratio, porosity, and particle size distributions—are normally selected to minimize anode polarization resistance, but they also impact long-term stability. A better understanding of how these factors influence long-term stability is important for designing more durable anodes. The effect of structural details, e.g., Ni-YSZ ratio, on Ni coarsening has not been quantified. Furthermore, prior measurements have been done by comparing evolved structures with control samples, such that sample-to-sample variations introduce errors. Here, we report a four dimensional (three spatial dimensions and time) study of Ni coarsening in Ni-YSZ anode functional layers with different Ni/YSZ ratios, using synchrotron x-ray nano-tomography. The continuous structural evolution was observed and analyzed at sub-100 nm resolution. It is shown quantitatively that increasing the Ni/YSZ ratio increases the Ni coarsening rate. This is due to both increased pore volume and a decrease in the YSZ volume fraction, such that there is more free volume and a less obtrusive YSZ network, both of which allow greater Ni coarsening. The results are shown to be in good agreement with a power-law coarsening model. The finding is critical for informing the design of SOFC electrode microstructures that limit coarsening and performance degradation.

  11. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  12. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  13. Metallic atomically-thin layered silicon epitaxially grown on silicene/ZrB 2

    DOE PAGES

    Gill, Tobias G.; Fleurence, Antoine; Warner, Ben; ...

    2017-02-17

    We observe a new two-dimensional (2D) silicon crystal, using low energy electron diffraction (LEED) and scanning tunnelling microscopy (STM) and it's formed by depositing additional Si atoms onto spontaneously-formed epitaxial silicene on a ZrB 2 thin film. From scanning tunnelling spectroscopy (STS) studies, we find that this atomically-thin layered silicon has distinctly different electronic properties. Angle resolved photoelectron spectroscopy (ARPES) reveals that, in sharp contrast to epitaxial silicene, the layered silicon exhibits significantly enhanced density of states at the Fermi level resulting from newly formed metallic bands. Furthermore, the 2D growth of this material could allow for direct contacting tomore » the silicene surface and demonstrates the dramatic changes in electronic structure that can occur by the addition of even a single monolayer amount of material in 2D systems.« less

  14. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  15. Atomic layer epitaxy of YBaCuO for optoelectronic applications

    NASA Technical Reports Server (NTRS)

    Skogman, R. A.; Khan, M. A.; Van Hove, J. M.; Bhattarai, A.; Boord, W. T.

    1992-01-01

    An MOCVD-based atomic-layer epitaxy process is being developed as a potential solution to the problems of film-thickness and interface-abruptness control which are encountered when fabricating superconductor-insulator-superconductor devices using YBa2Cu3O(7-x). In initial studies, the atomic-layer MOCVD process yields superconducting YBa2Cu3O(7-x) films with substrate temperatures of 605 C during film growth, and no postdeposition anneal. The low temperature process yields a smooth film surface and can reduce interface degradation due to diffusion.

  16. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  17. Effect of Ni content on the morphological evolution of Ni-YSZ solid oxide fuel cell electrodes

    DOE PAGES

    Chen-Wiegart, Yu-chen Karen; Kennouche, David; Scott Cronin, J.; ...

    2016-02-25

    The coarsening of Ni in Ni–yttria-stabilized zirconia (YSZ) anodes is a potential cause of long term solid oxide fuel cells (SOFC) performance degradation. The specifics of the Ni-YSZ structure—including Ni/YSZ ratio, porosity, and particle size distributions—are normally selected to minimize anode polarization resistance, but they also impact long-term stability. A better understanding of how these factors influence long-term stability is important for designing more durable anodes. The effect of structural details, e.g., Ni-YSZ ratio, on Ni coarsening has not been quantified. Furthermore, prior measurements have been done by comparing evolved structures with control samples, such that sample-to-sample variations introduce errors.more » Here in this paper, we report a four dimensional (three spatial dimensions and time) study of Ni coarsening in Ni-YSZ anode functional layers with different Ni/YSZ ratios, using synchrotron x-ray nano-tomography. The continuous structural evolution was observed and analyzed at sub-100 nm resolution. It is shown quantitatively that increasing the Ni/YSZ ratio increases the Ni coarsening rate. This is due to both increased pore volume and a decrease in the YSZ volume fraction, such that there is more free volume and a less obtrusive YSZ network, both of which allow greater Ni coarsening. The results are shown to be in good agreement with a power-law coarsening model. In conclusion, the finding is critical for informing the design of SOFC electrode microstructures that limit coarsening and performance degradation.« less

  18. Effect of Ni content on the morphological evolution of Ni-YSZ solid oxide fuel cell electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen-Wiegart, Yu-chen Karen; Kennouche, David; Scott Cronin, J.

    The coarsening of Ni in Ni–yttria-stabilized zirconia (YSZ) anodes is a potential cause of long term solid oxide fuel cells (SOFC) performance degradation. The specifics of the Ni-YSZ structure—including Ni/YSZ ratio, porosity, and particle size distributions—are normally selected to minimize anode polarization resistance, but they also impact long-term stability. A better understanding of how these factors influence long-term stability is important for designing more durable anodes. The effect of structural details, e.g., Ni-YSZ ratio, on Ni coarsening has not been quantified. Furthermore, prior measurements have been done by comparing evolved structures with control samples, such that sample-to-sample variations introduce errors.more » Here in this paper, we report a four dimensional (three spatial dimensions and time) study of Ni coarsening in Ni-YSZ anode functional layers with different Ni/YSZ ratios, using synchrotron x-ray nano-tomography. The continuous structural evolution was observed and analyzed at sub-100 nm resolution. It is shown quantitatively that increasing the Ni/YSZ ratio increases the Ni coarsening rate. This is due to both increased pore volume and a decrease in the YSZ volume fraction, such that there is more free volume and a less obtrusive YSZ network, both of which allow greater Ni coarsening. The results are shown to be in good agreement with a power-law coarsening model. In conclusion, the finding is critical for informing the design of SOFC electrode microstructures that limit coarsening and performance degradation.« less

  19. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  20. Dynamic layer rearrangement during growth of layered oxide films by molecular beam epitaxy

    DOE PAGES

    Lee, J. H.; Luo, G.; Tung, I. C.; ...

    2014-08-03

    The A n+1B nO 3n+1 Ruddlesden–Popper homologous series offers a wide variety of functionalities including dielectric, ferroelectric, magnetic and catalytic properties. Unfortunately, the synthesis of such layered oxides has been a major challenge owing to the occurrence of growth defects that result in poor materials behaviour in the higher-order members. To understand the fundamental physics of layered oxide growth, we have developed an oxide molecular beam epitaxy system with in situ synchrotron X-ray scattering capability. We present results demonstrating that layered oxide films can dynamically rearrange during growth, leading to structures that are highly unexpected on the basis of themore » intended layer sequencing. Theoretical calculations indicate that rearrangement can occur in many layered oxide systems and suggest a general approach that may be essential for the construction of metastable Ruddlesden–Popper phases. Lastly, we demonstrate the utility of the new-found growth strategy by performing the first atomically controlled synthesis of single-crystalline La 3Ni 2O 7.« less

  1. 3D Microstructure Effects in Ni-YSZ Anodes: Influence of TPB Lengths on the Electrochemical Performance.

    PubMed

    Pecho, Omar M; Mai, Andreas; Münch, Beat; Hocker, Thomas; Flatt, Robert J; Holzer, Lorenz

    2015-10-21

    3D microstructure-performance relationships in Ni-YSZ anodes for electrolyte-supported cells are investigated in terms of the correlation between the triple phase boundary (TPB) length and polarization resistance ( R pol ). Three different Ni-YSZ anodes of varying microstructure are subjected to eight reduction-oxidation (redox) cycles at 950 °C. In general the TPB lengths correlate with anode performance . However, the quantitative results also show that there is no simplistic relationship between TPB and R pol . The degradation mechanism strongly depends on the initial microstructure. Finer microstructures exhibit lower degradation rates of TPB and R pol . In fine microstructures, TPB loss is found to be due to Ni coarsening, while in coarse microstructures reduction of active TPB results mainly from loss of YSZ percolation. The latter is attributed to weak bottlenecks associated with lower sintering activity of the coarse YSZ. The coarse anode suffers from complete loss of YSZ connectivity and associated drop of TPB active by 93%. Surprisingly, this severe microstructure degradation did not lead to electrochemical failure. Mechanistic scenarios are discussed for different anode microstructures. These scenarios are based on a model for coupled charge transfer and transport, which allows using TPB and effective properties as input. The mechanistic scenarios describe the microstructure influence on current distributions, which explains the observed complex relationship between TPB lengths and anode performances. The observed loss of YSZ percolation in the coarse anode is not detrimental because the electrochemical activity is concentrated in a narrow active layer. The anode performance can be predicted reliably if the volume-averaged properties (TPB active , effective ionic conductivity) are corrected for the so-called short-range effect, which is particularly important in cases with a narrow active layer.

  2. 3D Microstructure Effects in Ni-YSZ Anodes: Influence of TPB Lengths on the Electrochemical Performance

    PubMed Central

    Pecho, Omar M.; Mai, Andreas; Münch, Beat; Hocker, Thomas; Flatt, Robert J.; Holzer, Lorenz

    2015-01-01

    3D microstructure-performance relationships in Ni-YSZ anodes for electrolyte-supported cells are investigated in terms of the correlation between the triple phase boundary (TPB) length and polarization resistance (Rpol). Three different Ni-YSZ anodes of varying microstructure are subjected to eight reduction-oxidation (redox) cycles at 950 °C. In general the TPB lengths correlate with anode performance. However, the quantitative results also show that there is no simplistic relationship between TPB and Rpol. The degradation mechanism strongly depends on the initial microstructure. Finer microstructures exhibit lower degradation rates of TPB and Rpol. In fine microstructures, TPB loss is found to be due to Ni coarsening, while in coarse microstructures reduction of active TPB results mainly from loss of YSZ percolation. The latter is attributed to weak bottlenecks associated with lower sintering activity of the coarse YSZ. The coarse anode suffers from complete loss of YSZ connectivity and associated drop of TPBactive by 93%. Surprisingly, this severe microstructure degradation did not lead to electrochemical failure. Mechanistic scenarios are discussed for different anode microstructures. These scenarios are based on a model for coupled charge transfer and transport, which allows using TPB and effective properties as input. The mechanistic scenarios describe the microstructure influence on current distributions, which explains the observed complex relationship between TPB lengths and anode performances. The observed loss of YSZ percolation in the coarse anode is not detrimental because the electrochemical activity is concentrated in a narrow active layer. The anode performance can be predicted reliably if the volume-averaged properties (TPBactive, effective ionic conductivity) are corrected for the so-called short-range effect, which is particularly important in cases with a narrow active layer. PMID:28793624

  3. Local epitaxial growth of ZrO2 on Ge (100) substrates by atomic layer epitaxy

    NASA Astrophysics Data System (ADS)

    Kim, Hyoungsub; Chui, Chi On; Saraswat, Krishna C.; McIntyre, Paul C.

    2003-09-01

    High-k dielectric deposition processes for gate dielectric preparation on Si surfaces usually result in the unavoidable and uncontrolled formation of a thin interfacial oxide layer. Atomic layer deposition of ˜55-Å ZrO2 film on a Ge (100) substrate using ZrCl4 and H2O at 300 °C was found to produce local epitaxial growth [(001) Ge//(001) ZrO2 and [100] Ge//[100] ZrO2] without a distinct interfacial layer, unlike the situation observed when ZrO2 is deposited using the same method on Si. Relatively large lattice mismatch (˜10%) between ZrO2 and Ge produced a high areal density of interfacial misfit dislocations. Large hysteresis (>200 mV) and high frequency dispersion were observed in capacitance-voltage measurements due to the high density of interface states. However, a low leakage current density, comparable to values obtained on Si substrates, was observed with the same capacitance density regardless of the high defect density.

  4. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  5. Evaluation of methods for application of epitaxial layers of superconductor and buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1997-06-01

    The recent achievements in a number of laboratories of critical currents in excess of 1.0x10{sup 6} amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential applications of coated conductors at high temperatures and high magnetic fields. As of today, two different approaches for obtaining the textured substrates have been identified. These are: Los Alamos National Laboratory`s (LANL) ion-beam assisted deposition called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory`s (ORNL) rolling assisted, bi-axial texturized substrate option called RABiTS. Similarly, basedmore » on the published literature, the available options to form High Temperature Superconductor (HTS) films on metallic, semi-metallic or ceramic substrates can be divided into: physical methods, and non-physical or chemical methods. Under these two major groups, the schemes being proposed consist of: - Sputtering - Electron-Beam Evaporation - Flash Evaporation - Molecular Beam Epitaxy - Laser Ablation - Electrophoresis - Chemical Vapor Deposition (Including Metal-Organic Chemical Vapor Deposition) - Sol-Gel - Metal-Organic Decomposition - Electrodeposition, and - Aerosol/Spray Pyrolysis. In general, a spool- to-spool or reel-to-reel type of continuous manufacturing scheme developed out of any of the above techniques, would consist of: - Preparation of Substrate Material - Preparation and Application of the Buffer Layer(s) - Preparation and Application of the HTS Material and Required Post-Annealing, and - Preparation and Application of the External Protective Layer. These operations would be affected by various process parameters which can be classified into: Chemistry and Material Related Parameters; and Engineering and Environmental Based Parameters. Thus, one can see that for successful development of the coated conductors manufacturing process

  6. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  7. Epitaxial CoSi2 on MOS devices

    DOEpatents

    Lim, Chong Wee; Shin, Chan Soo; Petrov, Ivan Georgiev; Greene, Joseph E.

    2005-01-25

    An Si.sub.x N.sub.y or SiO.sub.x N.sub.y liner is formed on a MOS device. Cobalt is then deposited and reacts to form an epitaxial CoSi.sub.2 layer underneath the liner. The CoSi.sub.2 layer may be formed through a solid phase epitaxy or reactive deposition epitaxy salicide process. In addition to high quality epitaxial CoSi.sub.2 layers, the liner formed during the invention can protect device portions during etching processes used to form device contacts. The liner can act as an etch stop layer to prevent excessive removal of the shallow trench isolation, and protect against excessive loss of the CoSi.sub.2 layer.

  8. In situ Van der Pauw measurements of the Ni/YSZ anode during exposure to syngas with phosphine contaminant

    NASA Astrophysics Data System (ADS)

    Demircan, Oktay; Xu, Chunchuan; Zondlo, John; Finklea, Harry O.

    Solid oxide fuel cells (SOFCs) represent an option to provide a bridging technology for energy conversion (coal syngas) as well as a long-term technology (hydrogen from biomass). Whether the fuel is coal syngas or hydrogen from biomass, the effect of impurities on the performance of the anode is a vital question. The anode resistivity during SOFC operation with phosphine-contaminated syngas was studied using the in situ Van der Pauw method. Commercial anode-supported solid oxide fuel cells (Ni/YSZ composite anodes, YSZ electrolytes) were exposed to a synthetic coal syngas mixture (H 2, H 2O, CO, and CO 2) at a constant current and their performance evaluated periodically with electrochemical methods (cyclic voltammetry, impedance spectroscopy, and polarization curves). In one test, after 170 h of phosphine exposure, a significant degradation of cell performance (loss of cell voltage, increase of series resistance and increase of polarization resistance) was evident. The rate of voltage loss was 1.4 mV h -1. The resistivity measurements on Ni/YSZ anode by the in situ Van der Pauw method showed that there were no significant changes in anode resistivity both under clean syngas and syngas with 10 ppm PH 3. XRD analysis suggested that Ni 5P 2 and P 2O 5 are two compounds accumulated on the anode. XPS studies provided support for the presence of two phosphorus phases with different oxidation states on the external anode surface. Phosphorus, in a positive oxidation state, was observed in the anode active layer. Based on these observations, the effect of 10 ppm phosphine impurity (or its reaction products with coal syngas) is assigned to the loss of performance of the Ni/YSZ active layer next to the electrolyte, and not to any changes in the thick Ni/YSZ support layer.

  9. Electrochemical Atomic Layer Epitaxy of Thin Film CdSe

    NASA Astrophysics Data System (ADS)

    Pham, L.; Kaleida, K.; Happek, U.; Mathe, M. K.; Vaidyanathan, R.; Stickney, J. L.; Radevic, M.

    2002-10-01

    Electrochemical atomic layer epitaxy (EC-ALE) is a current developmental technique for the fabrication of compound semiconductor thin films. The deposition of elements making up the compound utilizes surface limited reactions where the potential is less than that required for bulk growth. This growth method offers mono-atomic layer control, allowing the deposition of superlattices with sharp interfaces. Here we report on the EC-ALE formation of CdSe thin films on Au and Cu substrates using an automated flow cell system. The band gap was measured using IR absorption and photoconductivity and found to be consistent with the literature value of 1.74 eV at 300K and 1.85 eV at 20K. The stoichiometry of the thin film was confirmed with electron microprobe analysis and x-ray diffraction.

  10. Epitaxial growth of a mono-crystalline metastable AuIn layer at the Au/InP(001) interface

    NASA Astrophysics Data System (ADS)

    Renda, M.; Morita, K.

    1990-01-01

    Thermal annealing of a gold layer deposited on the InP(001)-p(2×4) surface has been studied in-situ by means of LEED, AES and RBS techniques and by post analysis of RBS-channeling and glancing incidence X-ray diffraction. A clean LEED pattern of p(2×2) spots was observed for the specimen annealed for 10 min at 300°C. The composition ratio of Au/In in the epitaxial compound layer was found to be 49/51 by RBS and several at% of P was also detected by post sputter-AES analysis. It was also found that the epitaxial layer shows a clear channeling dip for an incident ion beam which is aligned along the <001> axis of InP substrate. The glancing incidence X-ray diffraction analysis indicates diffraction peaks from the pseudo-orthorombic phase of AuIn. From these experimental results, it is concluded that the epitaxial Au-compound layer is a mono-crystalline metastable phase of AuIn, of which every three atomic rows of Au or In in the [110] direction would be situated on every four atomic rows in the [010] direction of the In(001) face of the InP crystal.

  11. The role of Energy Deposition in the Epitaxial Layer in Triggering SEGR in Power MOSFETs

    NASA Technical Reports Server (NTRS)

    Selva, L.; Swift, G.; Taylor, W.; Edmonds, L.

    1999-01-01

    In these SEGR experiments, three identical-oxide MOSFET types were irradiated with six ions of significantly different ranges. Results show the prime importance of the total energy deposited in the epitaxial layer.

  12. Epitaxial thin films

    DOEpatents

    Hunt, Andrew Tye; Deshpande, Girish; Lin, Wen-Yi; Jan, Tzyy-Jiuan

    2006-04-25

    Epitatial thin films for use as buffer layers for high temperature superconductors, electrolytes in solid oxide fuel cells (SOFC), gas separation membranes or dielectric material in electronic devices, are disclosed. By using CCVD, CACVD or any other suitable deposition process, epitaxial films having pore-free, ideal grain boundaries, and dense structure can be formed. Several different types of materials are disclosed for use as buffer layers in high temperature superconductors. In addition, the use of epitaxial thin films for electrolytes and electrode formation in SOFCs results in densification for pore-free and ideal gain boundary/interface microstructure. Gas separation membranes for the production of oxygen and hydrogen are also disclosed. These semipermeable membranes are formed by high-quality, dense, gas-tight, pinhole free sub-micro scale layers of mixed-conducting oxides on porous ceramic substrates. Epitaxial thin films as dielectric material in capacitors are also taught herein. Capacitors are utilized according to their capacitance values which are dependent on their physical structure and dielectric permittivity. The epitaxial thin films of the current invention form low-loss dielectric layers with extremely high permittivity. This high permittivity allows for the formation of capacitors that can have their capacitance adjusted by applying a DC bias between their electrodes.

  13. Effect of the co-spun anode functional layer on the performance of the direct-methane microtubular solid oxide fuel cells

    NASA Astrophysics Data System (ADS)

    Meng, Xiuxia; Gong, Xun; Yin, Yimei; Yang, Naitao; Tan, Xiaoyao; Ma, Zi-Feng

    2014-02-01

    NiO-YSZ/porous YSZ (NiO-YSZ/p-YSZ) dual-layer hollow fibers have been fabricated by a co-spinning-sintering method, on which a dense YSZ films has been formed by a dip-coating and sintering process. A LSM-YSZ ink has been dip-coated on the dense YSZ films as cathode, while the Cu-CeO2 carbon-resistant catalyst has been impregnated in the p-YSZ layer to form double-anode supported micro tubular fuel cells (MT-SOFCs). The thickness of the Ni-YSZ layer, so called anode functional layer (AFL), is controlled from 74 μm to 13 μm by varying the spinning rates of the NiO-YSZ dopes. The maximum power density of an MT-SOFC, which is fabricated based on a thin co-spun AFL, reaches 566 mW cm-2 operated at 850 °C fed with dry methane, and is stably operated for 85 h without power declination.

  14. Magnetic properties of epitaxial hexagonal HoFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Xiao, Zhuyun; Xu, Xiaoshan; Wang, Wenbin; Keavney, David; Liu, Yaohua; Cheng, X. M.

    2014-03-01

    Multiferroic materials exhibit multiple ferroic orders simultaneously and thus have great potential applications in information technology, sensing and actuation. Epitaxial hexagonal HoFeO3 (h-HFO) films are very promising candidates as multiferroic materials with room temperature ferromagnetism, because magnetic Ho3+ ions are expected to have stronger exchange interactions with Fe3+ ions than the well-studied h-LuFeO3 films. We report study of magnetic properties of epitaxial h-HFO thin films deposited using laser molecular beam epitaxy on Yttria-stabilized zirconia (YSZ) substrates. X-ray diffraction measurements confirmed the epitaxial registry and six-fold symmetry of the film. Temperature dependence of magnetization of the film measured by a Quantum Design SQUID magnetometer shows dominating paramagnetic characteristic. Element specific x-ray magnetic circular dichroism measurements performed at beamline 4-ID-C of the Advanced Photon Source show a ferromagnetic ordering of Fe and an exchange coupling between Ho3+ and Fe3+ ions. Work at BMC is supported by NSF Career award (DMR 1053854). Work at ANL is supported by US-DOE, Office of Science, BES (No. DE-AC02-06CH11357).

  15. Atomic composition and electrical characteristics of epitaxial CVD diamond layers doped with boron

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surovegina, E. A., E-mail: suroveginaka@ipmras.ru; Demidov, E. V.; Drozdov, M. N.

    2016-12-15

    The results of analysis of the atomic composition, doping level, and hole mobility in epitaxial diamond layers when doped with boron are reported. The layers are produced by chemical-vapor deposition. The possibilities of uniform doping with boron to a level in the range 5 × 10{sup 17} to ~10{sup 20} at cm{sup –3} and of δ doping to the surface concentration (0.3–5) × 10{sup 13} at cm{sup –3} are shown. The conditions for precision ion etching of the structures are determined, and barrier and ohmic contacts to the layers are formed.

  16. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  17. Characterization and growth of epitaxial layers of Gs exhibiting high resistivity for ionic implantation

    NASA Technical Reports Server (NTRS)

    1979-01-01

    Either classical or low temperature epitaxial growth techniques can be used to control the deposition of buffer layers of GaAs on semiconducting substrates and to obtain the resistivity and purity desired. Techniques developed to study, as a function of thickness, the evolution of mobilities by photoHall, and the spectroscopy of shallow and deep centers by cathodoluminescence and current transients reveal one very pure layer of medium resistivity and high mobility, and another "dead layer" of elevated resistivity far from the surface. The highly resistive layer remains pure over several microns, which appears interesting for implantation.

  18. RETRACTED: Chemical densification of plasma sprayed yttria stabilized zirconia (YSZ) coatings for high temperature wear and corrosion resistance

    NASA Astrophysics Data System (ADS)

    Ye, Yaping; Fehr, Karl Thomas; Faulstich, Martin; Wolf, Gerhard

    2012-12-01

    Plasma-sprayed yttria stabilized zirconia (YSZ) ceramic coatings have been widely used as wear- and corrosion-resistant coatings in high temperature applications and an aggressive environment due to their high hardness, wear resistance, heat and chemical resistance, and low thermal conductivity. The highly porous structure of plasma-sprayed ceramic coatings and their poor adhesion to the substrate usually lead to the coating degradation and failure. In this study, a two-layer system consisting of atmospheric plasma-sprayed 8 wt.% yttria-stabilized zirconia (8YSZ) and Ni-based alloy coatings was post-treated by means of a novel chemical sealing process at moderate temperatures of 600-800 °C. Microstructure characteristics of the YSZ coatings were studied using an electron probe micro-analyzer (EPMA). Results revealed that the ceramic top coat was densified by the precipitated zirconia in the open pores. Therefore, the sealed YSZ coatings exhibit reduced porosity, higher hardness and a better adhesion onto the bond coat. The mechanisms for the sealing process were also proposed.

  19. Ferromagnetism and the electronic band structure in (Ga,Mn)(Bi,As) epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yastrubchak, O.; Sadowski, J.; Gluba, L.; Domagala, J. Z.; Rawski, M.; Żuk, J.; Kulik, M.; Andrearczyk, T.; Wosinski, T.

    2014-08-01

    Impact of Bi incorporation into (Ga,Mn)As layers on their electronic- and band-structures as well as their magnetic and structural properties has been studied. Homogenous (Ga,Mn)(Bi,As) layers of high structural perfection have been grown by the low-temperature molecular-beam epitaxy technique. Post-growth annealing treatment of the layers results in an improvement of their structural and magnetic properties and an increase in the hole concentration in the layers. The modulation photoreflectance spectroscopy results are consistent with the valence-band model of hole-mediated ferromagnetism in the layers. This material combines the properties of (Ga,Mn)As and Ga(Bi,As) ternary compounds and offers the possibility of tuning its electrical and magnetic properties by controlling the alloy composition.

  20. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  1. Intermediate coating layer for high temperature rubbing seals for rotary regenerators

    DOEpatents

    Schienle, James L.; Strangman, Thomas E.

    1995-01-01

    A metallic regenerator seal is provided having multi-layer coating comprising a NiCrAlY bond layer, a yttria stabilized zirconia (YSZ) intermediate layer, and a ceramic high temperature solid lubricant surface layer comprising zinc oxide, calcium fluoride, and tin oxide. Because of the YSZ intermediate layer, the coating is thermodynamically stable and resists swelling at high temperatures.

  2. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  3. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less

  4. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    DOEpatents

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  5. Strain Engineering of Epitaxially Transferred, Ultrathin Layers of III-V Semiconductor on Insulator

    DTIC Science & Technology

    2011-01-01

    The structure of the source wafer is shown schematically in Fig. 2a, with both InAs and AlGaSb layers coherently strained to the GaSb 001...is due to the surface plasmon-LO phonon FIG. 2. Color online a The structure of GaSb /AlGaSb/InAs source wafer with an assumed strain state for...insulator layers obtained from an epitaxial transfer process is studied. The as-grown InAs epilayer 10–20 nm thick on the GaSb /AlGaSb source wafer has the

  6. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    PubMed

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  7. Defect structure of epitaxial layers of III nitrides as determined by analyzing the shape of X-ray diffraction peaks

    NASA Astrophysics Data System (ADS)

    Kyutt, R. T.

    2017-04-01

    The shape of X-ray diffraction epitaxial layers with high dislocation densities has been studied experimentally. Measurements with an X-ray diffractometer were performed in double- and triple-crystal setups with both Cu K α and Mo K α radiation. Epitaxial layers (GaN, AlN, AlGaN, ZnO, etc.) with different degrees of structural perfection grown by various methods on sapphire, silicon, and silicon carbide substrates have been examined. The layer thickness varied in the range of 0.5-30 μm. It has been found that the center part of peaks is well approximated by the Voigt function with different Lorentz fractions, while the wing intensity drops faster and may be represented by a power function (with the index that varies from one structure to another). A well-marked dependence on the ordering of dislocations was observed. The drop in intensity in the majority of structures with a regular system and regular threading dislocations was close to the theoretically predicted law Δθ-3; the intensity in films with a chaotic distribution decreased much faster. The dependence of the peak shape on the order of reflection, the diffraction geometry, and the epitaxial layer thickness was also examined.

  8. Highly Oriented Growth of Piezoelectric Thin Films on Silicon Using Two-Dimensional Nanosheets as Growth Template Layer.

    PubMed

    Nguyen, Minh D; Yuan, Huiyu; Houwman, Evert P; Dekkers, Matthijn; Koster, Gertjan; Ten Elshof, Johan E; Rijnders, Guus

    2016-11-16

    Ca 2 Nb 3 O 10 (CNOns) and Ti 0.87 O 2 (TiOns) metal oxide nanosheets (ns) are used as a buffer layer for epitaxial growth of piezoelectric capacitor stacks on Si and Pt/Ti/SiO 2 /Si (Pt/Si) substrates. Highly (001)- and (110)-oriented Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) films are achieved by utilizing CNOns and TiOns, respectively. The piezoelectric capacitors are characterized by polarization and piezoelectric hysteresis loops and by fatigue measurements. The devices fabricated with SrRuO 3 top and bottom electrodes directly on nanosheets/Si have ferroelectric and piezoelectric properties well comparable with devices that use more conventional oxide buffer layers (stacks) such as YSZ, CeO 2 /YSZ, or SrTiO 3 on Si. The devices grown on nanosheets/Pt/Si with Pt top electrodes show significantly improved polarization fatigue properties over those of similar devices grown directly on Pt/Si. The differences in properties are ascribed to differences in the crystalline structures and the density of the films. These results show a route toward the fabrication of single crystal piezoelectric thin films and devices with high quality, long-lifetime piezoelectric capacitor structures on nonperovskite and even noncrystalline substrates such as glass or polished metal surfaces.

  9. Electron Scattering at Surfaces of Epitaxial Metal Layers

    NASA Astrophysics Data System (ADS)

    Chawla, Jasmeet Singh

    In the field of electron transport in metal films and wires, the 'size effect' refers to the increase in the resistivity of the films and wires as their critical dimensions (thickness of film, width and height of wires) approach or become less than the electron mean free path lambda, which is, for example, 39 nm for bulk copper at room temperature. This size-effect is currently of great concern to the semiconductor industry because the continued downscaling of feature sizes has already lead to Cu interconnect wires in this size effect regime, with a reported 2.5 times higher resistivity for 40 nm wide Cu wires than for bulk Cu. Silver is a possible alternate material for interconnect wires and titanium nitride is proposed as a gate metal in novel field-effect-transistors. Therefore, it is important to develop an understanding of how the growth, the surface morphology, and the microstructure of ultrathin (few nanometers) Cu, Ag and TiN layers affect their electrical properties. This dissertation aims to advance the scientific knowledge of electron scattering at surfaces (external surfaces and grain boundaries), that are, the primary reasons for the size-effect in metal conductors. The effect of surface and grain boundary scattering on the resistivity of Cu thin films and nanowires is separately quantified using (i) in situ transport measurements on single-crystal, atomically smooth Cu(001) layers, (ii) textured polycrystalline Cu(111) layers and patterned wires with independently varying grain size, thickness and line width, and (iii) in situ grown interfaces including Cu-Ta, Cu-MgO, Cu-vacuum and Cu-oxygen. In addition, the electron surface scattering is also measured in situ for single-crystal Ag(001), (111) twinned epitaxial Ag(001), and single-crystal TiN(001) layers. Cu(001), Ag(001), and TiN(001) layers with a minimum continuous thickness of 4, 3.5 and 1.8 nm, respectively, are grown by ultra-high vacuum magnetron sputter deposition on MgO(001) substrates with

  10. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  11. Electron beam physical vapor deposition of YSZ electrolyte coatings for SOFCs

    NASA Astrophysics Data System (ADS)

    He, Xiaodong; Meng, Bin; Sun, Yue; Liu, Bochao; Li, Mingwei

    2008-09-01

    YSZ electrolyte coatings were prepared by electron beam physical vapor deposition (EB-PVD) at a high deposition rate of up to 1 μm/min. The YSZ coating consisted of a single cubic phase and no phase transformation occurred after annealing treatment at 1000 °C. A typical columnar structure was observed in this coating by SEM and feather-like characteristics appeared in every columnar grain. In columnar grain boundaries there were many micron-sized gaps and pores. In TEM image, many white lines were found, originating from the alignment of nanopores existing within feather-like columnar grains. The element distribution along the cross-section of the coating was homogeneous except Zr with a slight gradient. The coating exhibited a characteristic anisotropic behavior in electrical conductivity. In the direction perpendicular to coating surface the electrical conductivity was remarkably higher than that in the direction parallel to coating surface. This mainly attributed to the typical columnar structure for EB-PVD coating and the existence of many grain boundaries along the direction parallel to coating surface. For as-deposited coating, the gas permeability coefficient of 9.78 × 10 -5 cm 4 N -1 s -1 was obtained and this value was close to the critical value of YSZ electrolyte layer required for solid oxide fuel cell (SOFC) operation.

  12. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  13. Epitaxial thinning process

    NASA Technical Reports Server (NTRS)

    Siegel, C. M. (Inventor)

    1984-01-01

    A method is described for thinning an epitaxial layer of a wafer that is to be used in producing diodes having a specified breakdown voltage and which also facilitates the thinning process. Current is passed through the epitaxial layer, by connecting a current source between the substrate of the wafer and an electrolyte in which the wafer is immersed. When the wafer is initially immersed, the voltage across the wafer initially drops and then rises at a steep rate. When light is applied to the wafer the voltage drops, and when the light is interrupted the voltage rises again. These changes in voltage, each indicate the breakdown voltage of a Schottky diode that could be prepared from the wafer at that time. The epitaxial layer is thinned by continuing to apply current through the wafer while it is immersed and light is applied, to form an oxide film and when the oxide film is thick the wafer can then be cleaned of oxide and the testing and thinning continued. Uninterrupted thinning can be achieved by first forming an oxide film, and then using an electrolyte that dissolves the oxide about as fast as it is being formed, to limit the thickness of the oxide layer.

  14. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    PubMed

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  15. High Tc YBCO superconductor deposited on biaxially textured Ni substrate

    DOEpatents

    Budai, John D.; Christen, David K.; Goyal, Amit; He, Qing; Kroeger, Donald M.; Lee, Dominic F.; List, III, Frederick A.; Norton, David P.; Paranthaman, Mariappan; Sales, Brian C.; Specht, Eliot D.

    1999-01-01

    A superconducting article includes a biaxially-textured Ni substrate, and epitaxial buffer layers of Pd (optional), CeO.sub.2 and YSZ, and a top layer of in-plane aligned, c-axis oriented YBCO having a critical current density (J.sub.c) in the range of at least 100,000 A/cm.sup.2 at 77 K.

  16. Structural Properties of Alternate Monatomic Layered [Fe/Co]n Epitaxial Films on MgO Substrate

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Saki, Yoshinobu; Kawasaki, Shohei; Doi, Masaaki; Sahashi, Masashi

    2008-06-01

    Body-centered-cubic (bcc) Fe50Co50 material is reported to show a high bulk spin scattering coefficient on current perpendicular to plane-giant magneto-resistance (CPP-GMR) system. But the origin of that phenomenon does not make sure yet. We prepared artificially alternate monatomic layered (AML) [Fe/Co] 41 MLs epitaxial films (Ts: 75, 250 °C) by monatomic deposition method and investigated the topology of AML [Fe/Co]n epitaxial films on MgO substrate with different orientation (001), (011) by the scanning tunnel microscopy (STM) and reflection high energy electron diffraction (RHEED), which we could confirm Frank-van der Merwe (FM) growth mode for AML [Fe/Co]n on MgO(001) and Volmer-Weber (VW) growth mode for that on Mg(011). The roughness of surface, Ra (0.20 nm) of AML [Fe/Co] 41 MLs epitaxial film grown at 75 °C on MgO(001) is smaller than that (0.46 nm) of AML [Fe/Co] grown at 250 °C on MgO(001), which has the large terraces of over 50 nm (Ra: 0.17 nm), even though there are some valleys between large terraces. Moreover we confirmed the structural properties of trilayered epitaxial films with AML [Fe/Co]n (Ra: 0.18 nm) and Fe50Co50 alloy epitaxial film on Au electrode by RHEED before confirming the characteristics of CPP-GMR devices.

  17. Structural and electrical properties of epitaxial YBCO films on Si (Abstract Only).

    NASA Astrophysics Data System (ADS)

    Fork, David K.; Barrera, A.; Phillips, Julia M.; Newman, N.; Fenner, David B.; Geballe, Theodore H.; Connell, G. A. N.; Boyce, James B.

    1991-03-01

    Efforts to grow high quality films of YBCO on Si have been complicated by factors discussed in Ref. 1, chief among them being the reaction between YBCO and Si, which is damaging even at 550 C. This is well below the customary temperatures for YBCO film growth. To avoid the reaction problem, epitaxial YBCO films were grown on Si (100) using an intermediate buffer layer of yttria-stabilized zirconia (YSZ).2 Both layers are grown via an entirely in situ process by pulsed laser deposition (PLD). Although the buffer layer prevents reaction, another problem arises; the large difference in thermal expansion coefficients between silicon and YBCO causes strain at room temperature. Thin (<500 A) YBCO films are unrelaxed and under tensile strain with a distorted unit cell. Thicker films are cracked and have poorer electrical properties. The thermal strain may be reduced by growing on silicon-on-sapphire (SOS) rather than silicon.3 This allows the growth of films of arbitrary thickness. Ion channeling reveals a high degree of crystalline perfection with a channeling minimum yield for Ba as low as 12% on either silicon or SOS. The normal state resistivity is 250-300 i-cm at 300 K; the critical temperature, Tc (R=0), is 86-88 K with a transition width (ATc) of I K. Critical current densities (J)°f 2x107 A/cm2 at 4.2 K and >2x106 A/cm2 at 77 K have been achieved. In addition, the surface resistance of a YBCO film on SOS was measured against Nb at 4.2 K. At 10 GHz, a value of 45 was obtained. This compares favorably to values reported for LaAlO3. Application of this technology to produce reaction patterned microstrip lines has been tested.4 This was done by ion milling away portions of the YSZ buffer layer prior to the YBCO deposition. YBCO landing on regions of exposed Si reacts to form an insulator. This technique was used to make 3 micron lines 1.5 mm long. The resulting structure had a Jc of l.6xl06 A/cm2 at 77 K. Isolation of separate structures exceeded 20 M. Several

  18. Effect of substrate preheating treatment on the microstructure and ultrasonic cavitation erosion behavior of plasma-sprayed YSZ coatings.

    PubMed

    Deng, Wen; An, Yulong; Hou, Guoliang; Li, Shuangjian; Zhou, Huidi; Chen, Jianmin

    2018-09-01

    Inconel 718 was used as the substrate and preheated at different temperatures to deposit yttrium stabilized zirconia (denoted as YSZ) coatings by atmospheric plasma spraying. The microstructure of the as-deposited YSZ coatings and those after cavitation-erosion tests were characterized by field emission scanning electron microscopy, Raman spectroscopy, and their hardness and toughness as well as cavitation-erosion resistance were evaluated in relation to the effect of substrate preheating temperature. Results indicate that the as-deposited YSZ coatings exhibit typical layered structure and consist of columnar crystals. With the increase of the substrate preheating temperature, the compactness and cohesion strength of coatings are obviously enhanced, which result in the increases in the hardness, elastic modulus and toughness as well as cavitation-erosion resistance of the ceramic coatings therewith. Particularly, the YSZ coating deposited at a substrate preheating temperature of 800 °C exhibits the highest hardness and toughness as well as the strongest lamellar interfacial bonding and cavitation-erosion resistance (its cavitation-erosion life is as much as 8 times than that of deposited at room temperature). Copyright © 2018 Elsevier B.V. All rights reserved.

  19. Microscopic potential fluctuations in Si-doped AlGaN epitaxial layers with various AlN molar fractions and Si concentrations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurai, Satoshi, E-mail: kurai@yamaguchi-u.ac.jp; Yamada, Yoichi; Miyake, Hideto

    2016-01-14

    Nanoscopic potential fluctuations of Si-doped AlGaN epitaxial layers with the AlN molar fraction varying from 0.42 to 0.95 and Si-doped Al{sub 0.61}Ga{sub 0.39}N epitaxial layers with Si concentrations of 3.0–37 × 10{sup 17 }cm{sup −3} were investigated by cathodoluminescence (CL) imaging combined with scanning electron microscopy. The spot CL linewidths of AlGaN epitaxial layers broadened as the AlN molar fraction was increased to 0.7, and then narrowed at higher AlN molar fractions. The experimental linewidths were compared with the theoretical prediction from the alloy broadening model. The trends displayed by our spot CL linewidths were consistent with calculated results at AlN molar fractionsmore » of less than about 0.60, but the spot CL linewidths were markedly broader than the calculated linewidths at higher AlN molar fractions. The dependence of the difference between the spot CL linewidth and calculated line broadening on AlN molar fraction was found to be similar to the dependence of reported S values, indicating that the vacancy clusters acted as the origin of additional line broadening at high AlN molar fractions. The spot CL linewidths of Al{sub 0.61}Ga{sub 0.39}N epitaxial layers with the same Al concentration and different Si concentrations were nearly constant in the entire Si concentration range tested. From the comparison of reported S values, the increase of V{sub Al} did not contribute to the linewidth broadening, unlike the case of the V{sub Al} clusters.« less

  20. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  1. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    NASA Astrophysics Data System (ADS)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  2. Few layer epitaxial germanene: a novel two-dimensional Dirac material.

    PubMed

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-10

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  3. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    PubMed Central

    Dávila, María Eugenia; Le Lay, Guy

    2016-01-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing. PMID:26860590

  4. Free-standing epitaxial graphene on silicon carbide and transport barriers in layered materials

    NASA Astrophysics Data System (ADS)

    Shivaraman, Shriram

    This thesis is based on the topic of layered materials, in which different layers interact with each other via van der Waals forces. The majority of this thesis deals with epitaxial graphene (EG) obtained from silicon carbide (SiC). Free-standing epitaxial graphene (FSEG) structures are produced from EG using a photoelectrochemical (PEC) etching process developed for making suspended graphene structures on a large-scale. These structures are investigated for their mechanical and electrical properties. For doubly-clamped FSEG structures, a unique U-beam effect is observed which causes orders of magnitude increase in their mechanical resonance frequency compared to that expected using simple beam theory. Combined magnetotransport and Raman spectroscopy studies reveal that FSEG devices produced from nominally monolayer graphene on the Si-face of SiC exhibit properties of an inhomogeneously doped bilayer after becoming suspended. This suggests that the buffer layer which precedes graphene growth on the Si-face of SiC gets converted to a graphene layer after the PEC etching process. In the second theme of this thesis, transport barriers in layered materials are investigated. The EG-SiC interface is studied using a combination of electrical (I-V, C-V) and photocurrent spectroscopy techniques. It is shown that the interface may be described as having a Schottky barrier for electron transport with a Gaussian distribution of barrier heights. Another interface explored in this work is that between different layers of MoS 2, a layered material belonging to the class of transition metal dichalcogenides. This interface maybe thought of as a one-dimensional junction. Four-point transport measurements indicate the presence of a barrier for electron transport at this interface. A simple model of the junction as a region with an increased threshold voltage and degraded mobility is suggested. The final chapter is a collection of works based on the topic of layered materials, which

  5. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  6. Mechanical Properties of Layered La2Zr2O7 Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Guo, Xingye; Li, Li; Park, Hyeon-Myeong; Knapp, James; Jung, Yeon-Gil; Zhang, Jing

    2018-04-01

    Lanthanum zirconate (La2Zr2O7) has been proposed as a promising thermal barrier coating (TBC) material due to its low thermal conductivity and high stability at high temperatures. In this work, both single and double-ceramic-layer (DCL) TBC systems of La2Zr2O7 and 8 wt.% yttria-stabilized zirconia (8YSZ) were prepared using air plasma spray (APS) technique. The thermomechanical properties and microstructure were investigated. Thermal gradient mechanical fatigue (TGMF) tests were applied to investigate the thermal cycling performance. The results showed that DCL La2Zr2O7 + 8YSZ TBC samples lasted fewer cycles compared with single-layered 8YSZ TBC samples in TGMF tests. This is because DCL La2Zr2O7 TBC samples had higher residual stress during the thermal cycling process, and their fracture toughness was lower than that of 8YSZ. Bond strength test results showed that 8YSZ TBC samples had higher bond strength compared with La2Zr2O7. The erosion rate of La2Zr2O7 TBC samples was higher than that of 8YSZ samples, due to the lower critical erodent velocity and fracture toughness of La2Zr2O7. DCL porous 8YSZ + La2Zr2O7 had a lower erosion rate than other SCL and DCL La2Zr2O7 coatings, suggesting that porous 8YSZ serves as a stress-relief buffer layer.

  7. Electromigration in epitaxial Cu(001) lines

    NASA Astrophysics Data System (ADS)

    Ramanath, G.; Kim, H.; Goindi, H. S.; Frederick, M. J.; Shin, C.-S.; Goswami, R.; Petrov, I.; Greene, J. E.

    2002-04-01

    We report the electromigration (EM) response of single-domain epitaxial Cu(001) lines on layers of Ta, TaN, and TiN. Epitaxial Cu(001) lines on nitride layers exhibit nearly two orders of magnitude higher mean-time-to-failure (MTTF) values than those on Ta, indicating the strong influence of the underlayer. The activation energy of EM for Cu on the nitrides is ˜0.8-1.2 eV, and that of Cu on Ta is ˜0.2 eV, for 200-300 °C. Our results also indicate that the MTTF values correlate inversely to the crystal quality of the Cu layers measured by X-ray diffraction. The EM resistance of epitaxial Cu lines with different crystal quality on TaN were measured to separate the effects of interface chemistry and crystal quality. While higher quality epitaxial films reveal a higher EM resistance, the magnitude of the change is smaller than that obtained by changing the interface chemistry. Epitaxial lines exhibit more than 3-4 orders of magnitude higher MTTF than polycrystalline lines on the same underlayer. Based upon our results, we propose that the Cu/underlayer interface chemistry and presence of grain boundary diffusion play important roles in unpassivated Cu films.

  8. Synthesis of Epitaxial Single-Layer MoS2 on Au(111).

    PubMed

    Grønborg, Signe S; Ulstrup, Søren; Bianchi, Marco; Dendzik, Maciej; Sanders, Charlotte E; Lauritsen, Jeppe V; Hofmann, Philip; Miwa, Jill A

    2015-09-08

    We present a method for synthesizing large area epitaxial single-layer MoS2 on the Au(111) surface in ultrahigh vacuum. Using scanning tunneling microscopy and low energy electron diffraction, the evolution of the growth is followed from nanoscale single-layer MoS2 islands to a continuous MoS2 layer. An exceptionally good control over the MoS2 coverage is maintained using an approach based on cycles of Mo evaporation and sulfurization to first nucleate the MoS2 nanoislands and then gradually increase their size. During this growth process the native herringbone reconstruction of Au(111) is lifted as shown by low energy electron diffraction measurements. Within the MoS2 islands, we identify domains rotated by 60° that lead to atomically sharp line defects at domain boundaries. As the MoS2 coverage approaches the limit of a complete single layer, the formation of bilayer MoS2 islands is initiated. Angle-resolved photoemission spectroscopy measurements of both single and bilayer MoS2 samples show a dramatic change in their band structure around the center of the Brillouin zone. Brief exposure to air after removing the MoS2 layer from vacuum is not found to affect its quality.

  9. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    NASA Astrophysics Data System (ADS)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  10. Altering thermal transport by strained-layer epitaxy

    NASA Astrophysics Data System (ADS)

    Majdi, Tahereh; Pal, Souvik; Hafreager, Anders; Murad, Sohail; Sahu, Rakesh P.; Puri, Ishwar K.

    2018-05-01

    Since strain changes the interatomic spacing of matter and alters electron and phonon dispersion, an applied strain can modify the thermal conductivity k of a material. We show how the strain induced by heteroepitaxy is a passive mechanism to change k in a thin film. Molecular dynamics simulations of the deposition and epitaxial growth of ZnTe thin films provide insights into the role of interfacial strain in the conductivity of a deposited film. ZnTe films grow strain-free on lattice-matched ZnTe substrates, but similar thin films grown on a lattice-mismatched CdTe substrate exhibit ˜6% biaxial in-plane tensile strain and ˜7% uniaxial out-of-plane compressive strain. In the T = 700 K-1100 K temperature range, the conductivities of strained ZnTe layers decrease to ˜60% of their unstrained values. The resulting understanding of dk/dT shows that strain engineering can be used to alter the performance of a thermal rectifier and also provides a framework for enhancing thermoelectric devices.

  11. Epitaxial Graphene: A New Material for Electronics

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  12. Epitaxially influenced boundary layer model for size effect in thin metallic films

    NASA Astrophysics Data System (ADS)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  13. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  14. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  15. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  16. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  17. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  18. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  19. Linear facing target sputtering of the epitaxial Ga-doped ZnO transparent contact layer on GaN-based light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Shin, Hyun-Su; Lee, Ju-Hyun; Kwak, Joon-Seop; Lee, Hyun Hwi; Kim, Han-Ki

    2013-10-01

    In this study, we reported on the plasma damage-free sputtering of epitaxial Ga-doped ZnO (GZO) films on the p-GaN layer for use as a transparent contact layer (TCL) for GaN-based light-emitting diodes (LEDs) using linear facing target sputtering (LFTS). Effective confinement of high-density plasma between faced GZO targets and the substrate position located outside of the plasma region led to the deposition of the epitaxial GZO TCL with a low sheet resistance of 25.7 Ω/s and a high transmittance of 84.6% on a p-GaN layer without severe plasma damage, which was found using the conventional dc sputtering process. The low turn-on voltage of the GaN-based LEDs with an LFTS-grown GZO TCL layer that was grown at a longer target-to-substrate distance (TSD) indicates that the plasma damage of the GaN-LED could be effectively reduced by adjusting the TSD during the LFTS process.

  20. Fabrication and Properties of Cr2O3 and La0.7Sr0.3MnO3 Thin Film Heterostructures Integrated on Si(001)

    NASA Astrophysics Data System (ADS)

    Punugupati, Sandhyarani

    Spintronics that utilizes both the spin and charge degrees of freedom of an electron is emerged as an alternate memory technology to conventional CMOS electronics. Many proposed spintronic devices require multifunctional properties in a single material. The oxides Cr2O3 and La0.7Sr0.3MnO3 are such materials which exhibit unique physical properties at room temperature. The Cr2O3 is an antiferromagnetic and magnetoelectric material below its Neel temperature 307K. The La0.7Sr0.3MnO3 is a ferromagnetic half metal with a Curie temperature of 360K and exhibits colossal magnetoresistance. However, the reach of this spintronic technology into more device applications is possible only when these materials in epitaxial thin film form are integrated with Si(001) which is the mainstay substrate in semiconductor industry. The primary objective of this dissertation was to integrate epitaxial Cr2O3, La0.7Sr0.3MnO3 and Cr2O3/La0.7Sr0.3MnO3 thin film heterostructure on Si(001) and, study their physical properties to investigate structure-processing-property relationship in these heterostructures. The epitaxial integration of Cr2O3 thin films on Si(001) was done using epitaxial cubic yttria stabilized zirconia (c-YSZ) buffer layer by pulsed laser deposition. Detailed structural characterizations XRD (2theta and phi) and TEM confirm the epitaxial nature of the films. Though bulk Cr2O3 is antiferromagnetic along the c-axis, the in-plane magnetization measurements on Cr2O3(0001) thin films showed ferromagnetic behavior up to 400K. The thickness dependent magnetization together with oxygen annealing results suggested that the in-plane ferromagnetism in Cr2O3 was due to the oxygen related defects whose concentration is controlled by strain in the films. The out-of-plane magnetic measurements on Cr2O3(0001) films showed magnetic behavior indicative of antiferromagnetic nature. To verify whether ferromagnetism can be induced by strain in Cr 2O3 thin films with orientation other than (0001

  1. Controllable growth of layered selenide and telluride heterostructures and superlattices using molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vishwanath, Suresh; Liu, Xinyu; Rouvimov, Sergei

    2016-01-06

    Layered materials are an actively pursued area of research for realizing highly scaled technologies involving both traditional device structures as well as new physics. Lately, non-equilibrium growth of 2D materials using molecular beam epitaxy (MBE) is gathering traction in the scientific community and here we aim to highlight one of its strengths, growth of abrupt heterostructures, and superlattices (SLs). In this work we present several of the firsts: first growth of MoTe 2 by MBE, MoSe 2 on Bi 2Se 3 SLs, transition metal dichalcogenide (TMD) SLs, and lateral junction between a quintuple atomic layer of Bi 2Te 3 andmore » a triple atomic layer of MoTe 2. In conclusion, reflected high electron energy diffraction oscillations presented during the growth of TMD SLs strengthen our claim that ultrathin heterostructures with monolayer layer control is within reach.« less

  2. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  3. Manufacturing of Composite Coatings by Atmospheric Plasma Spraying Using Different Feed-Stock Materials as YSZ and MoSi2

    NASA Astrophysics Data System (ADS)

    Koch, D.; Mauer, G.; Vaßen, R.

    2017-04-01

    Yttria-stabilized zirconia (YSZ) is the state-of-the-art material for the top coat of thermal barrier coatings. To increase the efficiency and lifetime of gas turbines, the integration of MoSi2 as a healing material was proposed. A new method of manufacture was explored in order to enable the spraying of a homogeneous mixed layer of YSZ and MoSi2. As the chemical and physical properties of these powders are very different, they require contrasting process conditions. Due to the evaporation of Si from MoSi2 at spraying conditions suitable for YSZ, more moderate conditions and a shorter time of flight are required for depositing MoSi2. At the same time, the spraying conditions still need to be sufficient for melting the YSZ particles in order to produce a coating. To obtain a homogeneous mixture, both conditions can be matched using an injection system that allows powder injection at two different locations of the plasma jet. Two-color pyrometry during flight (DPV-2000, Tecnar) was used to monitor the actual particle temperature. By optimizing the injection point for the MoSi2, a mixed coating was obtained without decomposition of the MoSi2, which has been analyzed by means of XRD and SEM.

  4. Growth of BaIn2S4 layers through the hot-wall-epitaxy method and their electric/optical properties

    NASA Astrophysics Data System (ADS)

    Hong, K. J.; Jeong, T. S.; Youn, C. J.

    2016-01-01

    The epitaxial growth of photoconductive BaIn2S4 layers was first achieved through the hot-wall-epitaxy method. In spite of an existing large lattice mismatch between the substrate and layer, BaIn2S4 layers were epitaxially grown along the (440) direction onto a GaAs (100) substrate. Thus, the lattice mismatch was well interpreted through a coincidence site lattice model. From the relationship between the reciprocal temperature and the carrier concentration, the three donor levels were found to be 1.3, 20.2, and 78.3 meV below the conduction band. These donor levels are caused by the native defects originating from slight stoichiometric deviations. From the temperature dependence of the Hall mobility, two specific scatterings were observed. One, at high temperatures ranging over 180 K, is mainly due to the acoustic phonon mode of lattice vibrations through a deformation potential. The other, at low temperatures ranging below 100 K, is ascribed to the dislocation scattering. From the photocurrent (PC) measurement, three PC peaks due to band-to-band transitions were observed. Also, based on the analysis of optical absorption and PC spectra, the optical band gap has been compared and matched well with Eg(T)=Eg(0)-3.95×10-3T2/(T+499), where Eg(0) is estimated to be 3.0597, 3.2301, and 3.2606 eV for the transitions corresponding to the valence band states of peaks A, B and C, respectively.

  5. Phase-separated, epitaxial composite cap layers for electronic device applications and method of making the same

    DOEpatents

    Aytug, Tolga [Knoxville, TN; Paranthaman, Mariappan Parans [Knoxville, TN; Polat, Ozgur [Knoxville, TN

    2012-07-17

    An electronic component that includes a substrate and a phase-separated layer supported on the substrate and a method of forming the same are disclosed. The phase-separated layer includes a first phase comprising lanthanum manganate (LMO) and a second phase selected from a metal oxide (MO), metal nitride (MN), a metal (Me), and combinations thereof. The phase-separated material can be an epitaxial layer and an upper surface of the phase-separated layer can include interfaces between the first phase and the second phase. The phase-separated layer can be supported on a buffer layer comprising a composition selected from the group consisting of IBAD MgO, LMO/IBAD-MgO, homoepi-IBAD MgO and LMO/homoepi-MgO. The electronic component can also include an electronically active layer supported on the phase-separated layer. The electronically active layer can be a superconducting material, a ferroelectric material, a multiferroic material, a magnetic material, a photovoltaic material, an electrical storage material, and a semiconductor material.

  6. STM studies of GeSi thin layers epitaxially grown on Si(111)

    NASA Astrophysics Data System (ADS)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  7. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  8. Selective epitaxy using the gild process

    DOEpatents

    Weiner, Kurt H.

    1992-01-01

    The present invention comprises a method of selective epitaxy on a semiconductor substrate. The present invention provides a method of selectively forming high quality, thin GeSi layers in a silicon circuit, and a method for fabricating smaller semiconductor chips with a greater yield (more error free chips) at a lower cost. The method comprises forming an upper layer over a substrate, and depositing a reflectivity mask which is then removed over selected sections. Using a laser to melt the unmasked sections of the upper layer, the semiconductor material in the upper layer is heated and diffused into the substrate semiconductor material. By varying the amount of laser radiation, the epitaxial layer is formed to a controlled depth which may be very thin. When cooled, a single crystal epitaxial layer is formed over the patterned substrate. The present invention provides the ability to selectively grow layers of mixed semiconductors over patterned substrates such as a layer of Ge.sub.x Si.sub.1-x grown over silicon. Such a process may be used to manufacture small transistors that have a narrow base, heavy doping, and high gain. The narrowness allows a faster transistor, and the heavy doping reduces the resistance of the narrow layer. The process does not require high temperature annealing; therefore materials such as aluminum can be used. Furthermore, the process may be used to fabricate diodes that have a high reverse breakdown voltage and a low reverse leakage current.

  9. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  10. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  11. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  12. Heavily boron-doped Si layers grown below 700 C by molecular beam epitaxy using a HBO2 source

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.

    1989-01-01

    Boron doping in Si layers grown by molecular beam epitaxy (MBE) at 500-700 C using an HBO2 source has been studied. The maximum boron concentration without detectable oxygen incorporation for a given substrate temperature and Si growth rate has been determined using secondary-ion mass spectrometry analysis. Boron present in the Si MBE layers grown at 550-700 C was found to be electrically active, independent of the amount of oxygen incorporation. By reducing the Si growth rate, highly boron-doped layers have been grown at 600 C without detectable oxygen incorporation.

  13. Growth of boron-doped few-layer graphene by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Soares, G. V.; Nakhaie, S.; Heilmann, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    We investigated the growth of boron-doped few-layer graphene on α-Al2O3 (0001) substrates by molecular beam epitaxy using two different growth approaches: one where boron was provided during the entire graphene synthesis and the second where boron was provided only during the second half of the graphene growth run. Electrical measurements show a higher p-type carrier concentration for samples fabricated utilizing the second approach, with a remarkable modulation in the carrier concentration of almost two orders of magnitude in comparison to the pristine graphene film. The results concerning the influence of the boron flux at different growth stages of graphene on the electrical and physicochemical properties of the films are presented.

  14. Towards precise defect control in layered oxide structures by using oxide molecular beam epitaxy

    PubMed Central

    Baiutti, Federico; Christiani, Georg

    2014-01-01

    Summary In this paper we present the atomic-layer-by-layer oxide molecular beam epitaxy (ALL-oxide MBE) which has been recently installed in the Max-Planck Institute for Solid State Research and we report on its present status, providing some examples that demonstrate its successful application in the synthesis of different layered oxides, with particular reference to superconducting La2CuO4 and insulator-to-metal La2− xSrxNiO4. We briefly review the ALL-oxide MBE technique and its unique capabilities in the deposition of atomically smooth single-crystal thin films of various complex oxides, artificial compounds and heterostructures, introducing our goal of pursuing a deep investigation of such systems with particular emphasis on structural defects, with the aim of tailoring their functional properties by precise defects control. PMID:24995148

  15. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  16. Processing of catalysts by atomic layer epitaxy: modification of supports

    NASA Astrophysics Data System (ADS)

    Lindblad, Marina; Haukka, Suvi; Kytökivi, Arla; Lakomaa, Eeva-Liisa; Rautiainen, Aimo; Suntola, Tuomo

    1997-11-01

    Different supports were modified with titania, zirconia and chromia by the atomic layer epitaxy technique (ALE). In ALE, a metal precursor is bound to the support in saturating gas-solid reactions. Surface oxides are grown by alternating reactions of the metal precursor and an oxidizing agent. Growth mechanisms differ depending on the precursor-support pair and the processing conditions. In this work, the influences of the support, precursor and reaction temperature were investigated by comparing the growth of titania from Ti(OCH(CH 3) 2) 4 on silica and alumina, titania from TiCl 4 and Ti(OCH(CH 3) 2) 4 on silica, and zirconia from ZrCl 4 on silica and alumina. The modification of porous oxides supported on metal substrates (monoliths) was demonstrated for the growth of chromia from Cr(acac) 3.

  17. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  18. DOE-EPSCoR. Exchange interactions in epitaxial intermetallic layered systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    LeClair, Patrick R.; Gary, Mankey J.

    2015-05-25

    The goal of this research is to develop a fundamental understanding of the exchange interactions in epitaxial intermetallic alloy thin films and multilayers, including films and multilayers of Fe-Pt, Co-Pt and Fe-P-Rh alloys deposited on MgO and Al2O3 substrates. Our prior results have revealed that these materials have a rich variety of ferromagnetic, paramagnetic and antiferromagnetic phases which are sensitive functions of composition, substrate symmetry and layer thickness. Epitaxial antiferromagnetic films of FePt alloys exhibit a different phase diagram than bulk alloys. The antiferromagnetism of these materials has both spin ordering transitions and spin orienting transitions. The objectives include themore » study of exchange-inversion materials and the interface of these materials with ferromagnets. Our aim is to formulate a complete understanding of the magnetic ordering in these materials, as well as developing an understanding of how the spin structure is modified through contact with a ferromagnetic material at the interface. The ultimate goal is to develop the ability to tune the phase diagram of the materials to produce layered structures with tunable magnetic properties. The alloy systems that we will study have a degree of complexity and richness of magnetic phases that requires the use of the advanced tools offered by the DOE-operated national laboratory facilities, such as neutron and x-ray scattering to measure spin ordering, spin orientations, and element-specific magnetic moments. We plan to contribute to DOE’s mission of producing “Materials by Design” with properties determined by alloy composition and crystal structure. We have developed the methods for fabricating and have performed neutron diffraction experiments on some of the most interesting phases, and our work will serve to answer questions raised about the element-specific magnetizations using the magnetic x-ray dichroism techniques and interface magnetism in layered

  19. Nanometer-Scale Epitaxial Strain Release in Perovskite Heterostructures Using 'SrAlOx' Sliding Buffer Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Christopher

    2011-08-11

    We demonstrate the strain release of LaAlO{sub 3} epitaxial film on SrTiO{sub 3} (001) by inserting ultra-thin 'SrAlO{sub x}' buffer layers. Although SrAlO{sub x} is not a perovskite, nor stable as a single phase in bulk, epitaxy stabilizes the perovskite structure up to a thickness of 2 unit cells (uc). At a critical thickness of 3 uc of SrAlO{sub x}, the interlayer acts as a sliding buffer layer, and abruptly relieves the lattice mismatch between the LaAlO{sub 3} filmand the SrTiO{sub 3} substrate, while maintaining crystallinity. This technique may provide a general approach for strain relaxation of perovskite film farmore » below the thermodynamic critical thickness. A central issue in heteroepitaxial filmgrowth is the inevitable difference in lattice constants between the filmand substrate. Due to this lattice mismatch, thin film are subjected to microstructural strain, which can have a significan effect on the filmproperties. This challenge is especially prominent in the rapidly developing fiel of oxide electronics, where much interest is focused on incorporating the emergent physical properties of oxides in devices. Although strain can be used to great effect to engineer unusual ground states, it is often deleterious for bulk first-orde phase transitions, which are suppressed by the strain and symmetry constraints of the substrate. While there are some reports discussing the control of the lattice mismatch in oxides using thick buffer layers, the materials choice, lattice-tunable range, and control of misfit dislocations are still limited. In this Letter, we report the fabrication of strain-relaxed LaAlO{sub 3} (LAO) thin film on SrTiO{sub 3} (STO) (001) using very thin 'SrAlO{sub x}' (SAO) buffer layers. Whereas for 1 or 2 pseudo-perovskite unit cells (uc) of SAO, the subsequent LAO filmis strained to the substrate, at a critical thickness of 3 uc the SAO interlayer abruptly relieves the lattice mismatch between the LAO and the STO, although maintaining

  20. Effect of L1{sub 2} ordering in antiferromagnetic Ir-Mn epitaxial layer on exchange bias of FePd films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Y. C.; Duh, J. G., E-mail: pmami.hsiao@gmail.com, E-mail: lin.yg@nsrrc.org.tw, E-mail: jgd@mx.nthu.edu.tw; Hsiao, S. N., E-mail: pmami.hsiao@gmail.com, E-mail: lin.yg@nsrrc.org.tw, E-mail: jgd@mx.nthu.edu.tw

    2015-05-07

    Two series of samples of single-layer IrMn and IrMn/FePd bilayer films, deposited on a single-crystal MgO substrate at different IrMn deposition temperatures (T{sub s} = 300–700 °C), were investigated using magnetron sputtering. L1{sub 2} ordering was revealed for the 30 nm-thick IrMn epitaxial (001) films with T{sub s} ≥ 400 °C, determined by synchrotron radiation x-ray diffractometry (XRD). XRD results also provide evidence of the epitaxial growth of the IrMn films on MgO substrate. Increasing T{sub s} from 400 to 700 °C monotonically increases the ordering parameter of L1{sub 2} phases from 0.17 to 0.81. An in-plane exchange bias field (H{sub eb}) of 22 Oe is obtained in amore » 10 nm-thick FePd film that is deposited on the disordered IrMn films. As the L1{sub 2} ordering of the IrMn layers increases, the H{sub eb} gradually decreases to 0 Oe, meaning that the exchange bias behavior vanishes. The increased surface roughness, revealed by atomic force microscopy, of the epitaxial IrMn layers with increasing T{sub s} cannot be the main cause of the decrease in H{sub eb} due to the compensated surface spins regardless of the disordered and ordered (001) IrMn layers. The change of antiferromagnetic structure from the A1 to the L1{sub 2} phase was correlated with the evolution of H{sub eb}.« less

  1. Performance of epitaxial back surface field cells

    NASA Technical Reports Server (NTRS)

    Brandhorst, H. W., Jr.; Baraona, C. R.; Swartz, C. K.

    1973-01-01

    Epitaxial back surface field structures were formed by depositing a 10 micron thick 10 Omega-cm epitaxial silicon layer onto substrates with resistivities of 0.01, 0.1, 1.0 and 10 Omega-cm. A correlation between cell open-circuit voltage and substrate resistivity was observed and was compared to theory. The cells were also irradiated with 1 MeV electrons to a fluence of 5 X 10 to the 15th power e/cm2. The decrease of cell open-circuit voltage was in excellent agreement with theoretical predictions and the measured short circuit currents were within 2% of the prediction. Calculations are presented of optimum cell performance as functions of epitaxial layer thickness, radiation fluence and substrate diffusion length.

  2. Self-assembled Multilayers of Silica Nanospheres for Defect Reduction in Non- and Semipolar Gallium Nitride Epitaxial Layers

    PubMed Central

    2015-01-01

    Non- and semipolar GaN have great potential to improve the efficiency of light emitting devices due to much reduced internal electric fields. However, heteroepitaxial GaN growth in these crystal orientations suffers from very high dislocation and stacking faults densities. Here, we report a facile method to obtain low defect density non- and semipolar heteroepitaxial GaN via selective area epitaxy using self-assembled multilayers of silica nanospheres (MSN). Nonpolar (11–20) and semipolar (11–22) GaN layers with high crystal quality have been achieved by epitaxial integration of the MSN and a simple one-step overgrowth process, by which both dislocation and basal plane stacking fault densities can be significantly reduced. The underlying defect reduction mechanisms include epitaxial growth through the MSN covered template, island nucleation via nanogaps in the MSN, and lateral overgrowth and coalescence above the MSN. InGaN/GaN multiple quantum wells structures grown on a nonpolar GaN/MSN template show more than 30-fold increase in the luminescence intensity compared to a control sample without the MSN. This self-assembled MSN technique provides a new platform for epitaxial growth of nitride semiconductors and offers unique opportunities for improving the material quality of GaN grown on other orientations and foreign substrates or heteroepitaxial growth of other lattice-mismatched materials. PMID:27065755

  3. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  4. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  5. The Low Temperature Epitaxy of Strained GeSn Layers Using RTCVD System

    NASA Astrophysics Data System (ADS)

    Kil, Yeon-Ho; Yuk, Sim-Hoon; Jang, Han-Soo; Lee, Sang-Geul; Choi, Chel-Jong; Shim, Kyu-Hwan

    2018-03-01

    We have investigated the low temperature (LT) growth of GeSn-Ge-Si structures using rapid thermal chemical vapor deposition system utilizing Ge2H6 and SnCl4 as the reactive precursors. Due to inappropriate phenomena, such as, Ge etch and Sn segregation, it was hard to achieve high quality GeSn epitaxy at the temperature > 350 °C. On the contrary, we found that the SnCl4 promoted the reaction of Ge2H6 precursors in a certain process condition of LT, 240-360 °C. In return, we could perform the growth of GeSn epi layer with 7.7% of Sn and its remaining compressive strain of 71.7%. The surface propagated defects were increased with increasing the Sn content in the GeSn layer confirmed by TEM analysis. And we could calculate the activation energies at lower GeSn growth temperature regime using by Ge2H6 and SnCl4 precursors about 0.43 eV.

  6. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  7. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    NASA Astrophysics Data System (ADS)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  8. Elemental boron-doped p(+)-SiGe layers grown by molecular beam epitaxy for infrared detector applications

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; George, T.; Jones, E. W.; Ksendzov, A.; Huberman, M. L.

    1992-01-01

    SiGe/Si heterojunction internal photoemission (HIP) detectors have been fabricated utilizing molecular beam epitaxy of p(+)-SiGe layers on p(-)-Si substrates. Elemental boron from a high-temperature effusion cell was used as the dopant source during MBE growth, and high doping concentrations have been achieved. Strong infrared absorption, mainly by free-carrier absorption, was observed for the degenerately doped SiGe layers. The use of elemental boron as the dopant source allows a low MBE growth temperature, resulting in improved crystalline quality and smooth surface morphology of the Si(0.7)Ge(0.3) layers. Nearly ideal thermionic emission dark current characteristics have been obtained. Photoresponse of the HIP detectors in the long-wavelength infrared regime has been demonstrated.

  9. Giant magnetoresistive structures based on CrO{sub 2} with epitaxial RuO{sub 2} as the spacer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miao, G.X.; Gupta, A.; Sims, H.

    2005-05-15

    Epitaxial ruthenium dioxide (RuO{sub 2})/chromium dioxide(CrO{sub 2}) thin film heterostructures have been grown on (100)-TiO{sub 2} substrates by chemical vapor deposition. Both current-in-plane (CIP) and current-perpendicular-to-plane (CPP) giant magnetoresistive stacks were fabricated with either Co or another epitaxial CrO{sub 2} layer as the top electrode. The Cr{sub 2}O{sub 3} barrier, which forms naturally on CrO{sub 2} surfaces, is no longer present after the RuO{sub 2} deposition, resulting in a highly conductive interface that has a resistance at least four orders of magnitude lower. However, only very limited magnetoresistance (MR) was observed. Such low MR is due to the appearance ofmore » a chemically and magnetically disordered layer at the CrO{sub 2} and RuO{sub 2} interfaces when Cr{sub 2}O{sub 3} is transformed into rutile structures during its intermixing with RuO{sub 2}.« less

  10. Computer simulation studies of the growth of strained layers by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Faux, D. A.; Gaynor, G.; Carson, C. L.; Hall, C. K.; Bernholc, J.

    1990-08-01

    Two new types of discrete-space Monte Carlo computer simulation are presented for the modeling of the early stages of strained-layer growth by molecular-beam epitaxy. The simulations are more economical on computer resources than continuous-space Monte Carlo or molecular dynamics. Each model is applied to the study of growth onto a substrate in two dimensions with use of Lennard-Jones interatomic potentials. Up to seven layers are deposited for a variety of lattice mismatches, temperatures, and growth rates. Both simulations give similar results. At small lattice mismatches (<~4%) the growth is in registry with the substrate, while at high mismatches (>~6%) the growth is incommensurate with the substrate. At intermediate mismatches, a transition from registered to incommensurate growth is observed which commences at the top of the crystal and propagates down to the first layer. Faster growth rates are seen to inhibit this transition. The growth mode is van der Merwe (layer-by-layer) at 2% lattice mismatch, but at larger mismatches Volmer-Weber (island) growth is preferred. The Monte Carlo simulations are assessed in the light of these results and the ease at which they can be extended to three dimensions and to more sophisticated potentials is discussed.

  11. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  12. Effect of gamma ray and high-energy oxygen ion radiation on electrical and optical properties of MCT epitaxial layers

    NASA Astrophysics Data System (ADS)

    Sitharaman, S.; Kanjilal, D.; Arora, S. K.; Ganguly, S. K.; Nagpal, Anjana; Gautam, Madhukar; Raman, R.; Kumar, Shiv; Prakash, V. R.; Gupta, S. C.

    1999-11-01

    Hg1-xCdxTe epitaxial layers grown from Te-rich solution have been exposed to Gamma ray radiation up to 650 Grey using Co60 and high energy oxygen radiation at 100Mev. The electrical resistivity, carrier density and Hall mobility values at 77K and IR transmission at 300K have been measured in n,p and compensated epilayers both before and after irradiation. These properties are very much affected by these radiations. In the uncompensated p-type epitaxial layers both types of radiation produced an increase in extrinsic carrier density and a corresponding decrease in Hall mobility. It is observed that both types of radiation have significant effect on the compensated layers and the degree of compensation is greatly reduced by the oxygen irradiation. The 100 Mev oxygen irradiation produced an apparent shift in the bandgap towards shorter wavelength and the absorption below the energy gap is reduced as shown by FTIR measurements, whereas Gamma ray radiation up to the dose 650 Grey did not have any effect on optical properties. These results show the ability of oxygen radiation to passivate the activity of residual impurities or defects.

  13. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    PubMed

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  14. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  15. 18O-tracer diffusion along nanoscaled Sc2O3/yttria stabilized zirconia (YSZ) multilayers: on the influence of strain.

    PubMed

    Aydin, Halit; Korte, Carsten; Janek, Jürgen

    2013-06-01

    The oxygen tracer diffusion coefficient describing transport along nano-/microscaled YSZ/Sc 2 O 3 multilayers as a function of the thick-ness of the ion-conducting YSZ layers has been measured by isotope exchange depth profiling (IEDP), using secondary ion mass spec-trometry (SIMS). The multilayer samples were prepared by pulsed laser deposition (PLD) on (0001) Al 2 O 3 single crystalline substrates. The values for the oxygen tracer diffusion coefficient were analyzed as a combination of contributions from bulk and interface contributions and compared with results from YSZ/Y 2 O 3 -multilayers with similar microstructure. Using the Nernst-Einstein equation as the relation between diffusivity and electrical conductivity we find very good agreement between conductivity and diffusion data, and we exclude substantial electronic conductivity in the multilayers. The effect of hetero-interface transport can be well explained by a simple interface strain model. As the multilayer samples consist of columnar film crystallites with a defined inter-face structure and texture, we also discuss the influence of this particular microstructure on the interfacial strain.

  16. 18O-tracer diffusion along nanoscaled Sc2O3/yttria stabilized zirconia (YSZ) multilayers: on the influence of strain

    PubMed Central

    Aydin, Halit; Korte, Carsten; Janek, Jürgen

    2013-01-01

    The oxygen tracer diffusion coefficient describing transport along nano-/microscaled YSZ/Sc2O3 multilayers as a function of the thick­ness of the ion-conducting YSZ layers has been measured by isotope exchange depth profiling (IEDP), using secondary ion mass spec­trometry (SIMS). The multilayer samples were prepared by pulsed laser deposition (PLD) on (0001) Al2O3 single crystalline substrates. The values for the oxygen tracer diffusion coefficient were analyzed as a combination of contributions from bulk and interface contributions and compared with results from YSZ/Y2O3-multilayers with similar microstructure. Using the Nernst–Einstein equation as the relation between diffusivity and electrical conductivity we find very good agreement between conductivity and diffusion data, and we exclude substantial electronic conductivity in the multilayers. The effect of hetero-interface transport can be well explained by a simple interface strain model. As the multilayer samples consist of columnar film crystallites with a defined inter­face structure and texture, we also discuss the influence of this particular microstructure on the interfacial strain. PMID:27877580

  17. Heat resistive dielectric multi-layer micro-mirror array in epitaxial lateral overgrowth gallium nitride.

    PubMed

    Huang, Chen-Yang; Ku, Hao-Min; Liao, Wei-Tsai; Chao, Chu-Li; Tsay, Jenq-Dar; Chao, Shiuh

    2009-03-30

    Ta2O5 / SiO2 dielectric multi-layer micro-mirror array (MMA) with 3mm mirror size and 6mm array period was fabricated on c-plane sapphire substrate. The MMA was subjected to 1200 degrees C high temperature annealing and remained intact with high reflectance in contrast to the continuous multi-layer for which the layers have undergone severe damage by 1200 degrees C annealing. Epitaxial lateral overgrowth (ELO) of gallium nitride (GaN) was applied to the MMA that was deposited on both sapphire and sapphire with 2:56 mm GaN template. The MMA was fully embedded in the ELO GaN and remained intact. The result implies that our MMA is compatible to the high temperature growth environment of GaN and the MMA could be incorporated into the structure of the micro-LED array as a one to one micro backlight reflector, or as the patterned structure on the large area LED for controlling the output light.

  18. Process for growing epitaxial gallium nitride and composite wafers

    DOEpatents

    Weber, Eicke R.; Subramanya, Sudhir G.; Kim, Yihwan; Kruger, Joachim

    2003-05-13

    A novel growth procedure to grow epitaxial Group III metal nitride thin films on lattice-mismatched substrates is proposed. Demonstrated are the quality improvement of epitaxial GaN layers using a pure metallic Ga buffer layer on c-plane sapphire substrate. X-ray rocking curve results indicate that the layers had excellent structural properties. The electron Hall mobility increases to an outstandingly high value of .mu.>400 cm.sup.2 /Vs for an electron background concentration of 4.times.10.sup.17 cm.sup.-3.

  19. Hot corrosion behavior of YSZ, Gd2Zr2O7 and YSZ/Gd2Zr2O7 thermal barrier coatings exposed to molten sulfate and vanadate salt

    NASA Astrophysics Data System (ADS)

    Ozgurluk, Yasin; Doleker, Kadir Mert; Karaoglanli, Abdullah Cahit

    2018-04-01

    Thermal barrier coatings (TBCs) are mostly used in critical components of aircraft gas turbine engines. Hot corrosion is among the main deteriorating factors in TBCs which results from the effect of molten salt on the coating-gas interface. This type of corrosion is observed as a result of contamination accumulated during combustion processes. Fuels used in aviation industry generally contain impurities such as vanadium oxide (V2O5) and sodium sulfate (Na2SO4). These impurities damage turbines' inlet at elevated temperatures because of chemical reaction. Yttria stabilized zirconia (YSZ) is a conventional top coating material for TBCs while Gd2Zr2O7 is a new promising top coating material for TBCs. In this study, CoNiCrAlY metallic bond coat was deposited on Inconel 718 nickel based superalloy substrate material with a thickness about 100 μm using cold gas dynamic spray (CGDS) method. Production of TBCs were done with deposition of YSZ, Gd2Zr2O7, YSZ/Gd2Zr2O7 ceramic top coating materials using EB-PVD method, having a total thickness of 300 μm. Hot corrosion behavior of YSZ, Gd2Zr2O7, YSZ/Gd2Zr2O7 TBC systems were exposed to 45 wt.% Na2SO4 and 55 wt.% V2O5 molten salt mixtures at 1000 °C temperature. TBC samples were investigated and compared using scanning electron microscope (SEM), energy dispersive spectroscopy (EDS) analysis and X-ray diffractometer (XRD). The hot corrosion failure mechanisms of YSZ, Gd2Zr2O7 and YSZ/Gd2Zr2O7 TBCs in the molten salts were evaluated.

  20. Heteroepitaxial growth of tin-doped indium oxide films on single crystalline yttria stabilized zirconia substrates

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Yagami, Teruyuki; Takaki, Satoru; Shigesato, Yuzo

    1994-05-01

    Heteroepitaxial growth of tin-doped indium oxide (ITO) film was achieved for the first time by using single crystalline yttria stabilized zirconia (YSZ) as substrates. The epitaxial relationship between ITO film and YSZ substrate was ITO[100]∥YSZ[100]. By comparing the electrical properties of this epitaxial ITO film with that of a randomly oriented polycrystalline ITO film grown on a glass substrate, neither the large angle grain boundaries nor the crystalline orientation were revealed to be dominant in determining the carrier mobility in ITO films.

  1. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  2. Properties of copper (fluoro-)phthalocyanine layers deposited on epitaxial graphene.

    PubMed

    Ren, Jun; Meng, Sheng; Wang, Yi-Lin; Ma, Xu-Cun; Xue, Qi-Kun; Kaxiras, Efthimios

    2011-05-21

    We investigate the atomic structure and electronic properties of monolayers of copper phthalocyanines (CuPc) deposited on epitaxial graphene substrate. We focus in particular on hexadecafluorophthalocyanine (F(16)CuPc), using both theoretical and experimental (scanning tunneling microscopy - STM) studies. For the individual CuPc and F(16)CuPc molecules, we calculated the electronic and optical properties using density functional theory (DFT) and time-dependent DFT and found a red-shift in the absorption peaks of F(16)CuPc relative to those of CuPc. In F(16)CuPc, the electronic wavefunctions are more polarized toward the electronegative fluorine atoms and away from the Cu atom at the center of the molecule. When adsorbed on graphene, the molecules lie flat and form closely packed patterns: F(16)CuPc forms a hexagonal pattern with two well-ordered alternating α and β stripes while CuPc arranges into a square lattice. The competition between molecule-substrate and intermolecular van der Waals interactions plays a crucial role in establishing the molecular patterns leading to tunable electron transfer from graphene to the molecules. This transfer is controlled by the layer thickness of, or the applied voltage on, epitaxial graphene resulting in selective F(16)CuPc adsorption, as observed in STM experiments. In addition, phthalocyanine adsorption modifies the electronic structure of the underlying graphene substrate introducing intensity smoothing in the range of 2-3 eV below the Dirac point (E(D)) and a small peak in the density of states at ∼0.4 eV above E(D). © 2011 American Institute of Physics.

  3. Double-Layer Gadolinium Zirconate/Yttria-Stabilized Zirconia Thermal Barrier Coatings Deposited by the Solution Precursor Plasma Spray Process

    NASA Astrophysics Data System (ADS)

    Jiang, Chen; Jordan, Eric H.; Harris, Alan B.; Gell, Maurice; Roth, Jeffrey

    2015-08-01

    Advanced thermal barrier coatings (TBCs) with lower thermal conductivity, increased resistance to calcium-magnesium-aluminosilicate (CMAS), and improved high-temperature capability, compared to traditional yttria-stabilized zirconia (YSZ) TBCs, are essential to higher efficiency in next generation gas turbine engines. Double-layer rare-earth zirconate/YSZ TBCs are a promising solution. From a processing perspective, solution precursor plasma spray (SPPS) process with its unique and beneficial microstructural features can be an effective approach to obtaining the double-layer microstructure. Previously durable low-thermal-conductivity YSZ TBCs with optimized layered porosity, called the inter-pass boundaries (IPBs) were produced using the SPPS process. In this study, an SPPS gadolinium zirconate (GZO) protective surface layer was successfully added. These SPPS double-layer TBCs not only retained good cyclic durability and low thermal conductivity, but also demonstrated favorable phase stability and increased surface temperature capabilities. The CMAS resistance was evaluated with both accumulative and single applications of simulated CMAS in isothermal furnaces. The double-layer YSZ/GZO exhibited dramatic improvement in the single application, but not in the continuous one. In addition, to explore their potential application in integrated gasification combined cycle environments, double-layer TBCs were tested under high-temperature humidity and encouraging performance was recorded.

  4. Characterization of strain relaxation behavior in Si1- x Ge x epitaxial layers by dry oxidation

    NASA Astrophysics Data System (ADS)

    Jang, Hyunchul; Kim, Byongju; Koo, Sangmo; Park, Seran; Ko, Dae-Hong

    2017-11-01

    We fabricated fully strained Si0.77Ge0.23 epitaxial layers on Si substrates and investigated their strain relaxation behaviors under dry oxidation and the effect of oxidation temperatures and times. After the oxidation process, a Ge-rich layer was formed between the oxide and the remaining Si0.77Ge0.23 layer. Using reciprocal space mapping measurements, we confirmed that the strain of the Si0.77Ge0.23 layers was efficiently relaxed after oxidation, with a maximum relaxation value of 70% after oxidation at 850 °C for 120 min. The surface of Si0.77Ge0.23 layer after strain relaxation by dry oxidation was smoother than a thick Si0.77Ge0.23 layer, which achieved a similar strain relaxation value by increasing the film thickness. Additionally, N2 annealing was performed in order to compare its effect on the relaxation compared to dry oxidation and to identify relaxation mechanisms, other than the thermally driven ones, occurring during dry oxidation.

  5. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  6. Derivative effect of laser cladding on interface stability of YSZ@Ni coating on GH4169 alloy: An experimental and theoretical study

    NASA Astrophysics Data System (ADS)

    Zheng, Haizhong; Li, Bingtian; Tan, Yong; Li, Guifa; Shu, Xiaoyong; Peng, Ping

    2018-01-01

    Yttria-stabilized zirconia YSZ@Ni core-shell nanoparticles were used to prepare a thermal barrier coating (TBC) on a GH4169 alloy by laser cladding. Microstructural analysis showed that the TBC was composed of two parts: a ceramic and a bonding layer. In places where the ZrO2/Al2O3 eutectic structure was present in the ceramic layer, the Ni atoms diffused into the bonding layer, as confirmed by energy-dispersive X-ray spectroscopy (EDS). The derivative effect of laser cladding results in the original YSZ@Ni core-shell nanoparticles being translated into the Al2O3 crystal, activating the YSZ. The mechanism of ceramic/metal interface cohesion was studied in depth via first-principles and molecular dynamics simulation. The results show that the trend in the diffusion coefficients of Ni, Fe, Al, and Ti is DNi > DFe > DTi > DAl in the melting or solidification process of the material. The enthalpy of formation for Al2O3 is less than that of TiO2, resulting in a thermally grown oxide (TGO) Al2O3 phase transformation. With regard to the electronic structure, the trend in Mulliken population is QO-Ni > QZr-O > QO-Al. Although the bonding is slightly weakened between ZrO2/Al2O3 (QZr-O = 0.158 < QO-Ni = 0.220) compared to that in ZrO2/Ni, TGO Al2O3 can improve the oxidation resistance of the metal matrix. Thus, by comparing the connective and diffusive processes, our findings lay the groundwork for detailed and comprehensive studies of the laser cladding process for the production of composite materials.

  7. Phase Transformation and Lattice Parameter Changes of Non-trivalent Rare Earth-Doped YSZ as a Function of Temperature

    NASA Astrophysics Data System (ADS)

    Jiang, Shengli; Huang, Xiao; He, Zhang; Buyers, Andrew

    2018-01-01

    To examine the effect of doping/co-doping on high-temperature phase compositions of YSZ, stand-alone YSZ and CeO2 and Nb2O5 co-doped YSZ samples were prepared using mechanical alloy and high-temperature sintering. XRD analysis was performed on these samples from room temperature to 1100 °C. The results show that the structure for the co-doped samples tends to be thermally stable when the test temperature is higher than a critical value. Monoclinic phase was dominant in Nb2O5 co-doped YSZ at temperatures lower than 600 °C, while for the YSZ and CeO2 co-doped YSZ, cubic/tetragonal phase was dominant in the whole test temperature range. The lattice parameters for all the samples increase with increasing test temperature generally. The lattice parameters for the two non-trivalent rare earth oxides co-doped YSZ show that the lattice parameter a for the cubic phase of the Ce4+ co-doped YSZ is consistently greater than that of 7YSZ which is related to the presence of larger radius of Ce4+ in the matrix. The lattice parameters a, b, c for the monoclinic phase of Ce4+ co-doped YSZ are much closer to each other than that of the Nb5+ co-doped YSZ, indicating the former has better tendency to form cubic/tetragonal phase, which is desired for vast engineering applications.

  8. Phase Transformation and Lattice Parameter Changes of Non-trivalent Rare Earth-Doped YSZ as a Function of Temperature

    NASA Astrophysics Data System (ADS)

    Jiang, Shengli; Huang, Xiao; He, Zhang; Buyers, Andrew

    2018-05-01

    To examine the effect of doping/co-doping on high-temperature phase compositions of YSZ, stand-alone YSZ and CeO2 and Nb2O5 co-doped YSZ samples were prepared using mechanical alloy and high-temperature sintering. XRD analysis was performed on these samples from room temperature to 1100 °C. The results show that the structure for the co-doped samples tends to be thermally stable when the test temperature is higher than a critical value. Monoclinic phase was dominant in Nb2O5 co-doped YSZ at temperatures lower than 600 °C, while for the YSZ and CeO2 co-doped YSZ, cubic/tetragonal phase was dominant in the whole test temperature range. The lattice parameters for all the samples increase with increasing test temperature generally. The lattice parameters for the two non-trivalent rare earth oxides co-doped YSZ show that the lattice parameter a for the cubic phase of the Ce4+ co-doped YSZ is consistently greater than that of 7YSZ which is related to the presence of larger radius of Ce4+ in the matrix. The lattice parameters a, b, c for the monoclinic phase of Ce4+ co-doped YSZ are much closer to each other than that of the Nb5+ co-doped YSZ, indicating the former has better tendency to form cubic/tetragonal phase, which is desired for vast engineering applications.

  9. YSZ thin films with minimized grain boundary resistivity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mills, Edmund M.; Kleine-Boymann, Matthias; Janek, Juergen

    2016-03-31

    In recent years, interface engineering of solid electrolytes has been explored to increase their ionic conductivity and improve the performance of solid oxide fuel cells and other electrochemical power sources. It has been observed that the ionic conductivity of epitaxially grown thin films of some electrolytes is dramatically enhanced, which is often attributed to effects (e. g. strain-induced mobility changes) at the heterophase boundary with the substrate. Still largely unexplored is the possibility of manipulation of grain boundary resistivity in polycrystalline solid electrolyte films, clearly a limiting factor in their ionic conductivity. Here we report that the ionic conductivity ofmore » yttria stabilized zirconia thin films with nano-­ columnar grains grown on a MgO substrate nearly reaches that of the corresponding single crystal when the thickness of the films becomes less than roughly 8 nm (smaller by a factor of three at 500°C). Using impedance spectroscopy, the grain boundary resistivity was probed as a function of film thickness. The resistivity of the grain boundaries near the film- substrate interface and film surface (within 4 nm of each) was almost entirely eliminated. This minimization of grain boundary resistivity is attributed to Mg2+ diffusion from the MgO substrate into the YSZ grain boundaries, which is supported by time of flight secondary ion mass spectroscopy measurements. We suggest grain boundary “design” as an attractive method to obtain highly conductive solid electrolyte thin films.« less

  10. YSZ thin films with minimized grain boundary resistivity

    DOE PAGES

    Mills, Edmund M.; Kleine-Boymann, Matthias; Janek, Juergen; ...

    2016-03-31

    In recent years, interface engineering of solid electrolytes has been explored to increase their ionic conductivity and improve the performance of solid oxide fuel cells and other electrochemical power sources. It has been observed that the ionic conductivity of epitaxially grown thin films of some electrolytes is dramatically enhanced, which is often attributed to effects (e.g. strain-induced mobility changes) at the heterophase boundary with the substrate. Still largely unexplored is the possibility of manipulation of grain boundary resistivity in polycrystalline solid electrolyte films, clearly a limiting factor in their ionic conductivity. Here in this paper, we report that the ionicmore » conductivity of yttria stabilized zirconia thin films with nano-columnar grains grown on a MgO substrate nearly reaches that of the corresponding single crystal when the thickness of the films becomes less than roughly 8 nm (smaller by a factor of three at 500 °C). Using impedance spectroscopy, the grain boundary resistivity was probed as a function of film thickness. The resistivity of the grain boundaries near the film–substrate interface and film surface (within 4 nm of each) was almost entirely eliminated. This minimization of grain boundary resistivity is attributed to Mg 2+ diffusion from the MgO substrate into the YSZ grain boundaries, which is supported by time of flight secondary ion mass spectroscopy measurements. We suggest grain boundary “design” as an attractive method to obtain highly conductive solid electrolyte thin films.« less

  11. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  12. An all-perovskite p-n junction based on transparent conducting p -La 1-x Sr x CrO 3 epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Du, Yingge; Li, Chen; Zhang, Kelvin H. L.

    2017-08-07

    Transparent, conducting p -La 1-x Sr x CrO 3 epitaxial layers were deposited on Nb-doped SrTiO 3(001) by oxygen-assisted molecular beam epitaxy to form structurally coherent p-n junctions. X-ray photoelectron spectroscopy reveals a type II or “staggered” band alignment, with valence and conduction band offsets of 2.0 eV and 0.9 eV, respectively. Diodes fabricated from these heterojunctions exhibit rectifying behavior, and the I-V characteristics are different from those for traditional semiconductor p-n junctions. A rather large ideality factor is ascribed to the complex nature of the interface.

  13. The effect of CMAS interaction on thermal cycle lifetime of YSZ based thermal barrier coatings

    NASA Astrophysics Data System (ADS)

    Bal, Emre; Karabaş, Muhammet; Yılmaz Taptık, İ.

    2018-06-01

    The purpose of this research is to produce CMAS resistant YSZ based TBCs and compare thermal cycle performance of the TBCs before and after CMAS interaction. Plasma sprayed YSZ (Y), YSZ + Alumina (YA), YSZ + Titania (YT), and YSZ + Alumina + Titania (YTA) coatings have been exposed to CMAS at 1250 °C for 18 h. Thermal cycling tests were carried out with a propane + oxygen flame at 1250 ± 50 °C. Thermal cycle lifetime of YSZ, YA, YT, YTA, and CMAS contaminated Y, YA, YT, YTA coatings are 450, 416, 426, 438, 122, 211, 141, 298 respectively. After CMAS interaction, while the life span of other coatings has fallen to their life span’s quarter, the life span of YTA coating has decreased slightly. Damages in the coatings after thermal cycle tests have been studied by using SEM to observe the microstructure and x-ray diffraction techniques to analyze the phase composition. Also to see areal distribution of the CMAS through the coating, EDS mapping has been carried out.

  14. Characterization of Y-Ba-Cu-O thin films and yttria-stabilized zirconia intermediate layers on metal alloys grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Reade, R. P.; Mao, X. L.; Russo, R. E.

    1991-08-01

    The use of an intermediate layer is necessary for the growth of YBaCuO thin films on polycrystalline metallic alloys for tape conductor applications. A pulsed laser deposition process to grow controlled-orientation yttria-stabilized zirconia (YSZ) films as intermediate layers on Haynes Alloy No. 230 was developed and characterized. YBaCuO films deposited on these YSZ-coated substrates are primarily c-axis oriented and superconducting as deposited. The best YBaCuO films grow on (001)-oriented YSZ intermediate layers and have Tc (R = 0) = 86.0 K and Jc about 3000 A/sq cm at 77 K.

  15. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2005-10-18

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  16. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2003-09-09

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  17. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan; Goyal, Amit; Barnett, Scott A.; Kim, Ilwon; Kroeger, Donald M.

    2004-08-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metal and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layers. In some embodiments the article further comprises electromagnetic devices which may be super conducting properties.

  18. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN

    2009-03-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.

  19. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  20. Electrodeposition of thin yttria-stabilized zirconia layers using glow-discharge plasma

    NASA Astrophysics Data System (ADS)

    Ogumi, Zempachi; Uchimoto, Yoshiharu; Tsuji, Yoichiro; Takehara, Zen-ichiro

    1992-08-01

    A novel process for preparation of thin yttria-stabilized zirconia (YSZ) layers was developed. This process differs from other vapor-phase deposition methods in that a dc bias circuit, separate from the plasma-generation circuit, is used for the electrodeposition process. The YSZ layer was electrodeposited from ZrCl4 and YCl3 on a nonporous calcia-stabilized zirconia substrate. Scanning electron microscopy, electron probe microanalysis, electron spectroscopy for chemical analysis, and x-ray-diffraction measurements confirmed the electrodeposition of a smooth, pinhole-free yttria-stabilized zirconia film of about 3 μm thickness.

  1. Lattice contraction with boron doping in fully strained SiGe epitaxial layers

    NASA Astrophysics Data System (ADS)

    Shin, Keun Wook; Song, Sukchan; Kim, Hyun-Woo; Lee, Gun-Do; Yoon, Euijoon

    2018-06-01

    Changes in lattice constants of epitaxial SiGe layers by boron (B) doping were studied by using high resolution X-ray diffraction (HRXRD) by using SiGe:B with Ge and B concentrations in the range of 11–23% and (1.5–4.2) × 1019 cm‑3, respectively. The lattice contraction coefficient (β) of B in SiGe was measured to be (9.6 ± 0.6) × 10‑24 cm3, which was approximately twice as large as that of B in Si. The ab initio calculation of β, 9.35 × 10‑24 cm3, was in excellent agreement with the experiment. From the ab initio calculation, it is found that the large lattice contraction is due to the favorability of Si–B bond than Si–Ge bond.

  2. Segregation of Sb in Ge epitaxial layers and its usage for the selective doping of Ge-based structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antonov, A. V.; Drozdov, M. N.; Novikov, A. V., E-mail: anov@ipmras.ru

    2015-11-15

    The segregation of Sb in Ge epitaxial layers grown by the method of molecular beam epitaxy on Ge (001) substrates is investigated. For a growth temperature range of 180–325°C, the temperature dependence is determined for the segregation ratio of Sb in Ge, which shows a sharp increase (by more than three orders of magnitude) with increasing temperature. The strong dependence of the segregation properties of Sb on the growth temperature makes it possible to adapt a method based on the controlled use of segregation developed previously for the doping of Si structures for the selective doping of Ge structures withmore » a donor impurity. Using this method selectively doped Ge:Sb structures, in which the bulk impurity concentration varies by an order of magnitude at distances of 3–5 nm, are obtained.« less

  3. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  4. Deposition, Heat Treatment And Characterization of Two Layer Bioactive Coatings on Cylindrical PEEK

    PubMed Central

    Durham, John W.; Rabiei, Afsaneh

    2015-01-01

    Polyether ether ketone (PEEK) rods were coated via ion beam asssited deposition (IBAD) at room temperature. The coating consists of a two-layer design of yttria-stabilized zirconia (YSZ) as a heat-protection layer, and hydroxyapatite (HA) as a top layer to increase bioactivity. A rotating substrate holder was designed to deposit an even coating on the cylindrical surface of PEEK rods; the uniformity is verified by cross-sectional measurements using scanning electron microscopy (SEM). Deposition is followed by heat treatment of the coating using microwave annealing and autoclaving. Transmission electron microscopy (TEM) showed a dense, uniform columnar grain structure in the YSZ layer that is well bonded to the PEEK substrate, while the calcium phosphate layer was amorphous and pore-free in its as-deposited state. Subsequent heat treatment via microwave energy introduced HA crystallization in the calcium phosphate layer and additional autoclaving further expanded the crystallization of the HA layer. Chemical composition evaluation of the coating indicated the Ca/P ratios of the HA layer to be near that of stoichiometric HA, with minor variations through the HA layer thickness. The adhesion strength of as-deposited HA/YSZ coatings on smooth, polished PEEK surfaces was mostly unaffected by microwave heat treatment, but decreased with additional autoclave treatment. Increasing surface roughness showed improvement of bond strength. PMID:27713592

  5. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  6. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  7. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE PAGES

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke; ...

    2016-04-27

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  8. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  9. Electrochemical Reduction Properties of Extended Space Charge InGaP and GaP Epitaxial Layers

    DOE PAGES

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-06-17

    Two lattice-matched epitaxial III-V phosphide films of thicknesses between 400 and 500 nm are grown by metal-organic chemical vapor deposition: InGaP on GaAs and GaP on Si. These structures are designed as photocathodes for solar-driven chemical reduction processes such as the hydrogen evolution reaction (HER) and CO 2 reduction into higher-order hydrocarbons. By using p + substrates and undoped epitaxial layers, an extended space-charge active region is achieved in the electrode with a design analogous to a p-i-n solar cell. When in contact with the methyl viologen MV + / + + redox couple, the InGaP/GaAs and GaP/Si cathodes generatemore » a photovoltage of 388 mV and 274 mV, respectively, under 1 sun illumination. Incident photon-to-current efficiency (IPCE) measurements confirm that the undoped active layers are exclusively performing light absorption and minority carrier diffusion-based charge transfer of high-energy photons. This shows that performance can be significantly boosted with lower-doped substrates. The InGaP/GaAs and GaP/Si electrodes are shown to drive the HER at saturation photocurrent densities of 9.05 mA/cm 2 and 2.34 mA/cm 2, respectively, under 1 sun illumination without a co-catalyst and under a large reduction bias. As a result, thicker films did not show a corresponding increased performance, and can be explained through understanding of crystalline defects and the electrostatics of the junctions.« less

  10. Epitaxial Growth of Thin Ferroelectric Polymer Films on Graphene Layer for Fully Transparent and Flexible Nonvolatile Memory.

    PubMed

    Kim, Kang Lib; Lee, Wonho; Hwang, Sun Kak; Joo, Se Hun; Cho, Suk Man; Song, Giyoung; Cho, Sung Hwan; Jeong, Beomjin; Hwang, Ihn; Ahn, Jong-Hyun; Yu, Young-Jun; Shin, Tae Joo; Kwak, Sang Kyu; Kang, Seok Ju; Park, Cheolmin

    2016-01-13

    Enhancing the device performance of organic memory devices while providing high optical transparency and mechanical flexibility requires an optimized combination of functional materials and smart device architecture design. However, it remains a great challenge to realize fully functional transparent and mechanically durable nonvolatile memory because of the limitations of conventional rigid, opaque metal electrodes. Here, we demonstrate ferroelectric nonvolatile memory devices that use graphene electrodes as the epitaxial growth substrate for crystalline poly(vinylidene fluoride-trifluoroethylene) (PVDF-TrFE) polymer. The strong crystallographic interaction between PVDF-TrFE and graphene results in the orientation of the crystals with distinct symmetry, which is favorable for polarization switching upon the electric field. The epitaxial growth of PVDF-TrFE on a graphene layer thus provides excellent ferroelectric performance with high remnant polarization in metal/ferroelectric polymer/metal devices. Furthermore, a fully transparent and flexible array of ferroelectric field effect transistors was successfully realized by adopting transparent poly[bis(4-phenyl)(2,4,6-trimethylphenyl)amine] semiconducting polymer.

  11. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    NASA Astrophysics Data System (ADS)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  12. An Investigation of LSF-YSZ Conductive Scaffolds for Infiltrated SOFC Cathodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Yuan; Oh, Tae-Sik; Wilson, Rachel

    Porous composites of Sr-doped LaFeO 3 (LSF) and yttria-stabilized zirconia (YSZ) were investigated as conductive scaffolds for infiltrated SOFC cathodes with the goal of producing scaffolds for which only a few perovskite infiltration steps are required to achieve sufficient conductivity. While no new phases form when LSF-YSZ composites are calcined to 1623 K, shifts in the lattice parameters indicate Zr can enter the perovskite phase. Measurements on dense, LSF-YSZ composites show that the level of Zr doping depends on the Sr:La ratio. Because conductivity of undoped LSF increases with Sr content while both the ionic and electronic conductivities of Zr-dopedmore » LSF decrease with the level of Zr in the perovskite phase, there is an optimum initial Sr content corresponding to La 0.9Sr 0.1FeO 3 (LSF91). Although scaffolds made with 100% LSF had a higher conductivity than scaffolds made with 50:50 LSF-YSZ mixtures, the 50:50 mixture provides the optimal interfacial structure with the electrolyte and sufficient conductivity, providing the best cathode performance upon infiltration of La 0.6Sr 0.4Co 0.2Fe 0.8O 3 (LSCF).« less

  13. An Investigation of LSF-YSZ Conductive Scaffolds for Infiltrated SOFC Cathodes

    DOE PAGES

    Cheng, Yuan; Oh, Tae-Sik; Wilson, Rachel; ...

    2017-03-24

    Porous composites of Sr-doped LaFeO 3 (LSF) and yttria-stabilized zirconia (YSZ) were investigated as conductive scaffolds for infiltrated SOFC cathodes with the goal of producing scaffolds for which only a few perovskite infiltration steps are required to achieve sufficient conductivity. While no new phases form when LSF-YSZ composites are calcined to 1623 K, shifts in the lattice parameters indicate Zr can enter the perovskite phase. Measurements on dense, LSF-YSZ composites show that the level of Zr doping depends on the Sr:La ratio. Because conductivity of undoped LSF increases with Sr content while both the ionic and electronic conductivities of Zr-dopedmore » LSF decrease with the level of Zr in the perovskite phase, there is an optimum initial Sr content corresponding to La 0.9Sr 0.1FeO 3 (LSF91). Although scaffolds made with 100% LSF had a higher conductivity than scaffolds made with 50:50 LSF-YSZ mixtures, the 50:50 mixture provides the optimal interfacial structure with the electrolyte and sufficient conductivity, providing the best cathode performance upon infiltration of La 0.6Sr 0.4Co 0.2Fe 0.8O 3 (LSCF).« less

  14. Cosine (Cobalt Silicide Growth Through Nitrogen-Induced Epitaxy) Process For Epitaxial Cobalt Silicide Formation For High Performance Sha

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Chong Wee; Shin, Chan Soo; Gall, Daniel

    A method for forming an epitaxial cobalt silicide layer on a MOS device includes sputter depositing cobalt in an ambient to form a first layer of cobalt suicide on a gate and source/drain regions of the MOS device. Subsequently, cobalt is sputter deposited again in an ambient of argon to increase the thickness of the cobalt silicide layer to a second thickness.

  15. Reduction of structural defects in thick 4H-SiC epitaxial layers grown on 4° off-axis substrates

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Ivanov, I. G.; Pedersen, H.; Kordina, O.; Janzén, E.

    2013-06-01

    By carefully controlling the surface chemistry of the chemical vapor deposition process for silicon carbide (SiC), 100 μm thick epitaxial layers with excellent morphology were grown on 4° off-axis SiC substrates at growth rates exceeding 100 μm/h. In order to reduce the formation of step bunching and structural defects, mainly triangular defects, the effect of varying parameters such as growth temperature, C/Si ratio, Cl/Si ratio, Si/H2 ratio, and in situ pre-growth surface etching time are studied. It was found that an in-situ pre growth etch at growth temperature and pressure using 0.6% HCl in hydrogen for 12 min reduced the structural defects by etching preferentially on surface damages of the substrate surface. By then applying a slightly lower growth temperature of 1575 °C, a C/Si ratio of 0.8, and a Cl/Si ratio of 5, 100 μm thick, step-bunch free epitaxial layer with a minimum triangular defect density and excellent morphology could be grown, thus enabling SiC power device structures to be grown on 4° off axis SiC substrates.

  16. The demonstration of significant ferroelectricity in epitaxial Y-doped HfO2 film

    PubMed Central

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori; Akama, Akihiro; Konno, Toyohiko J.; Sakata, Osami; Funakubo, Hiroshi

    2016-01-01

    Ferroelectricity and Curie temperature are demonstrated for epitaxial Y-doped HfO2 film grown on (110) yttrium oxide-stabilized zirconium oxide (YSZ) single crystal using Sn-doped In2O3 (ITO) as bottom electrodes. The XRD measurements for epitaxial film enabled us to investigate its detailed crystal structure including orientations of the film. The ferroelectricity was confirmed by electric displacement filed – electric filed hysteresis measurement, which revealed saturated polarization of 16 μC/cm2. Estimated spontaneous polarization based on the obtained saturation polarization and the crystal structure analysis was 45 μC/cm2. This value is the first experimental estimations of the spontaneous polarization and is in good agreement with the theoretical value from first principle calculation. Curie temperature was also estimated to be about 450 °C. This study strongly suggests that the HfO2-based materials are promising for various ferroelectric applications because of their comparable ferroelectric properties including polarization and Curie temperature to conventional ferroelectric materials together with the reported excellent scalability in thickness and compatibility with practical manufacturing processes. PMID:27608815

  17. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  18. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  19. New PLAD apparatus and fabrication of epitaxial films and junctions of functional materials: SiC, GaN, ZnO, diamond and GMR layers

    NASA Astrophysics Data System (ADS)

    Muto, Hachizo; Kusumori, Takeshi; Nakamura, Toshiyuki; Asano, Takashi; Hori, Takahiro

    2006-04-01

    We have developed a new pulsed laser ablation-deposition (PLAD) apparatus and techniques for fabricating films of high-temperature or functional materials, including two short-wavelength lasers: (a) a YAG 5th harmonic (213 nm) and (b) Raman-shifted lasers containing vacuum ultraviolet light; also involved are (c) a high-temperature heater with a maximum temperature of 1350 °C, (d) dual-target simultaneous ablation mechanics, and (e) hybrid PLAD using a pico-second YAG laser combined with (c) and/or (d). Using the high-T heater, hetero-epitaxial films of 3C-, 2H- and 4H-SiC have been prepared on sapphire-c. In situ p-doping for GaN epitaxial films is achieved by simultaneous ablation of GaN and Mg targets by (d) during film growth. Junctions such as pGaN (Mg-doped)-film/n-SiC(0 0 0 1) substrate and pGaN/n-Si(1 1 1) show good diode characteristics. Epitaxial films with a diamond lattice can be grown on the sapphire-c plane by hybrid PLAD (e) with a high-T heater using a 6H-SiC target. High quality epitaxial films of ZnO are grown by PLAD by introducing a low-temperature self-buffer layer; magnetization of ferromagnetic materials is enforced by overlaying on a ferromagnetic lattice plane of an anti-ferromagnetic material, showing the value of the layer-overlaying method in improving quality. The short-wavelength lasers are useful in reducing surface particles on functional films, including superconductors.

  20. Epitaxial hexagonal materials on IBAD-textured substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substratesmore » to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.« less

  1. Growth of InN on Ge substrate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Doolittle, W. Alan; Liu, Rong; Mei, Jin; Ponce, Fernando; Cheung, Maurice; Chen, Fei; Furis, Madalina; Cartwright, Alexander

    2005-06-01

    InN epitaxial growth on a (1 1 1)-oriented, Ga-doped germanium substrate using molecular beam epitaxy is described. X-ray diffraction and transmission electron microscopy investigations have shown that the InN epitaxial layer consists of a wurtzite structure, which has the epitaxial relationship of (0 0 0 1) InN∥(1 1 1) Ge. Transmission electron microscopy shows an intermediate layer at the interface between the InN/Ge substrate. Consistent with recent reports implying a narrow bandgap of InN [Phys. Stat Sol. B 229 (2002) R1, Appl. Phys. Lett. 80 (2002) 3967], a strong photoluminescence with peak energy of 0.69 eV at 15 K was observed for this InN epilayer, in contrast to the peak energy of 0.71 eV for Ga-doped Ge under the same measurement conditions.

  2. Effect of Sb in thick InGaAsSbN layers grown by liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Donchev, V.; Milanova, M.; Asenova, I.; Shtinkov, N.; Alonso-Álvarez, D.; Mellor, A.; Karmakov, Y.; Georgiev, S.; Ekins-Daukes, N.

    2018-02-01

    Dilute nitride InGaAsSbN layers grown by low-temperature liquid phase epitaxy are studied in comparison with quaternary InGaAsN layers grown at the same growth conditions to understand the effect of Sb in the alloy. The lattice mismatch to the GaAs substrate is found to be slightly larger for the InGaAsSbN layers, which is explained by the large atomic radius of Sb. A reduction of the band gap energy with respect to InGaAsN is demonstrated by means of photoluminescence (PL), surface photovoltage (SPV) spectroscopy and tight-binding calculations. The band-gap energies determined from PL and ellipsometry measurements are in good agreement, while the SPV spectroscopy and the tight-binding calculations provide lower values. Possible reasons for these discrepancies are discussed. The PL spectra reveal localized electronic states in the band gap near the conduction band edge, which is confirmed by SPV spectroscopy. The analysis of the power dependence of the integrated PL has allowed determining the dominant radiative recombination mechanisms in the layers. The values of the refraction index in a wide spectral region are found to be higher for the Sb containing layers.

  3. Development of graded Ni-YSZ composite coating on Alloy 690 by Pulsed Laser Deposition technique to reduce hazardous metallic nuclear waste inventory.

    PubMed

    Sengupta, Pranesh; Rogalla, Detlef; Becker, Hans Werner; Dey, Gautam Kumar; Chakraborty, Sumit

    2011-08-15

    Alloy 690 based 'nuclear waste vitrification furnace' components degrade prematurely due to molten glass-alloy interactions at high temperatures and thereby increase the volume of metallic nuclear waste. In order to reduce the waste inventory, compositionally graded Ni-YSZ (Y(2)O(3) stabilized ZrO(2)) composite coating has been developed on Alloy 690 using Pulsed Laser Deposition technique. Five different thin-films starting with Ni80YSZ20 (Ni 80 wt%+YSZ 20 wt%), through Ni60YSZ40 (Ni 60 wt%+YSZ 40 wt%), Ni40YSZ60 (Ni 40 wt%+YSZ 60 wt%), Ni20YSZ80 (Ni 20 wt%+YSZ 80 wt%) and Ni0YSZ100 (Ni 0 wt%+YSZ 100 wt%), were deposited successively on Alloy 690 coupons. Detailed analyses of the thin-films identify them as homogeneous, uniform, pore free and crystalline in nature. A comparative study of coated and uncoated Alloy 690 coupons, exposed to sodium borosilicate melt at 1000°C for 1-6h suggests that the graded composite coating could substantially reduced the chemical interactions between Alloy 690 and borosilicate melt. Copyright © 2011 Elsevier B.V. All rights reserved.

  4. Microstructure and mechanical properties of plasma sprayed HA/YSZ/Ti-6Al-4V composite coatings.

    PubMed

    Khor, K A; Gu, Y W; Pan, D; Cheang, P

    2004-08-01

    Plasma sprayed hydroxyapatite (HA) coatings on titanium alloy substrate have been used extensively due to their excellent biocompatibility and osteoconductivity. However, the erratic bond strength between HA and Ti alloy has raised concern over the long-term reliability of the implant. In this paper, HA/yttria stabilized zirconia (YSZ)/Ti-6Al-4V composite coatings that possess superior mechanical properties to conventional plasma sprayed HA coatings were developed. Ti-6Al-4V powders coated with fine YSZ and HA particles were prepared through a unique ceramic slurry mixing method. The so-formed composite powder was employed as feedstock for plasma spraying of the HA/YSZ/Ti-6Al-4V coatings. The influence of net plasma energy, plasma spray standoff distance, and post-spray heat treatment on microstructure, phase composition and mechanical properties were investigated. Results showed that coatings prepared with the optimum plasma sprayed condition showed a well-defined splat structure. HA/YSZ/Ti-6Al-4V solid solution was formed during plasma spraying which was beneficial for the improvement of mechanical properties. There was no evidence of Ti oxidation from the successful processing of YSZ and HA coated Ti-6Al-4V composite powders. Small amount of CaO apart from HA, ZrO(2) and Ti was present in the composite coatings. The microhardness, Young's modulus, fracture toughness, and bond strength increased significantly with the addition of YSZ. Post-spray heat treatment at 600 degrees C and 700 degrees C for up to 12h was found to further improve the mechanical properties of coatings. After the post-spray heat treatment, 17.6% increment in Young's modulus (E) and 16.3% increment in Vicker's hardness were achieved. The strengthening mechanisms of HA/YSZ/Ti-6Al-4V composite coatings were related to the dispersion strengthening by homogeneous distribution of YSZ particles in the matrix, the good mechanical properties of Ti-6Al-4V and the formation of solid solution among HA

  5. Structural, optical, and electrical properties of unintentionally doped NiO layers grown on MgO by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Budde, Melanie; Tschammer, Carsten; Franz, Philipp; Feldl, Johannes; Ramsteiner, Manfred; Goldhahn, Rüdiger; Feneberg, Martin; Barsan, Nicolae; Oprea, Alexandru; Bierwagen, Oliver

    2018-05-01

    NiO layers were grown on MgO(100), MgO(110), and MgO(111) substrates by plasma-assisted molecular beam epitaxy under Ni-flux limited growth conditions. Single crystalline growth with a cube-on-cube epitaxial relationship was confirmed by X-ray diffraction measurements for all used growth conditions and substrates except MgO(111). A detailed growth series on MgO(100) was prepared using substrate temperatures ranging from 20 °C to 900 °C to investigate the influence on the layer characteristics. Energy-dispersive X-ray spectroscopy indicated close-to-stoichiometric layers with an oxygen content of ≈ 47 at. % and ≈ 50 at. % grown under low and high O-flux, respectively. All NiO layers had a root-mean-square surface roughness below 1 nm, measured by atomic force microscopy, except for rougher layers grown at 900 °C or using molecular oxygen. Growth at 900 °C led to a significant diffusion of Mg from the substrate into the film. The relative intensity of the quasi-forbidden one-phonon Raman peak is introduced as a gauge of the crystal quality, indicating the highest layer quality for growth at low oxygen flux and high growth temperature, likely due to the resulting high adatom diffusion length during growth. The optical and electrical properties were investigated by spectroscopic ellipsometry and resistance measurements, respectively. All NiO layers were transparent with an optical bandgap around 3.6 eV and semi-insulating at room temperature. However, changes upon exposure to reducing or oxidizing gases of the resistance of a representative layer at elevated temperature were able to confirm p-type conductivity, highlighting their suitability as a model system for research on oxide-based gas sensing.

  6. Preferentially etched epitaxial liftoff of InP material

    NASA Technical Reports Server (NTRS)

    Bailey, Sheila G. (Inventor); Wilt, David M. (Inventor); Deangelo, Frank L. (Inventor)

    1995-01-01

    The present invention is directed toward a method of removing epitaxial substrates from host substrates. A sacrificial release layer of ternary material is placed on the substrate. A layer of InP is then placed on the ternary material. Afterward a layer of wax is applied to the InP layer to apply compressive force and an etchant material is used to remove the sacrificial release layer.

  7. Preferentially Etched Epitaxial Liftoff of InP Material

    NASA Technical Reports Server (NTRS)

    Bailey, Sheila G. (Inventor); Wilt, David M. (Inventor); DeAngelo, Frank L. (Inventor)

    1997-01-01

    The present invention is directed toward a method of removing epitaxial substrates from host substrates. A sacrificial release layer of ternary material is placed on the substrate. A layer of InP is then placed on the ternary material. Afterward a layer of wax is applied to the InP layer to apply compressive force and an etchant material is used to remove the sacrificial release layer.

  8. Preparation of functional layers for anode-supported solid oxide fuel cells by the reverse roll coating process

    NASA Astrophysics Data System (ADS)

    Mücke, R.; Büchler, O.; Bram, M.; Leonide, A.; Ivers-Tiffée, E.; Buchkremer, H. P.

    The roll coating technique represents a novel method for applying functional layers to solid oxide fuel cells (SOFCs). This fast process is already used for mass production in other branches of industry and offers a high degree of automation. It was utilized for coating specially developed anode (NiO + 8YSZ, 8YSZ: 8 mol% yttria-stabilized zirconia) and electrolyte (8YSZ) suspensions on green and pre-sintered tape-cast anode supports (NiO + 8YSZ). The layers formed were co-fired in a single step at 1400 °C for 5 h. As a result, the electrolyte exhibited a thickness of 14-18 μm and sufficient gas tightness. Complete cells with a screen-printed and sintered La 0.65Sr 0.3MnO 3- δ (LSM)/8YSZ cathode yielded a current density of 0.9-1.1 A cm -2 at 800 °C and 0.7 V, which is lower than the performance of non-co-fired slip-cast or screen-printed Jülich standard cells with thinner anode and electrolyte layers. The contribution of the cell components to the total area-specific resistance (ASR) was calculated by analyzing the distribution function of the relaxation times (DRTs) of measured electrochemical impedance spectra (EIS) and indicates the potential improvement in the cell performance achievable by reducing the thickness of the roll-coated layers. The results show that the anode-supported planar half-cells can be fabricated cost-effectively by combining roll coating with subsequent co-firing.

  9. A Theoretical Model for Predicting Residual Stress Generation in Fabrication Process of Double-Ceramic-Layer Thermal Barrier Coating System.

    PubMed

    Song, Yan; Wu, Weijie; Xie, Feng; Liu, Yilun; Wang, Tiejun

    2017-01-01

    Residual stress arisen in fabrication process of Double-Ceramic-Layer Thermal Barrier Coating System (DCL-TBCs) has a significant effect on its quality and reliability. In this work, based on the practical fabrication process of DCL-TBCs and the force and moment equilibrium, a theoretical model was proposed at first to predict residual stress generation in its fabrication process, in which the temperature dependent material properties of DCL-TBCs were incorporated. Then, a Finite Element method (FEM) has been carried out to verify our theoretical model. Afterwards, some important geometric parameters for DCL-TBCs, such as the thickness ratio of stabilized Zirconia (YSZ, ZrO2-8%Y2O3) layer to Lanthanum Zirconate (LZ, La2Zr2O7) layer, which is adjustable in a wide range in the fabrication process, have a remarkable effect on its performance, therefore, the effect of this thickness ratio on residual stress generation in the fabrication process of DCL-TBCs has been systematically studied. In addition, some thermal spray treatment, such as the pre-heating treatment, its effect on residual stress generation has also been studied in this work. It is found that, the final residual stress mainly comes from the cooling down process in the fabrication of DCL-TBCs. Increasing the pre-heating temperature can obviously decrease the magnitude of residual stresses in LZ layer, YSZ layer and substrate. With the increase of the thickness ratio of YSZ layer to LZ layer, magnitudes of residual stresses arisen in LZ layer and YSZ layer will increase while residual stress in substrate will decrease.

  10. Substrate-Independent Epitaxial Growth of the Metal-Organic Framework MOF-508a.

    PubMed

    Wilson, M; Barrientos-Palomo, S N; Stevens, P C; Mitchell, N L; Oswald, G; Nagaraja, C M; Badyal, J P S

    2018-01-31

    Plasmachemical deposition is a substrate-independent method for the conformal surface functionalization of solid substrates. Structurally well-defined pulsed plasma deposited poly(1-allylimidazole) layers provide surface imidazole linker groups for the directed liquid-phase epitaxial (layer-by-layer) growth of metal-organic frameworks (MOFs) at room temperature. For the case of microporous [Zn (benzene-1,4-dicarboxylate)-(4,4'-bipyridine) 0.5 ] (MOF-508), the MOF-508a polymorph containing two interpenetrating crystal lattice frameworks undergoes orientated Volmer-Weber growth and displays CO 2 gas capture behavior at atmospheric concentrations in proportion to the number of epitaxially grown MOF-508 layers.

  11. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  12. Manipulation of Dirac cones in intercalated epitaxial graphene

    DOE PAGES

    Kim, Minsung; Tringides, Michael C.; Hershberger, Matthew T.; ...

    2017-07-12

    Graphene is an intriguing material in view of its unique Dirac quasi-particles, and the manipulation of its electronic structure is important in material design and applications. Here, we theoretically investigate the electronic band structure of epitaxial graphene on SiC with intercalation of rare earth metal ions (e.g., Yb and Dy) using first-principles calculations. We can use the intercalation to control the coupling of the constituent components (buffer layer, graphene, and substrate), resulting in strong modification of the graphene band structure. We also demonstrate that the metal-intercalated epitaxial graphene has tunable band structures by controlling the energies of Dirac cones asmore » well as the linear and quadratic band dispersion depending on the intercalation layer and density. Thus, the metal intercalation is a viable method to manipulate the electronic band structure of the epitaxial graphene, which can enhance the functional utility and controllability of the material.« less

  13. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  14. Revisiting the Birth of 7YSZ Thermal Barrier Coatings: Steve Stecura

    NASA Technical Reports Server (NTRS)

    Smialek, James L.; Miller, Robert A.

    2017-01-01

    Thermal barrier coatings are widely used in all turbine engines, typically using a 7 wt% Y2O3-ZrO2 formulation. Extensive research and development over many decades have refined the processing and structure of these coatings for increased durability and reliability. New compositions demonstrate some unique advantages and are gaining in application. However, the "7YSZ" formulation predominates and is still in widespread use. This special composition has been universally found to produce nanoscale precipitates of metastable t' tetragonal phase, giving rise to a unique toughening mechanism via ferro-elastic switching under stress. This note recalls the original study that identified superior properties of 6 to 8 wt% YSZ plasma sprayed thermal barrier coatings, published in 1978. The impact of this discovery, arguably, continues in some form to this day. At one point, 7YSZ thermal barrier coatings were used in every new aircraft and ground power turbine engine produced worldwide. It is a tribute to its inventor, Dr. Stephan J. Stecura, NASA retiree.

  15. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  16. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  17. Suppression of planar defects in the molecular beam epitaxy of GaAs/ErAs/GaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Ferrer, Domingo A.; Bank, Seth R.

    2011-08-01

    We present a growth method that overcomes the mismatch in rotational symmetry of ErAs and conventional III-V semiconductors, allowing for epitaxially integrated semimetal/semiconductor heterostructures. Transmission electron microscopy and reflection high-energy electron diffraction reveal defect-free overgrowth of ErAs layers, consisting of >2× the total amount of ErAs that can be embedded with conventional layer-by-layer growth methods. We utilize epitaxial ErAs nanoparticles, overgrown with GaAs, as a seed to grow full films of ErAs. Growth proceeds by diffusion of erbium atoms through the GaAs spacer, which remains registered to the underlying substrate, preventing planar defect formation during subsequent GaAs growth. This growth method is promising for metal/semiconductor heterostructures that serve as embedded Ohmic contacts to epitaxial layers and epitaxially integrated active plasmonic devices.

  18. Spin scattering asymmetric coefficients and enhanced specific interfacial resistance of fully epitaxial current-perpendicular-to-plane giant magnetoresistance spin valves using alternate monatomic layered [Fe/Co]n and a Ag spacer layer

    NASA Astrophysics Data System (ADS)

    Jung, J. W.; Shiozaki, R.; Doi, M.; Sahashi, M.

    2011-04-01

    Using current-perpendicular-to-plane (CPP) giant magnetoresistance (GMR) measurement, we have evaluated the bulk and interface spin scattering asymmetric coefficients, βF and γF/N and the specific interfacial resistance, AR*F/N, for exchange-biased spin-valves consisting of artificially ordered B2 structure Fe50Co50 and Ag spacer layer. Artificially epitaxial ordered Fe50Co50 superlattices have been successfully fabricated on MgO (001) substrate by alternate monatomic layer (AML) deposition at a substrate temperature of 75 °C. The structural properties of the full epitaxial trilayer, AML[Fe/Co]n/Ag/AML[Fe/Co]n, on the Ag electrode have been confirmed by in situ reflection high-energy electron diffraction and transmission electron diffraction microscopy. A considerably large resistance-area product change and MR ratio (ΔRA > 3 mΩμm2 and MR ratio ˜5%) were confirmed even at thin AML[Fe/Co]n layer at room temperature (RT) in our spin-valve elements. The estimated values of βF and γF/N were 0.80 and 0.84 ± 0.02, respectively, from the Valet-Fert theory analysis of ΔRA as a function of thickness of the ferromagnetic layer (3, 4, and 5 nm) on the basis of the two-current model.

  19. Low Energy X-Ray and γ-Ray Detectors Fabricated on n-Type 4H-SiC Epitaxial Layer

    NASA Astrophysics Data System (ADS)

    Mandal, Krishna C.; Muzykov, Peter G.; Chaudhuri, Sandeep K.; Terry, J. Russell

    2013-08-01

    Schottky barrier diode (SBD) radiation detectors have been fabricated on n-type 4H-SiC epitaxial layers and evaluated for low energy x- and γ-rays detection. The detectors were found to be highly sensitive to soft x-rays in the 50 eV to few keV range and showed 2.1 % energy resolution for 59.6 keV gamma rays. The response to soft x-rays for these detectors was significantly higher than that of commercial off-the-shelf (COTS) SiC UV photodiodes. The devices have been characterized by current-voltage (I-V) measurements in the 94-700 K range, thermally stimulated current (TSC) spectroscopy, x-ray diffraction (XRD) rocking curve measurements, and defect delineating chemical etching. I-V characteristics of the detectors at 500 K showed low leakage current ( nA at 200 V) revealing a possibility of high temperature operation. The XRD rocking curve measurements revealed high quality of the epitaxial layer exhibiting a full width at half maximum (FWHM) of the rocking curve 3.6 arc sec. TSC studies in a wide range of temperature (94-550 K) revealed presence of relatively shallow levels ( 0.25 eV) in the epi bulk with a density 7×1013 cm-3 related to Al and B impurities and deeper levels located near the metal-semiconductor interface.

  20. Photoconductivity of ultra-thin Ge(GeSn) layers grown in Si by low-temperature molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Talochkin, A. B., E-mail: tal@isp.nsc.ru; Novosibirsk State University, Novosibirsk 630090; Chistokhin, I. B.

    2016-04-07

    Photoconductivity (PC) spectra of Si/Ge(GeSn)/Si structures with the ultra-thin (1.0–2.3 nm) Ge and GeSn alloy layers grown by the low-temperature (T = 100 °C) molecular beam epitaxy are studied. Photoresponse in the range of 1.2–0.4 eV related to light absorption in the buried Ge(GeSn) layer is observed. It is shown that in case of lateral PC, a simple diffusion model can be used to determine the absorption coefficient of this layer α ∼ 10{sup 5 }cm{sup −1}. This value is 100 times larger than that of a single Ge quantum dot layer and is reached significantly above the band gap of most bulk semiconductors. The observedmore » absorption is caused by optical transitions between electron and hole states localized at the interfaces. The anomalous high value of α can be explained by the unusual state of Ge(GeSn) layer with high concentration of dangling bonds, the optical properties of which have been predicted theoretically by Knief and von Niessen (Phys. Rev. B 59, 12940 (1999)).« less

  1. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, Scott A.; Killeen, Kevin P.; Lear, Kevin L.

    1995-01-01

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time.

  2. Method of deposition by molecular beam epitaxy

    DOEpatents

    Chalmers, S.A.; Killeen, K.P.; Lear, K.L.

    1995-01-10

    A method is described for reproducibly controlling layer thickness and varying layer composition in an MBE deposition process. In particular, the present invention includes epitaxially depositing a plurality of layers of material on a substrate with a plurality of growth cycles whereby the average of the instantaneous growth rates for each growth cycle and from one growth cycle to the next remains substantially constant as a function of time. 9 figures.

  3. A Theoretical Model for Predicting Residual Stress Generation in Fabrication Process of Double-Ceramic-Layer Thermal Barrier Coating System

    PubMed Central

    Song, Yan; Wu, Weijie; Xie, Feng; Liu, Yilun; Wang, Tiejun

    2017-01-01

    Residual stress arisen in fabrication process of Double-Ceramic-Layer Thermal Barrier Coating System (DCL-TBCs) has a significant effect on its quality and reliability. In this work, based on the practical fabrication process of DCL-TBCs and the force and moment equilibrium, a theoretical model was proposed at first to predict residual stress generation in its fabrication process, in which the temperature dependent material properties of DCL-TBCs were incorporated. Then, a Finite Element method (FEM) has been carried out to verify our theoretical model. Afterwards, some important geometric parameters for DCL-TBCs, such as the thickness ratio of stabilized Zirconia (YSZ, ZrO2-8%Y2O3) layer to Lanthanum Zirconate (LZ, La2Zr2O7) layer, which is adjustable in a wide range in the fabrication process, have a remarkable effect on its performance, therefore, the effect of this thickness ratio on residual stress generation in the fabrication process of DCL-TBCs has been systematically studied. In addition, some thermal spray treatment, such as the pre-heating treatment, its effect on residual stress generation has also been studied in this work. It is found that, the final residual stress mainly comes from the cooling down process in the fabrication of DCL-TBCs. Increasing the pre-heating temperature can obviously decrease the magnitude of residual stresses in LZ layer, YSZ layer and substrate. With the increase of the thickness ratio of YSZ layer to LZ layer, magnitudes of residual stresses arisen in LZ layer and YSZ layer will increase while residual stress in substrate will decrease. PMID:28103275

  4. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  5. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  6. Non-contact temperature Raman measurement in YSZ and alumina ceramics

    NASA Astrophysics Data System (ADS)

    Thapa, Juddha; Chorpening, Benjamin T.; Buric, Michael P.

    2018-02-01

    Yttria-stabilized zirconia (YSZ: ZrO2 + Y2O3) and alumina (Al2O3) are widely used in high-temperature applications due to their high-temperature stability, low thermal conductivity, and chemical inertness. Alumina is used extensively in engineered ceramic applications such as furnace tubes and thermocouple protection tubes, while YSZ is commonly used in thermal barrier coatings on turbine blades. Because they are already often found in high temperature and combustion applications, these two substances have been compared as candidates for Raman thermometry in high-temperature energy-related applications. Both ceramics were used with as-received rough surfaces, i.e., without polishing or modification. This closely approximates surface conditions in practical high-temperature situations. A single-line argon ion laser at 488nm was used to excite the materials inside a cylindrical furnace while measuring Raman spectra with a fixed-grating spectrometer. The shift in the peak positions of the most intense A1g peak at 418cm-1 (room temperature position) of alumina ceramic and relatively more symmetric Eg peak at 470cm-1 (room temperature position) of YSZ were measured and reported along with a thermocouple-derived reference temperature up to about 1000°C. This study showed that alumina and YSZ ceramics can be used in high-temperature Raman thermometry with an accuracy of 4.54°C and 10.5°C average standard deviations respectively over the range of about 1000°C. We hope that this result will guide future researchers in selecting materials and utilizing Raman non-contact temperature measurements in harsh environments.

  7. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  8. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  9. High performance novel gadolinium doped ceria/yttria stabilized zirconia/nickel layered and hybrid thin film anodes for application in solid oxide fuel cells

    NASA Astrophysics Data System (ADS)

    Garcia-Garcia, F. J.; Beltrán, A. M.; Yubero, F.; González-Elipe, A. R.; Lambert, R. M.

    2017-09-01

    Magnetron sputtering under oblique angle deposition was used to produce Ni-containing ultra thin film anodes comprising alternating layers of gadolinium doped ceria (GDC) and yttria stabilized zirconia (YSZ) of either 200 nm or 1000 nm thickness. The evolution of film structure from initial deposition, through calcination and final reduction was examined by XRD, SEM, TEM and TOF-SIMS. After subsequent fuel cell usage, the porous columnar architecture of the two-component layered thin film anodes was maintained and their resistance to delamination from the underlying YSZ electrolyte was superior to that of corresponding single component Ni-YSZ and Ni-GDC thin films. Moreover, the fuel cell performance of the 200 nm layered anodes compared favorably with conventional commercially available thick anodes. The observed dependence of fuel cell performance on individual layer thicknesses prompted study of equivalent but more easily fabricated hybrid anodes consisting of simultaneously deposited Ni-GDC and Ni-YSZ, which procedure resulted in exceptionally intimate mixing and interaction of the components. The hybrids exhibited very unusual and favorable Isbnd V characteristics, along with exceptionally high power densities at high currents. Their discovery is the principal contribution of the present work.

  10. Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process

    DOEpatents

    Iwancizko, Eugene; Jones, Kim M.; Crandall, Richard S.; Nelson, Brent P.; Mahan, Archie Harvin

    2001-01-01

    The invention provides a process for depositing an epitaxial layer on a crystalline substrate, comprising the steps of providing a chamber having an element capable of heating, introducing the substrate into the chamber, heating the element at a temperature sufficient to decompose a source gas, passing the source gas in contact with the element; and forming an epitaxial layer on the substrate.

  11. AlGaSb Buffer Layers for Sb-Based Transistors

    DTIC Science & Technology

    2010-01-01

    transistor ( HEMT ), molecular beam epitaxy (MBE), field-effect transistor (FET), buffer layer INTRODUCTION High-electron-mobility transistors ( HEMTs ) with InAs...monolayers/s. The use of thinner buffer layers reduces molecular beam epitaxial growth time and source consumption. The buffer layers also exhibit...source. In addition, some of the flux from an Sb cell in a molecular beam epitaxy (MBE) system will deposit near the mouth of the cell, eventually

  12. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  13. Laser MBE-grown CoFeB epitaxial layers on MgO: Surface morphology, crystal structure, and magnetic properties

    NASA Astrophysics Data System (ADS)

    Kaveev, Andrey K.; Bursian, Viktor E.; Krichevtsov, Boris B.; Mashkov, Konstantin V.; Suturin, Sergey M.; Volkov, Mikhail P.; Tabuchi, Masao; Sokolov, Nikolai S.

    2018-01-01

    Epitaxial layers of CoFeB were grown on MgO by means of laser molecular beam epitaxy using C o40F e40B20 target. The growth was combined with in situ structural characterization by three-dimensional reciprocal space mapping obtained from reflection high energy electron diffraction (RHEED) data. High-temperature single stage growth regime was adopted to fabricate CoFeB layers. As confirmed by the atomic force microscopy, the surface of CoFeB layers consists of closely spaced nanometer sized islands with dimensions dependent on the growth temperature. As shown by RHEED and XRD analysis, the CoFeB layers grown at high-temperature on MgO(001) possess body centered cubic (bcc) crystal structure with the lattice constant a =2.87 Å close to that of the C o75F e25 alloy. It was further shown that following the same high-temperature growth technique the MgO/CoFeB/MgO(001) heterostructures can be fabricated with top and bottom MgO layers of the same crystallographic orientation. The CoFeB layers were also grown on the GaN(0001) substrates using MgO(111) as a buffer layer. In this case, the CoFeB layers crystallize in bcc crystal structure with the (111) axis perpendicular to the substrate surface. The magnetic properties of the CoFeB/MgO (001) heterostructures have been investigated by measuring magnetization curves with a vibrating sample magnetometer as well as by performing magneto-optical Kerr effect (MOKE) and ferromagnetic resonance (FMR) studies. FMR spectra were obtained for the variety of the magnetic field directions and typically consisted of a single relatively narrow resonance line. The magnetization orientations and the resonance conditions were calculated in the framework of a standard magnetic energy minimization procedure involving a single K1 c cubic term for the magnetocrystalline anisotropy. This allows a fairly accurate description of the angular dependences of the resonance fields—both in-plane and out-of-plane. It was shown that CoFeB layers exhibit

  14. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  15. AlGaInAs EML having high extinction ratios fabricated by identical epitaxial layer technique

    NASA Astrophysics Data System (ADS)

    Deng, Qiufang; Guo, Lu; Liang, Song; Sun, Siwei; Xie, Xiao; Zhu, Hongliang; Wang, Wei

    2018-04-01

    AlGaInAs electroabsorption-modulated lasers (EMLs) fabricated by identical epitaxial layer technique are demonstrated. The EML device shows an infinite characteristic temperature when the temperature ranges from 20 oC to 30 oC. The integrated modulator has static extinction ratios of larger than 20 dB at a reverse bias voltage of - 2 V. The small signal modulation bandwidth of the modulator is larger than 11 GHz. At 10 Gb/s data modulation, the dynamic extinction ratio is about 9.5 dB in a back to back test configuration. Because only a simple fabrication procedure is needed, our EMLs are promising low cost light sources for optical fiber transmission applications.

  16. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  17. Outdiffusion of recombination centers from the substrate into LPE layers - GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Gatos, H. C.

    1979-01-01

    Experimental results are presented showing that outdiffusion of recombination centers from the GaAs substrate into the epitaxial layer takes place during growth. Such outdiffusion decreases the carrier lifetime in the epitaxial layer to much lower values than the radiative recombination limit. Furthermore, it introduces a lifetime gradient across the epitaxial layer which depends critically on the growth velocity and thermal treatment. High rates of growth (such as those attainable in electroepitaxy) and high cooling rates can minimize the adverse effects of normally available substrates on the epitaxial layers; however, good quality substrates are essential for the consistent growth of device quality layers.

  18. The crystal structure and morphology of NiO-YSZ composite that prepared from local zircon concentrate of Bangka Island

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rahmawati, F., E-mail: fitria@mipa.uns.ac.id; Apriyani, K.; Heraldy, E.

    2016-03-29

    In order to increase the economic value of local zircon concentrate from Bangka Island, NiO-YSZ was synthesized from Zirconia, ZrO{sub 2} that was prepared from local zircon concentrate. The NiO-YSZ composite was synthesized by solid state reaction method. XRD analysis equipped with Le Bail refinement was carried out to analyze the crystal structure and cell parameters of the prepared materials. The result showed that zirconia was crystallized in tetragonal structure with a space group of P42/NMC. Yttria-Stabilized-Zirconia (YSZ) was prepared by doping 8% mol yttrium oxide into zirconia and then sintered at 1250°C for 3 hours. Doping of 8% molmore » Yttria allowed phase transformation of zirconia from tetragonal into the cubic structure. Meanwhile, the composite of NiO-YSZ consists of two crystalline phases, i.e. the NiO with cubic structure and the YSZ with cubic structure. SEM analysis of the prepared materials shows that the addition of NiO into YSZ allows the morphology to become more roughness with larger grain size.« less

  19. Effect of thermally growth oxides (TGO) on adhesion strength for high purity yitria stabilised zirconia (YSZ) and rare - Earth lanthanum zirconates (LZ) multilayer thermal barrier coating before and after isothermal heat treatment

    NASA Astrophysics Data System (ADS)

    Yunus, Salmi Mohd; Johari, Azril Dahari; Husin, Shuib

    2017-12-01

    Investigation on the effect of Thermally Growth Oxides (TGO) on the adhesion strength for thermal barrier coating (TBC) was carried out. The TBC under studied was the multilayer systems which consist of NiCrAlY bond coat and YSZ/LZ ceramic coating deposited on Ni-based superalloy substrates. The development of thermally growth oxides (TGO) for both TBC systems after isothermal heat treatment was measured. Isothermal heat treatment was carried out at 1100 ˚C for 100 hours to age the samples. ASTM D4541: Standard Test Method for Pull-off Strength of Coatings using Portable Adhesion Tester was used to measure the adhesion strength of both TBC systems before and after heat treatment. The effect of the developed TGO on the measured adhesion strength was examined and correlation between them was established individually for both TBC systems. The failure mechanism of the both system was also identified; either cohesive or adhesive or the combination of both. The results showed that TGO has more than 50% from the bond coat layer for rare-earth LZ system compared to the typical YSZ system, which was less than 10 % from the bond coat layer. This leads to the lower adhesion strength of rare-earth LZ coating system compared to typical YSZ system. Failure mechanism during the pull-off test also was found to be different for both TBC systems. The typical YSZ system experienced cohesive failure whereas the rare-earth LZ system experienced the combination of cohesive and adhesive failure.

  20. Conductive layer for biaxially oriented semiconductor film growth

    DOEpatents

    Findikoglu, Alp T.; Matias, Vladimir

    2007-10-30

    A conductive layer for biaxially oriented semiconductor film growth and a thin film semiconductor structure such as, for example, a photodetector, a photovoltaic cell, or a light emitting diode (LED) that includes a crystallographically oriented semiconducting film disposed on the conductive layer. The thin film semiconductor structure includes: a substrate; a first electrode deposited on the substrate; and a semiconducting layer epitaxially deposited on the first electrode. The first electrode includes a template layer deposited on the substrate and a buffer layer epitaxially deposited on the template layer. The template layer includes a first metal nitride that is electrically conductive and has a rock salt crystal structure, and the buffer layer includes a second metal nitride that is electrically conductive. The semiconducting layer is epitaxially deposited on the buffer layer. A method of making such a thin film semiconductor structure is also described.

  1. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  2. Growth of a delta-doped silicon layer by molecular beam epitaxy on a charge-coupled device for reflection-limited ultraviolet quantum efficiency

    NASA Technical Reports Server (NTRS)

    Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu

    1992-01-01

    Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.

  3. Large-area growth of multi-layer hexagonal boron nitride on polished cobalt foils by plasma-assisted molecular beam epitaxy

    PubMed Central

    Xu, Zhongguang; Tian, Hao; Khanaki, Alireza; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin

    2017-01-01

    Two-dimensional (2D) hexagonal boron nitride (h-BN), which has a similar honeycomb lattice structure to graphene, is promising as a dielectric material for a wide variety of potential applications based on 2D materials. Synthesis of high-quality, large-size and single-crystalline h-BN domains is of vital importance for fundamental research as well as practical applications. In this work, we report the growth of h-BN films on mechanically polished cobalt (Co) foils using plasma-assisted molecular beam epitaxy. Under appropriate growth conditions, the coverage of h-BN layers can be readily controlled by growth time. A large-area, multi-layer h-BN film with a thickness of 5~6 nm is confirmed by Raman spectroscopy, scanning electron microscopy, X-ray photoelectron spectroscopy and transmission electron microscopy. In addition, the size of h-BN single domains is 20~100 μm. Dielectric property of as-grown h-BN film is evaluated by characterization of Co(foil)/h-BN/Co(contact) capacitor devices. Breakdown electric field is in the range of 3.0~3.3 MV/cm, which indicates that the epitaxial h-BN film has good insulating characteristics. In addition, the effect of substrate morphology on h-BN growth is discussed regarding different domain density, lateral size, and thickness of the h-BN films grown on unpolished and polished Co foils. PMID:28230178

  4. Brazing of Stainless Steels to Yttria Stabilized Zirconia (YSZ) Using Silver -Base Brazes

    NASA Technical Reports Server (NTRS)

    Singh, Mrityunjay; Shpargel, Tarah P.; Asthana, Rajiv

    2005-01-01

    Three silver-base brazes containing either noble metal palladium (Palcusil-10 and Palcusil-15) or active metal titanium (Ticusil) were evaluated for high-temperature oxidation resistance, and their effectiveness in joining yttria stabilized zirconia (YSZ) to a corrosion-resistant ferritic stainless steel. Thermogravimetric analysis (TGA), and optical- and scanning electron microscopy (SEM) coupled with energy dispersive spectrometry (EDS) were used to evaluate the braze oxidation behavior and the structure and chemistry of the YSZ/braze/steel joints. The effect of the braze type and processing conditions on the interfacial microstructure and composition of the joint regions is discussed with reference to the chemical changes that occur at the interface. It was found that chemical interdiffusion of the constituents of YSZ, steel and the brazes led to compositional changes and/or interface reconstruction, and metallurgically sound joints.

  5. Analyzing the Boundary Thermal Resistance of Epitaxially Grown Fe2VAl/W Layers by Picosecond Time-Domain Thermoreflectance

    NASA Astrophysics Data System (ADS)

    Hiroi, Satoshi; Choi, Seongho; Nishino, Shunsuke; Seo, Okkyun; Chen, Yanna; Sakata, Osami; Takeuchi, Tsunehiro

    2018-06-01

    To gain deep insight into the mechanism of phonon scattering at grain boundaries, we investigated the boundary thermal resistance by using picosecond pulsed-laser time-domain thermoreflectance for epitaxially grown W/Fe2VAl/W films. By using radio-frequency magnetron sputtering, we prepared a series of the three-layer films whose Fe2VAl thickness ranged from 1 nm to 37 nm. The fine oscillation of reflectivity associated with the top W layer clearly appeared in synchrotron x-ray reflectivity measurements, indicating a less obvious mixture of elements at the boundary. The areal heat diffusion time, obtained from the time-domain thermoreflectance signal in the rear-heating front-detection configuration, reduced rapidly in samples whose Fe2VAl layer was thinner than 15 nm. The ˜ 10% mismatch in lattice constant between Fe2VAl and W naturally produced the randomly distributed lattice stress near the boundary, causing an effective increase of boundary thermal resistance in the thick samples, but the stress became homogeneous in the thinner layers, which reduced the scattering probability of phonons.

  6. Ultrathin IBAD MgO films for epitaxial growth on amorphous substrates and sub-50 nm membranes

    DOE PAGES

    Wang, Siming; Antonakos, C.; Bordel, C.; ...

    2016-11-07

    Here, a fabrication process has been developed for high energy ion beam assisted deposition (IBAD) biaxial texturing of ultrathin (~1 nm) MgO films, using a high ion-to-atom ratio and post-deposition annealing instead of a homoepitaxial MgO layer. These films serve as the seed layer for epitaxial growth of materials on amorphous substrates such as electron/X-ray transparent membranes or nanocalorimetry devices. Stress measurements and atomic force microscopy of the MgO films reveal decreased stress and surface roughness, while X-ray diffraction of epitaxial overlayers demonstrates the improved crystal quality of films grown epitaxially on IBAD MgO. The process simplifies the synthesis ofmore » IBAD MgO, fundamentally solves the “wrinkle” issue induced by the homoepitaxial layer on sub-50 nm membranes, and enables studies of epitaxial materials in electron/X-ray transmission and nanocalorimetry.« less

  7. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  8. Method utilizing laser-processing for the growth of epitaxial p-n junctions

    DOEpatents

    Young, R.T.; Narayan, J.; Wood, R.F.

    1979-11-23

    This invention is a new method for the formation of epitaxial p-n junctions in silicon. The method is relatively simple, rapid, and reliable. It produces doped epitaxial layers which are of well-controlled thickness and whose electrical properties are satisfactory. An illustrative form of the method comprises co-depositing a selected dopant and amorphous silicon on a crystalline silicon substrate to form a doped layer of amorphous silicon thereon. This layer then is irradiated with at least one laser pulse to generate a melt front which moves through the layer, into the silicon body to a depth effecting melting of virginal silicon, and back to the surface of the layer. The method may be conducted with dopants (e.g., boron and phosphorus) whose distribution coefficients approximate unity.

  9. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  10. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  11. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, P.; Hayes, R.E.

    1984-12-04

    Disclosed is a process for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve the first layer a sufficient amount to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  12. Process for selectively patterning epitaxial film growth on a semiconductor substrate

    DOEpatents

    Sheldon, Peter; Hayes, Russell E.

    1986-01-01

    A process is disclosed for selectively patterning epitaxial film growth on a semiconductor substrate. The process includes forming a masking member on the surface of the substrate, the masking member having at least two layers including a first layer disposed on the substrate and the second layer covering the first layer. A window is then opened in a selected portion of the second layer by removing that portion to expose the first layer thereunder. The first layer is then subjected to an etchant introduced through the window to dissolve a sufficient amount of the first layer to expose the substrate surface directly beneath the window, the first layer being adapted to preferentially dissolve at a substantially greater rate than the second layer so as to create an overhanging ledge portion with the second layer by undercutting the edges thereof adjacent to the window. The epitaxial film is then deposited on the exposed substrate surface directly beneath the window. Finally, an etchant is introduced through the window to dissolve the remainder of the first layer so as to lift-off the second layer and materials deposited thereon to fully expose the balance of the substrate surface.

  13. Epitaxy of mercury-based high temperature superconducting films on oxide and metal substrates

    NASA Astrophysics Data System (ADS)

    Xie, Yi-Yuan

    High-Tc superconducting (HTS) cuprates are highly anisotropic thus epitaxy along certain crystalline directions is essential to realize high-current-carrying capability at temperatures above 77 K. Hg-based HTS (Hg-HTS) cuprates have the record-high Tc up to 135 K, therefore are of great interest for fundamental research and practical applications. However, growth Of epitaxial Hg-HTS films is extremely difficult in conventional thermal-reaction process since Hg is highly volatile. Motivated by this, we first developed a cation-exchange process for growing epitaxial Hg-HTS films, which involves two steps: selection of precursor matrices with predesigned structure and composition followed by cation-exchange processing. New materials are formed via "atomic surgery" on an existing structure rather than thermal reaction among amorphous oxides in conventional process, thus the structural features of the precursor are inherited by the new material. Using epitaxial Tl-based HTS films as precursor and annealing them in Hg-vapor, epitaxial Hg-HTS films with superior quality have been obtained. This success encouraged us to develop epitaxy on metal tapes for coated conductors and On large-area wafers for electronic devices. For coated conductors, we addressed three critical issues: epitaxy on metal substrates, enhancement of in-field Jcs and scale-up in thickness and length. First, using a fabrication scheme that combines two processes: cation-exchange and fast-temperature-ramping-annealing, epitaxial HgBa2CaCu2O6+delta films were grown on rolling-assisted-biaxially-textured Ni substrates buffered with CeO 2/YSZ/CeO2 for the first time. We fabricated HgBa2CaCu 2O6+delta coated conductors with Tc = 122--124 K and self-field Jc > 1 x 106A/cm2 at 92 K which are record-high for HTS coated conductors. Second, we demonstrated improved in-field J cs via overdoping HgBa2CaCu 2O6+delta films (by means Of charge "overdoped"), heavy-ion-irradiation and substrate engineering. Finally

  14. Spin Polarization of Alternate Monatomic Epitaxial [Fe/Co]n Superlattice

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Doi, Masaaki; Sahashi, Masashi; Rajanikanth, Ammanabrolu; Takahashi, Yukiko; Hono, Kazuhiro

    2012-09-01

    The spin polarization (P) of alternate monatomic layered (AML) epitaxial [Fe/Co]n superlattices grown on MgO(001) substrates by electron beam (EB) evaporation has been measured by the point contact Andreev reflection (PCAR) method. The intrinsic transport P of 0.60 was obtained for the AML epitaxial [Fe/Co]n superlattice grown at 75 °C, which is comparable to that of half-metallic Heusler alloys measured by PCAR. The AML epitaxial [Fe/Co]n superlattices on MgO(001), which are expected to possess the B2 ordered structure, show the highest spin polarization of metallic Fe-Co alloy films.

  15. Electrical and structural properties of epitaxially deposited chromium thin films

    NASA Astrophysics Data System (ADS)

    Ohashi, M.; Sawabu, M.; Nakanishi, H.; Ohashi, K.; Maeta, K.

    2018-05-01

    We studied the electrical resistance and crystal structure of epitaxial chromium (Cr) films. The lattice constant of the Cr films was larger than that of the bulk Cr because of MgO substrate on which Cr was epitaxially deposited. A chromium oxide layer having a thickness of 1 nm was found on all films from the result of X-ray reflectivity measurements. The electrical resistivity ρ(T) shows metallic behavior for all epitaxial Cr films in contrast with polycrystalline one. However, the magnitude of ρ tends to increase and the antiferromagnetic interaction is suppressed as decreasing thickness of film.

  16. High indium content homogenous InAlN layers grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Wu, Feng; Bonef, Bastien; Speck, James S.

    2016-11-01

    InAlN grown by plasma-assisted molecular beam epitaxy often contains a honeycomb microstructure. The honeycomb microstructure consists of 5-10 nm diameter aluminum-rich regions which are surrounded by indium-rich regions. Layers without this microstructure were previously developed for nominally lattice-matched InAlN and have been developed here for higher indium content InAlN. In this study, InAlN was grown in a nitrogen-rich environment with high indium to aluminum flux ratios at low growth temperatures. Samples were characterized by high-resolution x-ray diffraction, atomic force microscopy, high-angle annular dark-field scanning transmission electron microscopy, and atom probe tomography. Atomic force microscopy showed InAlN layers grown at temperatures below 450 °C under nitrogen-rich conditions were free of droplets. InAlN films with indium contents up to 81% were grown at temperatures between 410 and 440 °C. High-angle annular dark-field scanning transmission electron microscopy and atom probe tomography showed no evidence of honeycomb microstructure for samples with indium contents of 34% and 62%. These layers are homogeneous and follow a random alloy distribution. A growth diagram for InAlN of all indium contents is reported.

  17. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    NASA Astrophysics Data System (ADS)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  18. Slurry spin coating of thin film yttria stabilized zirconia/gadolinia doped ceria bi-layer electrolytes for solid oxide fuel cells

    NASA Astrophysics Data System (ADS)

    Kim, Hyun Joong; Kim, Manjin; Neoh, Ke Chean; Han, Gwon Deok; Bae, Kiho; Shin, Jong Mok; Kim, Gyu-Tae; Shim, Joon Hyung

    2016-09-01

    Thin ceramic bi-layered membrane comprising yttria-stabilized zirconia (YSZ) and gadolinia-doped ceria (GDC) is fabricated by the cost-effective slurry spin coating technique, and it is evaluated as an electrolyte of solid oxide fuel cells (SOFCs). It is demonstrated that the slurry spin coating method is capable of fabricating porous ceramic films by adjusting the content of ethyl-cellulose binders in the source slurry. The porous GDC layer deposited by spin coating under an optimal condition functions satisfactorily as a cathode-electrolyte interlayer in the test SOFC stack. A 2-μm-thick electrolyte membrane of the spin-coated YSZ/GDC bi-layer is successfully deposited as a dense and stable film directly on a porous NiO-YSZ anode support without any interlayers, and the SOFC produces power output over 200 mW cm-2 at 600 °C, with an open circuit voltage close to 1 V. Electrochemical impedance spectra analysis is conducted to evaluate the performance of the fuel cell components in relation with the microstructure of the spin-coated layers.

  19. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    NASA Astrophysics Data System (ADS)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  20. Formation of a Ge-rich Si1-x Ge x (x > 0.9) fin epitaxial layer condensed by dry oxidation

    NASA Astrophysics Data System (ADS)

    Jang, Hyunchul; Kim, Byongju; Koo, Sangmo; Ko, Dae-Hong

    2017-11-01

    We have selectively grown an epitaxial Si0.35Ge0.65 fin layer in a 65 nm oxide trench pattern array and formed a Ge-rich Si1-x Ge x (x > 0.9) fin layer with condensed Ge using dry oxidation. During oxidation of the SiGe fin structure, we found that the compressive strain of the condensed SiGe layer was increased by about 1.3% while Ge was efficiently condensed due to a two-dimensional oxidation reaction. In this paper, we discussed in detail the diffusion during the two-dimensional condensation reaction as well as the asymmetric biaxial strain of the SiGe fin before and after oxidation using a reciprocal space mapping measurement. The application of dry oxidation on selectively grown SiGe fin layer can be an effective method for increasing hole mobility of SiGe fin with increased Ge content and self-induced compressive strain.

  1. Effect of Ti seed layers on structure of self-organized epitaxial face-centered-cubic-Ag(001) oriented nanodots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamiko, M.; Nose, K.; Suenaga, R.

    2013-12-28

    The influence of Ti seed layers on the structure of self-organized Ag nanodots, obtained with a Ti seed-layer-assisted thermal agglomeration method, has been investigated. The samples were grown on MgO(001) single crystal substrates by RF magnetron sputter deposition. The samples were deposited at room temperature and post-annealed at 350 °C for 4 h while maintaining the chamber vacuum conditions. The results of atomic force microscopy (AFM) observations indicated that the insertion of the Ti seed layer (0.6–5.0 nm) between the MgO substrate and Ag layer promotes the agglomeration process, forming the nanodot array. Comparisons between the AFM images revealed thatmore » the size of the Ag nanodots was increased with an increase in the Ti seed layer thickness. The atomic concentration of the film surface was confirmed by X-ray photoelectron spectroscopy (XPS). The XPS result suggested that the nanodot surface mainly consisted of Ag. Moreover, X-ray diffraction results proved that the initial deposition of the Ti seed layer (0.6–5.0 nm) onto MgO(001) prior to the Ag deposition yielded high-quality fcc-Ag(001) oriented epitaxial nanodots. The optical absorbance spectra of the fabricated Ag nanodots with various Ti seed layer thicknesses were obtained in the visible light range.« less

  2. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  3. Laser surface modification of Yttria Stabilized Zirconia (YSZ) thermal barrier coating on AISI H13 tool steel substrate

    NASA Astrophysics Data System (ADS)

    Reza, M. S.; Aqida, S. N.; Ismail, I.

    2018-03-01

    This paper presents laser surface modification of plasma sprayed yttria stabilized zirconia (YSZ) coating to seal porosity defect. Laser surface modification on plasma sprayed YSZ was conducted using 300W JK300HPS Nd: YAG laser at different operating parameters. Parameters varied were laser power and pulse frequency with constant residence time. The coating thickness was measured using IM7000 inverted optical microscope and surface roughness was analysed using two-dimensional Mitutoyo Surface Roughness Tester. Surface roughness of laser surface modification of YSZ H-13 tool steel decreased significantly with increasing laser power and decreasing pulse frequency. The re-melted YSZ coating showed higher hardness properties compared to as-sprayed coating surface. These findings were significant to enhance thermal barrier coating surface integrity for dies in semi-solid processing.

  4. Epitaxial growth and chemical vapor transport of ZnTe by closed-tube method

    NASA Astrophysics Data System (ADS)

    Ogawa, H.; Nishio, M.; Arizumi, T.

    1981-04-01

    The epitaxial growth of ZnTe in a ZnTe- I2 system by a closed tube method is investigated by varying the charged iodine concentration ( MI2) or the temperature difference ( ΔT) between the high and low temperature zones. The transport rate is a function of MI2 and ΔT and has a minimum value increasing monotonically at higher and lower iodine concentration, and it increases with increasing ΔT. This experimental result can be explained well by thermodynamical calculations. The growth rate of ZnTe has the same tendency as the transport rate. The surface morphology of epitaxial layer on (110)ZnTe is not sinificantly affected by MI2 but becomes smoother with increasing temperature. The surface morphology and the growth rate of ZnTe layers also depend upon the orientation of substrate. The epitaxial layer can be obtained at temperature as low as 623°C.

  5. Heterogeneous electrolyte (YSZ-Al 2O 3) based direct oxidation solid oxide fuel cell

    NASA Astrophysics Data System (ADS)

    Thokchom, J. S.; Xiao, H.; Rottmayer, M.; Reitz, T. L.; Kumar, B.

    Bilayers comprised of dense and porous YSZ-Al 2O 3 (20 wt%) composite were tape cast, processed, and then fabricated into working solid oxide fuel cells (SOFCs). The porous part of the bilayer was converted into anode for direct oxidation of fuels by infiltrating CeO 2 and Cu. The cathode side of the bilayer was coated with an interlayer [YSZ-Al 2O 3 (20 wt%)]: LSM (1:1) and LSM as cathode. Several button cells were evaluated under hydrogen/air and propane/air atmospheres in intermediate temperature range and their performance data were analyzed. For the first time the feasibility of using YSZ-Al 2O 3 material for fabricating working SOFCs with high open circuit voltage (OCV) and power density is demonstrated. AC impedance spectroscopy and scanning electron microscopy (SEM) techniques were used to characterize the membrane and cell.

  6. Time-resolved photon echoes from donor-bound excitons in ZnO epitaxial layers

    NASA Astrophysics Data System (ADS)

    Poltavtsev, S. V.; Kosarev, A. N.; Akimov, I. A.; Yakovlev, D. R.; Sadofev, S.; Puls, J.; Hoffmann, S. P.; Albert, M.; Meier, C.; Meier, T.; Bayer, M.

    2017-07-01

    The coherent optical response from 140 nm and 65 nm thick ZnO epitaxial layers is studied using four-wave-mixing spectroscopy with picosecond temporal resolution. Resonant excitation of neutral donor-bound excitons results in two-pulse and three-pulse photon echoes. For the donor-bound A exciton (D0XA ) at temperature of 1.8 K we evaluate optical coherence times T2=33 -50 ps corresponding to homogeneous line widths of 13 -19 μ eV , about two orders of magnitude smaller as compared with the inhomogeneous broadening of the optical transitions. The coherent dynamics is determined mainly by the population decay with time T1=30 -40 ps, while pure dephasing is negligible. Temperature increase leads to a significant shortening of T2 due to interaction with acoustic phonons. In contrast, the loss of coherence of the donor-bound B exciton (D0XB ) is significantly faster (T2=3.6 ps ) and governed by pure dephasing processes.

  7. Dislocation blocking by AlGaN hot electron injecting layer in the epitaxial growth of GaN terahertz Gunn diode

    NASA Astrophysics Data System (ADS)

    Li, Liang; Yang, Lin'an; Zhang, Jincheng; Hao, Yue

    2013-09-01

    This paper reports an efficient method to improve the crystal quality of GaN Gunn diode with AlGaN hot electron injecting layer (HEI). An evident reduction of screw dislocation and edge dislocation densities is achieved by the strain management and the enhanced lateral growth in high temperature grown AlGaN HEI layer. Compared with the top hot electron injecting layer (THEI) structure, the bottom hot electron injecting layer (BHEI) structure enhances the crystal quality of transit region due to the growth sequence modulation of HEI layer. A high Hall mobility of 2934 cm2/Vs at 77 K, a nearly flat downtrend of Hall mobility at the temperature ranging from 300 to 573 K, a low intensity of ratio of yellow luminescence band to band edge emission, a narrow band edge emission line-width, and a smooth surface morphology are observed for the BHEI structural epitaxy of Gunn diode, which indicates that AlGaN BHEI structure is a promising candidate for fabrication of GaN Gunn diodes in terahertz regime.

  8. Growth optimization and applicability of thick on-axis SiC layers using sublimation epitaxy in vacuum

    NASA Astrophysics Data System (ADS)

    Jokubavicius, Valdas; Sun, Jianwu; Liu, Xinyu; Yazdi, Gholamreza; Ivanov, Ivan. G.; Yakimova, Rositsa; Syväjärvi, Mikael

    2016-08-01

    We demonstrate growth of thick SiC layers (100-200 μm) on nominally on-axis hexagonal substrates using sublimation epitaxy in vacuum (10-5 mbar) at temperatures varying from 1700 to 1975 °C with growth rates up to 270 μm/h and 70 μm/h for 6H- and 4H-SiC, respectively. The stability of hexagonal polytypes are related to process growth parameters and temperature profile which can be engineered using different thermal insulation materials and adjustment of the induction coil position with respect to the graphite crucible. We show that there exists a range of growth rates for which single-hexagonal polytype free of foreign polytype inclusions can be maintained. Further on, foreign polytypes like 3C-SiC can be stabilized by moving out of the process window. The applicability of on-axis growth is demonstrated by growing a 200 μm thick homoepitaxial 6H-SiC layer co-doped with nitrogen and boron in a range of 1018 cm-3 at a growth rate of about 270 μm/h. Such layers are of interest as a near UV to visible light converters in a monolithic white light emitting diode concept, where subsequent nitride-stack growth benefits from the on-axis orientation of the SiC layer.

  9. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  10. Analysis of epitaxial drift field N on P silicon solar cells

    NASA Technical Reports Server (NTRS)

    Baraona, C. R.; Brandhorst, H. W., Jr.

    1976-01-01

    The performance of epitaxial drift field silicon solar cell structures having a variety of impurity profiles was calculated. These structures consist of a uniformly doped P-type substrate layer, and a P-type epitaxial drift field layer with a variety of field strengths. Several N-layer structures were modeled. A four layer solar cell model was used to calculate efficiency, open circuit voltage and short circuit current. The effect on performance of layer thickness, doping level, and diffusion length was determined. The results show that peak initial efficiency of 18.1% occurs for a drift field thickness of about 30 micron with the doping rising from 10 to the 17th power atoms/cu cm at the edge of the depletion region to 10 to the 18th power atoms/cu cm in the substrate. Stronger drift fields (narrow field regions) allowed very high performance (17% efficiency) even after irradiation to 3x10 to the 14th power 1 MeV electrons/sq cm.

  11. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  12. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  13. Dynamic atomic layer epitaxy of InN on/in +c-GaN matrix: Effect of “In+N” coverage and capping timing by GaN layer on effective InN thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp; Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015; Kusakabe, Kazuhide

    2016-01-11

    The growth front in the self-organizing and self-limiting epitaxy of ∼1 monolayer (ML)-thick InN wells on/in +c-GaN matrix by molecular beam epitaxy (MBE) has been studied in detail, with special attention given to the behavior and role of the N atoms. The growth temperatures of interest are above 600 °C, far higher than the typical upper critical temperature of 500 °C in MBE. It was confirmed that 2 ML-thick InN wells can be frozen/inserted in GaN matrix at 620 °C, but it was found that N atoms at the growth front tend to selectively re-evaporate more quickly than In atoms at temperatures highermore » than 650 °C. As a result, the effective thickness of inserted InN wells in the GaN matrix at 660–670 °C were basically 1 ML or sub-ML, even though they were capped by a GaN barrier at the time of 2 ML “In+N” coverage. Furthermore, it was found that the N atoms located below In atoms in the dynamic atomic layer epitaxy growth front had remarkably weaker bonding to the +c-GaN surface.« less

  14. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity.

    PubMed

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2018-02-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe 2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec -1 at room temperature based on bilayer n-MoS 2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS 2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron-phonon interaction, resulting in a short exciton lifetime in the MoS 2 /GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Precise, Self-Limited Epitaxy of Ultrathin Organic Semiconductors and Heterojunctions Tailored by van der Waals Interactions.

    PubMed

    Wu, Bing; Zhao, Yinghe; Nan, Haiyan; Yang, Ziyi; Zhang, Yuhan; Zhao, Huijuan; He, Daowei; Jiang, Zonglin; Liu, Xiaolong; Li, Yun; Shi, Yi; Ni, Zhenhua; Wang, Jinlan; Xu, Jian-Bin; Wang, Xinran

    2016-06-08

    Precise assembly of semiconductor heterojunctions is the key to realize many optoelectronic devices. By exploiting the strong and tunable van der Waals (vdW) forces between graphene and organic small molecules, we demonstrate layer-by-layer epitaxy of ultrathin organic semiconductors and heterostructures with unprecedented precision with well-defined number of layers and self-limited characteristics. We further demonstrate organic p-n heterojunctions with molecularly flat interface, which exhibit excellent rectifying behavior and photovoltaic responses. The self-limited organic molecular beam epitaxy (SLOMBE) is generically applicable for many layered small-molecule semiconductors and may lead to advanced organic optoelectronic devices beyond bulk heterojunctions.

  16. Method of transferring a thin crystalline semiconductor layer

    DOEpatents

    Nastasi, Michael A [Sante Fe, NM; Shao, Lin [Los Alamos, NM; Theodore, N David [Mesa, AZ

    2006-12-26

    A method for transferring a thin semiconductor layer from one substrate to another substrate involves depositing a thin epitaxial monocrystalline semiconductor layer on a substrate having surface contaminants. An interface that includes the contaminants is formed in between the deposited layer and the substrate. Hydrogen atoms are introduced into the structure and allowed to diffuse to the interface. Afterward, the thin semiconductor layer is bonded to a second substrate and the thin layer is separated away at the interface, which results in transferring the thin epitaxial semiconductor layer from one substrate to the other substrate.

  17. The effect of surfactants on epitaxial growth of gallium nitride from gas phase in the Ga-HCl-NH3-H2-Ar system

    NASA Astrophysics Data System (ADS)

    Zhilyaev, Yu. V.; Zelenin, V. V.; Orlova, T. A.; Panteleev, V. N.; Poletaev, N. K.; Rodin, S. N.; Snytkina, S. A.

    2015-05-01

    We have studied epitaxial layers of gallium nitride (GaN) in a template composition grown by surfactant-mediated hydride-chloride vapor phase epitaxy. The surfactant component was provided by 5 mass % additives of antimony and indium to the source of gallium. Comparative analysis of the obtained results shows evidence of the positive influence of surfactants on the morphology of epitaxial GaN layers.

  18. Effect of hydrogenation on the electrical and optical properties of CdZnTe substrates and HgCdTe epitaxial layers

    NASA Astrophysics Data System (ADS)

    Sitharaman, S.; Raman, R.; Durai, L.; Pal, Surendra; Gautam, Madhukar; Nagpal, Anjana; Kumar, Shiv; Chatterjee, S. N.; Gupta, S. C.

    2005-12-01

    In this paper, we report the experimental observations on the effect of plasma hydrogenation in passivating intrinsic point defects, shallow/deep levels and extended defects in low-resistivity undoped CdZnTe crystals. The optical absorption studies show transmittance improvement in the below gap absorption spectrum. Using variable temperature Hall measurement technique, the shallow defect level on which the penetrating hydrogen makes complex, has been identified. In 'compensated' n-type HgCdTe epitaxial layers, hydrogenation can improve the resistivity by two orders of magnitude.

  19. Atomic Layer Epitaxy of Aluminum Nitride: Unraveling the Connection between Hydrogen Plasma and Carbon Contamination.

    PubMed

    Erwin, Steven C; Lyons, John L

    2018-06-13

    Atomistic control over the growth of semiconductor thin films, such as aluminum nitride, is a long-sought goal in materials physics. One promising approach is plasma-assisted atomic layer epitaxy, in which separate reactant precursors are employed to grow the cation and anion layers in alternating deposition steps. The use of a plasma during the growth-most often a hydrogen plasma-is now routine and generally considered critical, but the precise role of the plasma is not well-understood. We propose a theoretical atomistic model and elucidate its consequences using analytical rate equations, density functional theory, and kinetic Monte Carlo statistical simulations. We show that using a plasma has two important consequences, one beneficial and one detrimental. The plasma produces atomic hydrogen in the gas phase, which is important for removing methyl radicals left over from the aluminum precursor molecules. However, atomic hydrogen also leads to atomic carbon on the surface and, moreover, opens a channel for trapping these carbon atoms as impurities in the subsurface region, where they remain as unwanted contaminants. Understanding this dual role leads us to propose a solution for the carbon contamination problem which leaves the main benefit of the plasma largely unaffected.

  20. Polytype Stability and Microstructural Characterization of Silicon Carbide Epitaxial Films Grown on [ {11}overline{{2}} {0} ]- and [0001]-Oriented Silicon Carbide Substrates

    NASA Astrophysics Data System (ADS)

    Bishop, S. M.; Reynolds, C. L.; Liliental-Weber, Z.; Uprety, Y.; Zhu, J.; Wang, D.; Park, M.; Molstad, J. C.; Barnhardt, D. E.; Shrivastava, A.; Sudarshan, T. S.; Davis, R. F.

    2007-04-01

    The polytype and surface and defect microstructure of epitaxial layers grown on 4H( {11}overline{{2}} {0} ), 4H(0001) on-axis, 4H(0001) 8° off-axis, and 6H(0001) on-axis substrates have been investigated. High-resolution x-ray diffraction (XRD) revealed the epitaxial layers on 4H( {11}overline{{2}} {0} ) and 4H(0001) 8° off-axis to have the 4H-SiC (silicon carbide) polytype, while the 3C-SiC polytype was identified for epitaxial layers on 4H(0001) and 6H(0001) on-axis substrates. Cathodoluminescence (CL), Raman spectroscopy, and transmission electron microscopy (TEM) confirmed these results. The epitaxial surface of 4H( {11}overline{{2}} {0} ) films was specular with a roughness of 0.16-nm root-mean-square (RMS), in contrast to the surfaces of the other epitaxial layer-substrate orientations, which contained curvilinear boundaries, growth pits (˜3 × 104 cm-2), triangular defects >100 μm, and significant step bunching. Molten KOH etching revealed large defect densities within 4H( {11}overline{{2}} {0} ) films that decreased with film thickness to ˜106 cm-2 at 2.5 μm, while cross-sectional TEM studies showed areas free of defects and an indistinguishable film-substrate interface for 4H( {11}overline{{2}} {0} ) epitaxial layers.

  1. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  2. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) singlemore » crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.« less

  3. Optimal doping control of magnetic semiconductors via subsurfactant epitaxy.

    PubMed

    Zeng, Changgan; Zhang, Zhenyu; van Benthem, Klaus; Chisholm, Matthew F; Weitering, Hanno H

    2008-02-15

    "Subsurfactant epitaxy" is established as a conceptually new approach for introducing manganese as a magnetic dopant into germanium. A kinetic pathway is devised in which the subsurface interstitial sites on Ge(100) are first selectively populated with Mn, while lateral diffusion and clustering on or underneath the surface are effectively suppressed. Subsequent Ge deposition as a capping layer produces a novel surfactantlike phenomenon as the interstitial Mn atoms float towards newly defined subsurface sites at the growth front. Furthermore, the Mn atoms that failed to float upwards are uniformly distributed within the Ge capping layer. The resulting doping levels of order 0.25 at. % would normally be considered too low for ferromagnetic ordering, but the Curie temperature exceeds room temperature by a comfortable margin. Subsurfactant epitaxy thus enables superior dopant control in magnetic semiconductors.

  4. Columnar and subsurface silicide growth with novel molecular beam epitaxy techniques

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Pike, W. T.

    1992-01-01

    We have found novel growth modes for epitaxial CoSi2 at high temperatures coupled with Si-rich flux ratios or low deposition rates. In the first of these modes, codeposition of metal and Si at 600-800 C with excess Si leads to the formation of epitaxial silicide columns surrounded by single-crystal Si. During the initial stages of the deposition, the excess Si grows homoepitaxially in between the silicide, which forms islands, so that the lateral growth of the islands is confined. Once a template layer is established by this process, columns of silicide form as a result of selective epitaxy of silicide on silicide and Si on Si. This growth process allows nanometer control over silicide particles in three dimensions. In the second of these modes, a columnar silicide seed layer is used as a template to nucleate subsurface growth of CoSi2. With a 100 nm Si layer covering CoSi2 seeds, Co deposited at 800C and 0.01 nm/s diffuses down to grow on the buried seeds rather than nucleating surface silicide islands. For thicker Si caps or higher deposition rates, the surface concentration of Co exceeds the critical concentration for nucleation of islands, preventing this subsurface growth mode from occurring. Using this technique, single-crystal layers of CoSi2 buried under single-crystal Si caps have been grown.

  5. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de; Döscher, Henning

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surfacemore » sensitivity of this method.« less

  6. Local deformation gradients in epitaxial Pb(Zr0.2Ti0.8)O3 layers investigated by transmission electron microscopy

    NASA Astrophysics Data System (ADS)

    Denneulin, T.; Wollschläger, N.; Everhardt, A. S.; Farokhipoor, S.; Noheda, B.; Snoeck, E.; Hÿtch, M.

    2018-05-01

    Lead zirconate titanate samples are used for their piezoelectric and ferroelectric properties in various types of micro-devices. Epitaxial layers of tetragonal perovskites have a tendency to relax by forming ferroelastic domains. The accommodation of the a/c/a/c polydomain structure on a flat substrate leads to nanoscale deformation gradients which locally influence the polarization by flexoelectric effect. Here, we investigated the deformation fields in epitaxial layers of Pb(Zr0.2Ti0.8)O3 grown on SrTiO3 substrates using transmission electron microscopy (TEM). We found that the deformation gradients depend on the domain walls inclination ( or to the substrate interface) of the successive domains and we describe three different a/c/a domain configurations: one configuration with parallel a-domains and two configurations with perpendicular a-domains (V-shaped and hat--shaped). In the parallel configuration, the c-domains contain horizontal and vertical gradients of out-of-plane deformation. In the V-shaped and hat--shaped configurations, the c-domains exhibit a bending deformation field with vertical gradients of in-plane deformation. Each of these configurations is expected to have a different influence on the polarization and so the local properties of the film. The deformation gradients were measured using dark-field electron holography, a TEM technique, which offers a good sensitivity (0.1%) and a large field-of-view (hundreds of nanometers). The measurements are compared with finite element simulations.

  7. Van der Waals Epitaxy of Functional Oxide Heterostructures

    NASA Astrophysics Data System (ADS)

    Chu, Ying-Hao

    In the diligent pursuit of low-power consumption, multifunctional, and environmentally friendly electronics, more sophisticated requirements on functional materials are on demand. Recently, the discovery of 2D layered materials has created a revolution to this field. Pioneered by graphene, these new 2D materials exhibit abundant unusual physical phenomena that is undiscovered in bulk forms. These materials are characterized with their layer form and almost pure 2D electronic behavior. The confinement of charge and heat transport at such ultrathin planes offers possibilities to overcome the bottleneck of present device development in thickness limitation, and thus push the technologies into next generation. Van der Waals epitaxy, an epitaxial growth method to combine 2D and 3D materials, is one of current reliable manufacturing processes to fabricate 2D materials by growing these 2D materials epitaxially on 3D materials. Then, transferring the 2D materials to the substrates for practical applications. In the mean time, van der Waals epitaxy has also been used to create free-standing 3D materials by growing 3D materials on 2D materials and then removing them from 2D materials since the interfacial boding between 2D and 3D materials should be weak van der Waals bonds. In this study, we intend to take the same concept, but to integrate a family of functional materials in order to open new avenue to flexible electronics. Due to the interplay of lattice, charge, orbital, and spin degrees of freedom, correlated electrons in oxides generate a rich spectrum of competing phases and physical properties. Recently, lots of studies have suggested that oxide heterostructures provide a powerful route to create and manipulate the degrees of freedom and offer new possibilities for next generation devices, thus create a new playground for researchers to investigate novel physics and the emergence of fascinating states of condensed matter. In this talk, we use a 2D layered material as

  8. Epitaxial growth of 6H silicon carbide in the temperature range 1320 C to 1390 C

    NASA Technical Reports Server (NTRS)

    Will, H. A.; Powell, J. A.

    1974-01-01

    High-quality epitaxial layers of 6H SiC have been grown on 6H SiC substrates with the grown direction perpendicular to the crystal c-axis. The growth was by chemical vapor deposition from methyltrichlorosilane (CH3SiCl3) in hydrogen at temperatures in the range of 1320 to 1390 C. Epitaxial layers up to 80 microns thick were grown at rates of 0.4 microns/min. Attempts at growth on the (0001) plane of 6H SiC substrates under similar conditions resulted in polycrystalline cubic SiC layers. Optical and X-ray diffraction techniques were used to characterize the grown layers.

  9. Quantification of the degradation of Ni-YSZ anodes upon redox cycling

    NASA Astrophysics Data System (ADS)

    Song, Bowen; Ruiz-Trejo, Enrique; Bertei, Antonio; Brandon, Nigel P.

    2018-01-01

    Ni-YSZ anodes for Solid Oxide Fuel Cells are vulnerable to microstructural damage during redox cycling leading to a decrease in the electrochemical performance. This study quantifies the microstructural changes as a function of redox cycles at 800 °C and associates it to the deterioration of the mechanical properties and polarisation resistance. A physically-based model is used to estimate the triple-phase boundary (TPB) length from impedance spectra, and satisfactorily matches the TPB length quantified by FIB-SEM tomography: within 20 redox cycles, the TPB density decreases from 4.63 μm-2 to 1.06 μm-2. Although the polarisation resistance increases by an order of magnitude after 20 cycles, after each re-reduction the electrode polarisation improves consistently due to the transient generation of Ni nanoparticles around the TPBs. Nonetheless, the long-term degradation overshadows this transient improvement due to the nickel agglomeration. In addition, FIB-SEM tomography reveals fractures along YSZ grain boundaries, Ni-YSZ detachment and increased porosity in the composite that lead to irreversible mechanical damage: the elastic modulus diminishes from 36.4 GPa to 20.2 GPa and the hardness from 0.40 GPa to 0.15 GPa. These results suggest that microstructural, mechanical and electrochemical properties are strongly interdependent in determining the degradation caused by redox cycling.

  10. Transmission electron microscopy study of the formation of epitaxial CoSi2/Si (111) by a room-temperature codeposition technique

    NASA Technical Reports Server (NTRS)

    D'Anterroches, Cecile; Yakupoglu, H. Nejat; Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.

    1988-01-01

    Co and Si have been codeposited on Si (111) substrates near room temperature in a stoichiometric 1:2 ratio in a molecular beam epitaxy system. Annealing of these deposits yields high-quality single-crystal CoSi2 layers. Transmission electron microscopy has been used to examine as-deposited layers and layers annealed at 300, 500, and 600 C. Single-crystal epitaxial grains of CoSi2 embedded in a matrix of amorphous Co/Si are observed in as-deposited samples, while the layer is predominantly single-crystal, inhomogeneously strained CoSi2 at 300 C. At 600 C, a homogeneously strained single-crystal layer with a high density of pinholes is observed. In contrast to other solid phase epitaxy techniques used to grow CoSi2 on Si (111), no intermediate silicide phases are observed prior to the formation of CoSi2.

  11. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  12. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, June Key, E-mail: junekey@jnu.ac.kr, E-mail: hskim7@jbnu.ac.kr; Hyeon, Gil Yong; Tawfik, Wael Z.

    2015-05-14

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ∼35%. Further removal of hydrogen seems to be involved in the breaking ofmore » Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.« less

  13. Electrochemical removal of hydrogen atoms in Mg-doped GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Lee, June Key; Hyeon, Gil Yong; Tawfik, Wael Z.; Choi, Hee Seok; Ryu, Sang-Wan; Jeong, Tak; Jung, Eunjin; Kim, Hyunsoo

    2015-05-01

    Hydrogen atoms inside of an Mg-doped GaN epitaxial layer were effectively removed by the electrochemical potentiostatic activation (EPA) method. The role of hydrogen was investigated in terms of the device performance of light-emitting diodes (LEDs). The effect of the main process parameters for EPA such as solution type, voltage, and time was studied and optimized for application to LED fabrication. In optimized conditions, the light output of 385-nm LEDs was improved by about 26% at 30 mA, which was caused by the reduction of the hydrogen concentration by ˜35%. Further removal of hydrogen seems to be involved in the breaking of Ga-H bonds that passivate the nitrogen vacancies. An EPA process with high voltage breaks not only Mg-H bonds that generate hole carriers but also Ga-H bonds that generate electron carriers, thus causing compensation that impedes the practical increase of hole concentration, regardless of the drastic removal of hydrogen atoms. A decrease in hydrogen concentration affects the current-voltage characteristics, reducing the reverse current by about one order and altering the forward current behavior in the low voltage region.

  14. Growth of Y3Fe5O12/GaN layers by laser molecular-beam epitaxy and characterization of their structural and magnetic properties

    NASA Astrophysics Data System (ADS)

    Kaveev, A. K.; Bursian, V. E.; Gastev, S. V.; Krichevtsov, B. B.; Suturin, S. M.; Volkov, M. P.; Sokolov, N. S.

    2016-12-01

    Laser molecular-beam epitaxy has been employed to obtain layers of yttrium-iron garnet (YIG) Y3Fe5O12 on gallium nitride substrates. It was found that there exists a polycrystalline YIG phase without admixtures of other structural phases. A magnetic anisotropy of films of the "easy-magnetic plane" type was found. The gyromagnetic ratio and the demagnetizing field 4π M S were calculated.

  15. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  16. Investigation of the Photocurrent in Hot-Wall-Epitaxy-Grown BaIn2S4 Layers

    NASA Astrophysics Data System (ADS)

    You, S. H.; Hong, K. J.; Jeong, T. S.; Youn, C. J.

    2015-12-01

    The photocurrent (PC) of hot-wall-epitaxy-grown BaIn2S4 layers was studied at different temperatures and for different photoresponse intensities. With increasing temperature, the position of the PC spectra tended to shift toward longer wavelength. These PC peaks corresponded to band-to-band transitions caused by intrinsic transitions from the valence band states to the conduction band states. Also, the bandgap variations were well matched by the equation E g( T) = E g(0) - 3.79 × 10-3 T 2/( T + 499), where E g(0) was estimated to be 3.0597 eV, 3.2301 eV, and 3.2606 eV for transitions corresponding to the valence band states Γ 4(z), Γ 5(x), and Γ 5(y), respectively. By use of the selection rule and results from the PC spectroscopy, the crystal field and the spin-orbit splitting were found to be 0.1703 and 0.0306 eV, respectively. Thus, the PC intensity gradually decreased with decreasing temperature. The decrease of PC intensity was caused by the presence of trapping centers associated with native defects in the BaIn2S4 layers. The trap level was found to be a shallow donor-level type of 20.4 meV, 1.6 meV below the conduction band. Consequently, these trap levels, which are related to native defects in BaIn2S4 layers, are believed to limit PC intensity with decreasing temperature.

  17. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  18. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  19. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  20. Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Andersen, Tassie K.; Cook, Seyoung; Wan, Gang

    Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as amore » function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.« less

  1. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  2. Methods for making thin layers of crystalline materials

    DOEpatents

    Lagally, Max G; Paskiewicz, Deborah M; Tanto, Boy

    2013-07-23

    Methods for making growth templates for the epitaxial growth of compound semiconductors and other materials are provided. The growth templates are thin layers of single-crystalline materials that are themselves grown epitaxially on a substrate that includes a thin layer of sacrificial material. The thin layer of sacrificial material, which creates a coherent strain in the single-crystalline material as it is grown thereon, includes one or more suspended sections and one or more supported sections.

  3. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  4. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  5. Electrical transport properties of epitaxial titanium nitride nanowire

    NASA Astrophysics Data System (ADS)

    Makise, K.; Shinozaki, B.

    2018-03-01

    We have measured the transport properties of epitaxial titanium nitride (TiN) nanowires. Epitaxial TiN layer, deposited by dc magnetron sputtering on MgO(100) substrates at growth temperature T = 1073 K. Samples of nanowire were fabricated by e-beam lithography and reactive ion etching. Although TiN films with 100 nm-thickness have superconducting transition temperature T C ∼ 5 K, nanowires does not appear resistive transition until 0.15 K. The magnetoresistance (MR) are always negative. Furthermore for MR experimental results, we attempt to fit the data using one-dimensional weak localization theory. In addition we observed oscillations of magnetoresistance below 5 K.

  6. An investigation of the effects of radiation exposure on stability constraints in epitaxial SiGe strained layers

    NASA Astrophysics Data System (ADS)

    Chen, Tianbing; Sutton, Akil K.; Haugerud, Becca M.; Henderson, Walter; Gnana Prakash, A. P.; Cressler, John D.; Doolittle, Alan; Liu, Xuefeng; Joseph, Alvin; Marshall, Paul W.

    2006-07-01

    The thermodynamic stability of device-relevant epitaxial SiGe strained layers under proton irradiation is investigated using X-ray diffraction techniques, and compared with its stability constrain under high-temperature annealing. Irradiation with 63 MeV protons is found to introduce no significant microdefects into the SiGe thin films, regardless of starting stability condition of the SiGe film, and thus does not appear to be an issue for the use of SiGe HBT technology in emerging space systems. The strain relaxation of SiGe thin film under thermal annealing, however, is sensitive to the composition and thickness of the as-grown samples, as expected, with the subsequent lattice relaxation of the unstable samples occurring at a much higher rate than that of metastable samples.

  7. Atomic Layer Deposition of Metastable β-Fe 2 O 3 via Isomorphic Epitaxy for Photoassisted Water Oxidation

    DOE PAGES

    Emery, Jonathan D.; Schlepütz, Christian M.; Guo, Peijun; ...

    2014-12-09

    Here, we report the growth and photoelectrochemical (PEC) characterization of the uncommon bibyite phase of iron(III) oxide (β-Fe 2O 3) epitaxially stabilized via atomic layer deposition on an conductive, transparent, and isomorphic template (Sn-doped In 2O 3). Furthermore, as a photoanode, unoptimized β-Fe 2O 3 ultrathin films perform similarly to their ubiquitous α-phase (hematite) counterpart, but reveal a more ideal bandgap (1.8 eV), a ~0.1 V improved photocurrent onset potential, and longer wavelength (>600 nm) spectral response. Finally, stable operation under basic water oxidation justifies further exploration of this atypical phase and motivates the investigation of other unexplored metastable phasesmore » as new PEC materials.« less

  8. Examination of charge transfer in Au/YSZ for high-temperature optical gas sensing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baltrus, John P.; Ohodnicki, Paul R.

    2014-01-01

    Au-nanoparticle incorporated oxide thin film materials demonstrate significant promise as functionalsensor materials for high temperature optical gas sensing in severe environments relevant for fossil andnuclear based power generation. The Au/yttria-stabilized zirconia (YSZ) system has been extensivelystudied in the literature and serves as a model system for fundamental investigations that seek to betterunderstand the mechanistic origin of the plasmonic gas sensing response. In this work, X-ray photoelec-tron spectroscopy techniques are applied to Au/YSZ films in an attempt to provide further experimentalevidence for a proposed sensing mechanism involving a change in free carrier density of Au nanoparticles due to charge transfer.

  9. Superconductivity and tunneling-junctions in epitaxial Nb2N/AlN/GaN heterojunctions

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Han, Yimo; Khalsa, Guru; Vishwanath, Suresh; Katzer, Scott; Nepal, Neeraj; Downey, Brian; Muller, David; Meyer, David; Xing, Grace; Jena, Debdeep; ECE Collaboration; AEP Collaboration; MSE Collaboration; NRL Collaboration

    We have discovered that ultrathin highly crystalline Nb2N layers grown epitaxially (by MBE) on SiC and integrated with AlN and GaN heterostructures are high-quality superconductors with transition temperatures from 9-13 K. The out-of-plane critical magnetic fields are found to be 14 Tesla range, and the critical current density is 4*1E5 A/cm2 at 5 K. Preliminary in-plane magnetotransport measurements on 4 nm thin films indicate a significantly high critical magnetic field exceeding 40 T. Since Nb2N superconducting layers can be epitaxially integrated with GaN, AlN, and AlGaN, we also demonstrate Nb2N superconductivity in a layer located beneath an N-polar GaN high-electron-mobility transistor (HEMT) heterostructure that uses a 2DEG channel as a microwave amplifier; such a demonstration illustrates the potential emergence of a new paradigm where an all-epitaxial III-N/Nb2N platform could serve as the basis for microwave qubits to power quantum computation as well as quantum communications.

  10. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibitsmore » a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.« less

  11. Intensity Distribution of the Three-Wave Diffraction from Dislocation Epitaxial Layers in the Reciprocal Space

    NASA Astrophysics Data System (ADS)

    Kyutt, R. N.

    2018-04-01

    The three-wave X-ray diffraction in strongly disordered epitaxial layers of GaN and ZnO is experimentally investigated. The charts of the intensity distribution in the reciprocal space are plotted in coordinates q θ and q ϕ for the most intensive three-wave combination (1010)/(1011) by means of subsequent θ- and ϕ-scanning. A nontrivial shape of the θ-sections of these contours at a distance from the ϕ center of reflection is revealed; it is different for different samples. For the θ-curves at the center of reflection, we observed a common peak that may be approximated by the Voigt function with a power-low decrease in the intensity at the wings; the decrease law (from-4.5 to-5.0) is found to be considerably greater than that for the similar curves of two-wave diffraction and not depending on the dislocation density and distribution in layers. In some films we observed a coarse-block structure; in addition, it follows from the distribution in the reciprocal space that these blocks are turned with respect to each other around a normal to the surface, which allows us to suggest the existence of low-angle boundaries between them, consisting exclusively of edge dislocations.

  12. Local deformation gradients in epitaxial Pb(Zr0.2Ti0.8)O3 layers investigated by transmission electron microscopy.

    PubMed

    Denneulin, T; Wollschläger, N; Everhardt, A S; Farokhipoor, S; Noheda, B; Snoeck, E; Hÿtch, M

    2018-05-31

    Lead zirconate titanate samples are used for their piezoelectric and ferroelectric properties in various types of micro-devices. Epitaxial layers of tetragonal perovskites have a tendency to relax by forming [Formula: see text] ferroelastic domains. The accommodation of the a/c/a/c polydomain structure on a flat substrate leads to nanoscale deformation gradients which locally influence the polarization by flexoelectric effect. Here, we investigated the deformation fields in epitaxial layers of Pb(Zr 0.2 Ti 0.8 )O 3 grown on SrTiO 3 substrates using transmission electron microscopy (TEM). We found that the deformation gradients depend on the domain walls inclination ([Formula: see text] or [Formula: see text] to the substrate interface) of the successive [Formula: see text] domains and we describe three different a/c/a domain configurations: one configuration with parallel a-domains and two configurations with perpendicular a-domains (V-shaped and hat-[Formula: see text]-shaped). In the parallel configuration, the c-domains contain horizontal and vertical gradients of out-of-plane deformation. In the V-shaped and hat-[Formula: see text]-shaped configurations, the c-domains exhibit a bending deformation field with vertical gradients of in-plane deformation. Each of these configurations is expected to have a different influence on the polarization and so the local properties of the film. The deformation gradients were measured using dark-field electron holography, a TEM technique, which offers a good sensitivity (0.1%) and a large field-of-view (hundreds of nanometers). The measurements are compared with finite element simulations.

  13. Pinhole-free growth of epitaxial CoSi.sub.2 film on Si(111)

    NASA Technical Reports Server (NTRS)

    Lin, True-Lon (Inventor); Fathauer, Robert W. (Inventor); Grunthaner, Paula J. (Inventor)

    1991-01-01

    Pinhole-free epitaxial CoSi.sub.2 films (14') are fabricated on (111)-oriented silicon substrates (10) with a modified solid phase epitaxy technique which utilizes (1) room temperature stoichiometric (1:2) codeposition of Co and Si followed by (2) room temperature deposition of an amorphous silicon capping layer (16), and (3) in situ annealing at a temperature ranging from about 500.degree. to 750.degree. C.

  14. Preparation and Characterization of Anode-Supported YSZ Thin Film Electrolyte by Co-Tape Casting and Co-Sintering Process

    NASA Astrophysics Data System (ADS)

    Liu, Q. L.; Fu, C. J.; Chan, S. H.; Pasciak, G.

    2011-06-01

    In this study, a co-tape casting and co-sintering process has been developed to prepare yttria-stabilized zirconia (YSZ) electrolyte films supported on Ni-YSZ anode substrates in order to substantially reduce the fabrication cost of solid oxide fuel cells (SOFC). Through proper control of the process, the anode/electrolyte bilayer structures with a size of 7.8cm × 7.8cm were achieved with good flatness. Scanning electron microscopy (SEM) observation indicated that the YSZ electrolyte film was about 16 μm in thickness, highly dense, crack free and well-bonded to the anode support. The electrochemical properties of the prepared anode-supported electrolyte film was evaluated in a button cell mode incorporating a (LaSr)MnO3-YSZ composite cathode. With humidified hydrogen as the fuel and stationary air as the oxidant, the cell demonstrated an open-circuit voltage of 1.081 V and a maximum power density of 1.01 W/cm2 at 800°C. The obtained results represent the important progress in the development of anode-supported intermediate temperature SOFC with reduced fabrication cost.

  15. Properties of epitaxial BaTiO{sub 3} deposited on GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Contreras-Guerrero, R.; Droopad, R.; Veazey, J. P.

    2013-01-07

    Single crystal BaTiO{sub 3} (BTO) has been grown epitaxially on GaAs using molecular beam epitaxy with a 2 unit cell SrTiO{sub 3} nucleation layer. The oxide film is lattice-matched to GaAs through an in-plane rotation of 45 Degree-Sign relative to the (100) surface leading to c-axis orientation of the BaTiO{sub 3}. X-ray diffraction confirmed the crystallinity and orientation of the oxide film with a full width half maximum of 0.58 Degree-Sign for a 7.5 nm thick layer. Piezoresponse force microscopy was used to characterize the ferroelectric domains in the BaTiO{sub 3} layer, and a coercive voltage of 1-2 V andmore » piezoresponse amplitude {approx}5 pm/V was measured.« less

  16. Perspective: Oxide molecular-beam epitaxy rocks!

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schlom, Darrell G., E-mail: schlom@cornell.edu

    2015-06-01

    Molecular-beam epitaxy (MBE) is the “gold standard” synthesis technique for preparing semiconductor heterostructures with high purity, high mobility, and exquisite control of layer thickness at the atomic-layer level. Its use for the growth of multicomponent oxides got off to a rocky start 30 yr ago, but in the ensuing decades, it has become the definitive method for the preparation of oxide heterostructures too, particularly when it is desired to explore their intrinsic properties. Examples illustrating the unparalleled achievements of oxide MBE are given; these motivate its expanding use for exploring the potentially revolutionary states of matter possessed by oxide systems.

  17. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  18. Highly doped layer for tunnel junctions in solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fetzer, Christopher M.

    A highly doped layer for interconnecting tunnel junctions in multijunction solar cells is presented. The highly doped layer is a delta doped layer in one or both layers of a tunnel diode junction used to connect two or more p-on-n or n-on-p solar cells in a multijunction solar cell. A delta doped layer is made by interrupting the epitaxial growth of one of the layers of the tunnel diode, depositing a delta dopant at a concentration substantially greater than the concentration used in growing the layer of the tunnel diode, and then continuing to epitaxially grow the remaining tunnel diode.

  19. Twin-mediated epitaxial growth of highly lattice-mismatched Cu/Ag core-shell nanowires.

    PubMed

    Weng, Wei-Lun; Hsu, Chin-Yu; Lee, Jheng-Syun; Fan, Hsin-Hsin; Liao, Chien-Neng

    2018-05-31

    Lattice-mismatch is an important factor for the heteroepitaxial growth of core-shell nanostructures. A large lattice-mismatch usually leads to a non-coherent interface or a polycrystalline shell layer. In this study, a conformal Ag layer is coated on Cu nanowires with dense nanoscale twin boundaries through a galvanic replacement reaction. Despite a large lattice mismatch between Ag and Cu (∼12.6%), the Ag shell replicates the twinning structure in Cu nanowires and grows epitaxially on the nanotwinned Cu nanowire. A twin-mediated growth mechanism is proposed to explain the epitaxy of high lattice-mismatch bimetallic systems in which the misfit dislocations are accommodated by coherent twin boundaries.

  20. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of themore » III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.« less

  1. Molecular beam epitaxy growth of PbSe on Si (211) using a ZnTe buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X. J.; Chang, Y.; Hou, Y. B.

    2011-09-15

    The authors report the results of successful growth of single crystalline PbSe on Si (211) substrates with ZnTe as a buffer layer by molecular beam epitaxy. Single crystalline PbSe with (511) orientation was achieved on ZnTe/Si (211), as evidenced by RHEED patterns indicative of 2 dimensional (2D) growth, x ray diffraction rocking curves with a full width at half maximum as low as 153 arc sec and mobility as large as 1.1x10{sup 4}cm{sup 2}V{sup -1}s{sup -1} at 77 K. Cross hatch patterns were found on the PbSe(511) surface in Nomarski filtered microscope images suggesting the presence of a surface thermalmore » strain relaxation mechanism, which was confirmed by Fourier transformed high resolution transmission electron microscope images.« less

  2. A prefilter for mitigating PH 3 contamination of a Ni-YSZ anode

    NASA Astrophysics Data System (ADS)

    Xu, Chunchuan; Zondlo, John W.; Sabolsky, Edward M.

    Ni-YSZ is used as the anode of a solid oxide fuel cell (SOFC) because it has excellent electrochemical performance for operation with coal-derived syngas. However, trace impurities, PH 3 H 2S AsH 3, and Sb in coal-syngas can cause SOFC degradation. Described here is a means of removing PH 3 impurity from syngas by using a Ni-based prefilter. In one test, a thin Ni-based filter was set upstream of a Ni-YSZ anode-supported SOFC. The SOFC was exposed to syngas with PH 3 under a constant current load at 800 °C. The filter decreased 20 ppm PH 3 in the feed to a level which did not degrade the SOFC for over 400 h until the filter became saturated. In another test, both H 2S and PH 3 were co-fed to the cell with Ni-based and Fe/Ni-based filters. The interaction between these two impurities did not significantly impact the filter performance with respect to PH 3 removal for both filter formulations. The cell performance was evaluated by current-voltage measurements and impedance spectroscopy. Post-mortem analyses of the cell and filter were performed by means of XRD, SEM/EDS and XPS. With proper filter design, the Ni-YSZ SOFC can operate on contaminated coal-syngas without degradation over a prescribed period of time.

  3. Electrostatic transfer of epitaxial graphene to glass.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohta, Taisuke; Pan, Wei; Howell, Stephen Wayne

    2010-12-01

    We report on a scalable electrostatic process to transfer epitaxial graphene to arbitrary glass substrates, including Pyrex and Zerodur. This transfer process could enable wafer-level integration of graphene with structured and electronically-active substrates such as MEMS and CMOS. We will describe the electrostatic transfer method and will compare the properties of the transferred graphene with nominally-equivalent 'as-grown' epitaxial graphene on SiC. The electronic properties of the graphene will be measured using magnetoresistive, four-probe, and graphene field effect transistor geometries [1]. To begin, high-quality epitaxial graphene (mobility 14,000 cm2/Vs and domains >100 {micro}m2) is grown on SiC in an argon-mediated environmentmore » [2,3]. The electrostatic transfer then takes place through the application of a large electric field between the donor graphene sample (anode) and the heated acceptor glass substrate (cathode). Using this electrostatic technique, both patterned few-layer graphene from SiC(000-1) and chip-scale monolayer graphene from SiC(0001) are transferred to Pyrex and Zerodur substrates. Subsequent examination of the transferred graphene by Raman spectroscopy confirms that the graphene can be transferred without inducing defects. Furthermore, the strain inherent in epitaxial graphene on SiC(0001) is found to be partially relaxed after the transfer to the glass substrates.« less

  4. Effects of high source flow and high pumping speed on gas source molecular beam epitaxy / chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    McCollum, M. J.; Jackson, S. L.; Szafranek, I.; Stillman, G. E.

    1990-10-01

    We report the growth of GaAs by molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), and chemical beam epitaxy (CBE) in an epitaxial III-V reactor which features high pumping speed. The system is comprised of a modified Perkin-Elmer 430P molecular beam epitaxy system and a custom gas source panel from Emcore. The growth chamber is pumped with a 7000 1/s (He) diffusion pump (Varian VHS-10 with Monsanto Santovac 5 oil). The gas source panel includes pressure based flow controllers (MKS 1150) allowing triethylaluminum (TEA), triethylgallium (TEG), and trimethylindium (TMI) to be supplied without the use of hydrogen. All source lines, including arsine and phosphine, are maintained below atmospheric pressure. The high pumping speed allows total system flow rates as high as 100 SCCM and V/III ratios as high as 100. The purity of GaAs grown by MBE in this system increases with pumping speed. GaAs layers grown by GSMBE with arsine flows of 10 and 20 SCCM have electron concentrations of 1 × 10 15 cm -3 (μ 77=48,000 cm 2/V·) and 2 × 10 14 cm -3 (μ 77=78,000 cm 2/V·s) respectively. El ectron concentration varies with hydride injector temperature such that the minimum in electron concentration occurs for less than complete cracking. The effect of V/III ratio and the use of a metal eutectic bubbler on residual carrier concentration in GaAs grown by CBE is presented. Intentional Si and Be doping of CBE grown GaAs is demonstrated at a high growth rate of 5.4 μm/h.

  5. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  6. The stability of the epitaxially introduced metastable metallic structures of thin layers and multilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cadeville, M.C.

    Among the very large number of metallic thin films, sandwiches and multilayers which have been elaborated by epitaxy on various single crystalline substrates during the last decade, few new structures are reported. Limiting to the case of 3d metals, one finds with a great confidence bcc Cobalt, possibly bee Nickel and a non-compact hexagonal (hp) iron. Moreover structures existing at high temperature under ambient pressure are epitaxially stabilized at room temperature (RT) like fcc Cobalt, fcc Iron, fcc and bcc Manganese. The hcp iron which is stable under high pressure at RT would not be epitaxially stabilized at ambient pressuremore » conversely to first findings. The critical thickness of the metastable phase is generally limited to some monolayers in thin films, being slightly increased in sandwiches or multilayers, even if the phenomenological wetting criterion to build superlattices is not satisfied. No increased magnetic moment has been found up to now in the expanded lattices, contrary to band structure calculation predictions. 56 refs.« less

  7. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2015-01-06

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  8. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2013-02-19

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  9. A Study of the Crystal Structure of Co40Fe40B20 Epitaxial Films on a Bi2Te3 Topological Insulator

    NASA Astrophysics Data System (ADS)

    Kaveev, A. K.; Suturin, S. M.; Sokolov, N. S.; Kokh, K. A.; Tereshchenko, O. E.

    2018-03-01

    Laser molecular-beam epitaxy has been used to form Co40Fe40B20 layers on Bi2Te3 topological insulator substrates, and their growth conditions have been studied. The possibility of growing epitaxial ferromagnetic layers on the surface of a topological insulator is demonstrated for the first time. The CoFeB layers have a body-centered cubic crystal structure with the (111) crystal plane parallel to the (0001) plane of Bi2Te3. 3D mapping in the reciprocal space of high-energy electron-diffraction patterns made it possible to determine the epitaxial relationships between the film and the substrate.

  10. Layer-by-layer epitaxial growth of defect-engineered strontium cobaltites

    DOE PAGES

    Andersen, Tassie K.; Cook, Seyoung; Wan, Gang; ...

    2018-01-18

    Here, control over structure and composition of (ABO 3) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cation’s stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentrationmore » as a function of film thickness. Experimental results are compared to kinetically-limited thermodynamic predictions, in particular, solute trapping, with semi-quantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.« less

  11. Layer-by-layer epitaxial growth of defect-engineered strontium cobaltites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Andersen, Tassie K.; Cook, Seyoung; Wan, Gang

    Here, control over structure and composition of (ABO 3) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cation’s stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentrationmore » as a function of film thickness. Experimental results are compared to kinetically-limited thermodynamic predictions, in particular, solute trapping, with semi-quantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.« less

  12. Observation of spin-glass behavior in homogeneous (Ga,Mn)N layers grown by reactive molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Dhar, S.; Brandt, O.; Trampert, A.; Friedland, K. J.; Sun, Y. J.; Ploog, K. H.

    2003-04-01

    We present a detailed study of the magnetic properties of (Ga,Mn)N layers grown directly on 4H-SiC substrates by reactive molecular-beam epitaxy. X-ray diffraction and transmission electron microscopy demonstrates that homogeneous (Ga,Mn)N alloys of high crystal quality can be synthesized by this growth method up to a Mn-content of 10 12 %. Using a variety of magnetization experiments (temperature-dependent dc magnetization, isothermal remanent magnetization, frequency and field dependent ac susceptibility), we demonstrate that insulating (Ga,Mn)N alloys represent a Heisenberg spin-glass with a spin-freezing temperature around 4.5 K. We discuss the origins of this spin-glass characteristics in terms of the deep-acceptor nature of Mn in GaN and the resulting insulating character of this compound.

  13. Kinetic limitation of chemical ordering in Bi2Te3-x Se x layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Schreyeck, S.; Brunner, K.; Kirchner, A.; Bass, U.; Grauer, S.; Schumacher, C.; Gould, C.; Karczewski, G.; Geurts, J.; Molenkamp, L. W.

    2016-04-01

    We study the chemical ordering in Bi2Te3-x Se x grown by molecular beam epitaxy on Si substrates. We produce films in the full composition range from x  =  0 to 3, and determine their material properties using energy dispersive x-ray spectroscopy, x-ray diffraction and Raman spectroscopy. By fitting the parameters of a kinetic growth model to these results, we obtain a consistent description of growth at a microscopic level. Our main finding is that despite the incorporation of Se in the central layer being much more probable than that of Te, the formation of a fully ordered Te-Bi-Se-Bi-Te layer is prevented by kinetic of the growth process. Indeed, the Se concentration in the central layer of Bi2Te2Se1 reaches a maximum of only  ≈75% even under ideal growth conditions. A second finding of our work is that the intensity ratio of the 0 0 12 and 0 0 6 x-ray reflections serves as an experimentally accessible quantitative measure of the degree of ordering in these films.

  14. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  15. Epitaxial Ce and the magnetism of single-crystal Ce/Nd superlattices

    NASA Astrophysics Data System (ADS)

    Clegg, P. S.; Goff, J. P.; McIntyre, G. J.; Ward, R. C.; Wells, M. R.

    2003-05-01

    The chemical structure of epitaxial γ cerium and the chemical and magnetic structures of cerium/neodymium superlattices have been studied using x-ray and neutron diffraction techniques. The samples were grown using molecular-beam epitaxy, optimized to yield the desired Ce allotropes. The x-ray measurements show that, in the superlattices, both constituents adopt the dhcp structure and that the stacking sequence remains intact down to T˜2 K; these are the first measurements of magnetic ordering in single-crystal dhcp Ce. The magnetic structure of the superlattices with thicker Nd layers exhibit incommensurate order and ferromagnetism on separate sublattices in a similar manner to Nd under applied pressure. The sample with thickest Ce layers has a magnetic structure similar to bulk β Ce, which has commensurate transverse modulation with a propagation wave vector [1/2 0 0] and moments along the hexagonal a direction. These two types of magnetic order appear to be mutually exclusive. γ Ce is the high-temperature fcc phase of Ce, our single-phase epitaxial sample is observed to go through a new, but partial, structural transition not previously seen in the bulk material.

  16. 3D Microstructure Effects in Ni-YSZ Anodes: Prediction of Effective Transport Properties and Optimization of Redox Stability

    PubMed Central

    Pecho, Omar M.; Stenzel, Ole; Iwanschitz, Boris; Gasser, Philippe; Neumann, Matthias; Schmidt, Volker; Prestat, Michel; Hocker, Thomas; Flatt, Robert J.; Holzer, Lorenz

    2015-01-01

    This study investigates the influence of microstructure on the effective ionic and electrical conductivities of Ni-YSZ (yttria-stabilized zirconia) anodes. Fine, medium, and coarse microstructures are exposed to redox cycling at 950 °C. FIB (focused ion beam)-tomography and image analysis are used to quantify the effective (connected) volume fraction (Φeff), constriction factor (β), and tortuosity (τ). The effective conductivity (σeff) is described as the product of intrinsic conductivity (σ0) and the so-called microstructure-factor (M): σeff = σ0 × M. Two different methods are used to evaluate the M-factor: (1) by prediction using a recently established relationship, Mpred = εβ0.36/τ5.17, and (2) by numerical simulation that provides conductivity, from which the simulated M-factor can be deduced (Msim). Both methods give complementary and consistent information about the effective transport properties and the redox degradation mechanism. The initial microstructure has a strong influence on effective conductivities and their degradation. Finer anodes have higher initial conductivities but undergo more intensive Ni coarsening. Coarser anodes have a more stable Ni phase but exhibit lower YSZ stability due to lower sintering activity. Consequently, in order to improve redox stability, it is proposed to use mixtures of fine and coarse powders in different proportions for functional anode and current collector layers. PMID:28793523

  17. Epitaxial layers of 2122 BCSCO superconductor thin films having single crystalline structure

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal K. (Inventor); Solayappan, Narayanan (Inventor)

    1995-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  18. Apparatus for externally controlled closed-loop feedback digital epitaxy

    DOEpatents

    Eres, D.; Sharp, J.W.

    1996-07-30

    A method and apparatus for digital epitaxy are disclosed. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced. 5 figs.

  19. Apparatus for externally controlled closed-loop feedback digital epitaxy

    DOEpatents

    Eres, Djula; Sharp, Jeffrey W.

    1996-01-01

    A method and apparatus for digital epitaxy. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced.

  20. Growth of ferroelectric Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} epitaxial films by ultraviolet pulsed laser irradiation of chemical solution derived precursor layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Queraltó, A.; Pérez del Pino, A., E-mail: aperez@icmab.es; Mata, M. de la

    2015-06-29

    Highly crystalline epitaxial Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} (BST) thin-films are grown on (001)-oriented LaNiO{sub 3}-buffered LaAlO{sub 3} substrates by pulsed laser irradiation of solution derived barium-zirconium-titanium precursor layers using a UV Nd:YAG laser source at atmospheric conditions. The structural analyses of the obtained films, studied by X-ray diffractometry and transmission electron microscopy, demonstrate that laser processing allows the growth of tens of nm-thick BST epitaxial films with crystalline structure similar to that of films obtained through conventional thermal annealing methods. However, the fast pulsed nature of the laser employed leads to crystallization kinetic evolution orders of magnitude faster than inmore » thermal treatments. The combination of specific photothermal and photochemical mechanisms is the main responsible for the ultrafast epitaxial laser-induced crystallization. Piezoresponse microscopy measurements demonstrate equivalent ferroelectric behavior in laser and thermally annealed films, being the piezoelectric constant ∼25 pm V{sup −1}.« less

  1. Interfacing epitaxial oxides to gallium nitride

    NASA Astrophysics Data System (ADS)

    Losego, Mark Daniel

    Molecular beam epitaxy (MBE) is lauded for its ability to control thin film material structures at the atomic level. This precision of control can improve performance of microelectronic devices and cultivate the development of novel device structures. This thesis explores the utility of MBE for designing interfaces between oxide epilayers and the wide band gap semiconductor gallium nitride (GaN). The allure of wide gap semiconductor microelectronics (like GaN, 3.4 eV) is their ability to operate at higher frequencies, higher powers, and higher temperatures than current semiconductor platforms. Heterostructures between ferroelectric oxides and GaN are also of interest for studying the interaction between GaN's fixed polarization and the ferroelectric's switchable polarization. Two major obstacles to successful integration of oxides with GaN are: (1) interfacial trap states; and (2) small electronic band offsets across the oxide/nitride interface due to the semiconductor's large band gap. For this thesis, epitaxial rocksalt oxide interfacial layers (˜8 eV band gap) are investigated as possible solutions to overcoming the challenges facing oxide integration with GaN. The cubic close-packed structure of rocksalt oxides forms a suitable epitaxial interface with the hexagonal close-packed wurtzite lattice of GaN. Three rocksalt oxide compounds are investigated in this thesis: MgO, CaO, and YbO. All are found to have a (111) MO || (0001) GaN; <1 10> MO || <11 20> GaN epitaxial relationship. Development of the epilayer microstructure is dominated by the high-energy polar growth surface (drives 3D nucleation) and the interfacial symmetry, which permits the formation of twin boundaries. Using STEM, strain relief for these ionicly bonded epilayers is observed to occur through disorder within the initial monolayer of growth. All rocksalt oxides demonstrate chemical stability with GaN to >1000°C. Concurrent MBE deposition of MgO and CaO is known to form complete solid

  2. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  3. Preconditioning of the YSZ-NiO Fuel Cell Anode in Hydrogenous Atmospheres Containing Water Vapor.

    PubMed

    Vasyliv, Bogdan; Podhurska, Viktoriya; Ostash, Orest

    2017-12-01

    The YSZ-NiO ceramics for solid oxide fuel cells (SOFCs) anode have been investigated. A series of specimens were singly reduced in a hydrogenous atmosphere (Ar-5 vol% H 2 mixture) at 600 °C under the pressure of 0.15 MPa or subjected to 'reduction in the mixture-oxidation in air' (redox) cycling at 600 °C. The YSZ-Ni cermets formed in both treatment conditions were then aged in 'water vapor in Ar-5 vol% H 2 mixture' atmosphere at 600 °C under the pressure of 0.15 MPa. Additionally, the behaviour of the as-received material in this atmosphere was studied. It was revealed that small amount of water vapor in Ar-5 vol% H 2 mixture (water vapor pressure below 0.03 MPa) does not affect the reduction of the nickel phase in the YSZ-NiO ceramics, but causes some changes in the YSZ-Ni cermet structure. In particular, nanopore growth in tiny Ni particles takes place. At higher concentration of water vapor in the mixture (water vapor pressure above 0.03-0.05 MPa), converse changes in the kinetics of reduction occur. The best physical and mechanical properties were revealed for the material treated by redox cycling after holding at 600 °C in water depleted gas mixture. The dual effect of water vapor on nickel-zirconia anode behaviour is discussed basing on scanning electron microscopy analysis data, material electrical conductivity, and strength.

  4. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  5. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    NASA Technical Reports Server (NTRS)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  6. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  7. The impact of substrate selection for the controlled growth of graphene by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Schumann, T.; Lopes, J. M. J.; Wofford, J. M.; Oliveira, M. H.; Dubslaff, M.; Hanke, M.; Jahn, U.; Geelhaar, L.; Riechert, H.

    2015-09-01

    We examine how substrate selection impacts the resulting film properties in graphene growth by molecular beam epitaxy (MBE). Graphene growth on metallic as well as dielectric templates was investigated. We find that MBE offers control over the number of atomic graphene layers regardless of the substrate used. High structural quality could be achieved for graphene prepared on Ni (111) films which were epitaxially grown on MgO (111). For growth either on Al2O3 (0001) or on (6√3×6√3)R30°-reconstructed SiC (0001) surfaces, graphene with a higher density of defects is obtained. Interestingly, despite their defective nature, the layers possess a well defined epitaxial relation to the underlying substrate. These results demonstrate the feasibility of MBE as a technique for realizing the scalable synthesis of this two-dimensional crystal on a variety of substrates.

  8. Growth of epitaxial orthorhombic YO{sub 1.5}-substituted HfO{sub 2} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori

    YO{sub 1.5}-substituted HfO{sub 2} thin films with various substitution amounts were grown on (100) YSZ substrates by the pulsed laser deposition method directly from the vapor phase. The epitaxial growth of film with different YO{sub 1.5} amounts was confirmed by the X-ray diffraction method. Wide-area reciprocal lattice mapping measurements were performed to clarify the crystal symmetry of films. The formed phases changed from low-symmetry monoclinic baddeleyite to high-symmetry tetragonal/cubic fluorite phases through an orthorhombic phase as the YO{sub 1.5} amount increased from 0 to 0.15. The additional annular bright-field scanning transmission electron microscopy indicates that the orthorhombic phase has polarmore » structure. This means that the direct growth by vapor is of polar orthorhombic HfO{sub 2}-based film. Moreover, high-temperature X-ray diffraction measurements showed that the film with a YO{sub 1.5} amount of 0.07 with orthorhombic structure at room temperature only exhibited a structural phase transition to tetragonal phase above 450 °C. This temperature is much higher than the reported maximum temperature of 200 °C to obtain ferroelectricity as well as the expected temperature for real device application. The growth of epitaxial orthorhombic HfO{sub 2}-based film helps clarify the nature of ferroelectricity in HfO{sub 2}-based films (186 words/200 words)« less

  9. Atomically layer-by-layer diffusion of oxygen/hydrogen in highly epitaxial PrBaCo2O5.5+δ thin films

    NASA Astrophysics Data System (ADS)

    Bao, Shanyong; Xu, Xing; Enriquez, Erik; Mace, Brennan E.; Chen, Garry; Kelliher, Sean P.; Chen, Chonglin; Zhang, Yamei; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qinyu

    2015-12-01

    Single-crystalline epitaxial thin films of PrBaCo2O5.5+δ (PrBCO) were prepared, and their resistance R(t) under a switching flow of oxidizing and reducing gases were measured as a function of the gas flow time t in the temperature range of 200-800 °C. During the oxidation cycle under O2, the PrBCO films exhibit fast oscillations in their dR(t)/dt vs. t plots, which reflect the oxidation processes, Co2+/Co3+ → Co3+ and Co3+ → Co3+/Co4+, that the Co atoms of PrBCO undergo. Each oscillation consists of two peaks, with larger and smaller peaks representing the oxygen/hydrogen diffusion through the (BaO)(CoO2)(PrO)(CoO2) layers of PrBCO via the oxygen-vacancy-exchange mechanism. This finding paves a significant avenue for cathode materials operating in low-temperature solid-oxide-fuel-cell devices and for chemical sensors with wide range of operating temperature.

  10. Epitaxial growth of (001)-oriented Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on a-plane sapphire with an MgO/ZnO bridge layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiao Bo; Liu Hongrui; Avrutin, Vitaliy

    2009-11-23

    High quality (001)-oriented Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown on a-plane sapphire (1120) by rf magnetron sputtering using a double bridge layer consisting of (0001)-oriented ZnO (50 nm) and (001)-oriented MgO (10 nm) prepared by plasma-assisted molecular beam epitaxy. X-ray diffraction revealed the formation of three sets of in-plane BST domains, offset from one another by 30 deg., which is consistent with the in-plane symmetry of the MgO layer observed by in situ reflective high electron energy diffraction. The in-plane epitaxial relationship of BST, MgO, and ZnO has been determined to be BST [110]//MgO [110]//ZnO [1120]more » and BST [110]/MgO [110]//ZnO [1100]. Capacitance-voltage measurements performed on BST coplanar interdigitated capacitor structures revealed a high dielectric tunability of up to 84% at 1 MHz.« less

  11. Spin-injection into epitaxial graphene on silicon carbide

    NASA Astrophysics Data System (ADS)

    Konishi, Keita; Cui, Zhixin; Hiraki, Takahiro; Yoh, Kanji

    2013-09-01

    We have studied the spin-injection properties in epitaxial graphene on SiC. The ferromagnetic metal (FM) electrodes were composed of a tunnel barrier layer AlOx (14 Å) and a ferromagnetic Co (600 Å) layer. We have successfully observed the clear resistance peaks indicating spin-injection both in the "local" and "non-local" spin measurement set-ups at low temperatures. We estimate spin-injection rate of 1% based on "non-local" measurement and 1.6% based on local measurements. Spin-injection rate of multilayer graphene by mechanical exfoliation method was twice as high as single layer graphene on SiC based on "local" measurement.

  12. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1992-02-25

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  13. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1986-12-30

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  14. Strain-Engineered Graphene Grown on Hexagonal Boron Nitride by Molecular Beam Epitaxy

    PubMed Central

    Summerfield, Alex; Davies, Andrew; Cheng, Tin S.; Korolkov, Vladimir V.; Cho, YongJin; Mellor, Christopher J.; Foxon, C. Thomas; Khlobystov, Andrei N.; Watanabe, Kenji; Taniguchi, Takashi; Eaves, Laurence; Novikov, Sergei V.; Beton, Peter H.

    2016-01-01

    Graphene grown by high temperature molecular beam epitaxy on hexagonal boron nitride (hBN) forms continuous domains with dimensions of order 20 μm, and exhibits moiré patterns with large periodicities, up to ~30 nm, indicating that the layers are highly strained. Topological defects in the moiré patterns are observed and attributed to the relaxation of graphene islands which nucleate at different sites and subsequently coalesce. In addition, cracks are formed leading to strain relaxation, highly anisotropic strain fields, and abrupt boundaries between regions with different moiré periods. These cracks can also be formed by modification of the layers with a local probe resulting in the contraction and physical displacement of graphene layers. The Raman spectra of regions with a large moiré period reveal split and shifted G and 2D peaks confirming the presence of strain. Our work demonstrates a new approach to the growth of epitaxial graphene and a means of generating and modifying strain in graphene. PMID:26928710

  15. Van der Waals Epitaxial Growth of Atomic Layered HfS2 Crystals for Ultrasensitive Near-Infrared Phototransistors.

    PubMed

    Fu, Lei; Wang, Feng; Wu, Bin; Wu, Nian; Huang, Wei; Wang, Hanlin; Jin, Chuanhong; Zhuang, Lin; He, Jun; Fu, Lei; Liu, Yunqi

    2017-08-01

    As a member of the group IVB transition metal dichalcogenides (TMDs) family, hafnium disulfide (HfS 2 ) is recently predicted to exhibit higher carrier mobility and higher tunneling current density than group VIB (Mo and W) TMDs. However, the synthesis of high-quality HfS 2 crystals, sparsely reported, has greatly hindered the development of this new field. Here, a facile strategy for controlled synthesis of high-quality atomic layered HfS 2 crystals by van der Waals epitaxy is reported. Density functional theory calculations are applied to elucidate the systematic epitaxial growth process of the S-edge and Hf-edge. Impressively, the HfS 2 back-gate field-effect transistors display a competitive mobility of 7.6 cm 2 V -1 s -1 and an ultrahigh on/off ratio exceeding 10 8 . Meanwhile, ultrasensitive near-infrared phototransistors based on the HfS 2 crystals (indirect bandgap ≈1.45 eV) exhibit an ultrahigh responsivity exceeding 3.08 × 10 5 A W -1 , which is 10 9 -fold higher than 9 × 10 -5 A W -1 obtained from the multilayer MoS 2 in near-infrared photodetection. Moreover, an ultrahigh photogain exceeding 4.72 × 10 5 and an ultrahigh detectivity exceeding 4.01 × 10 12 Jones, superior to the vast majority of the reported 2D-materials-based phototransistors, imply a great promise in TMD-based 2D electronic and optoelectronic applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  17. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  18. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  19. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  20. 4H-SiC p i n diodes grown by sublimation epitaxy in vacuum (SEV) and their application as microwave diodes

    NASA Astrophysics Data System (ADS)

    Camara, N.; Zekentes, K.; Zelenin, V. V.; Abramov, P. L.; Kirillov, A. V.; Romanov, L. P.; Boltovets, N. S.; Krivutsa, V. A.; Thuaire, A.; Bano, E.; Tsoi, E.; Lebedev, A. A.

    2008-02-01

    Sublimation epitaxy under vacuum (SEV) was investigated as a method for growing 4H-SiC epitaxial structures for p-i-n diode fabrication. The SEV-grown 4H-SiC material was investigated with scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction, photo-luminescence spectroscopy (PL), cathodo-luminescence (CL) spectroscopy, photocurrent method for carrier diffusion length determination, electro-luminescence microscopy (EL), deep level transient spectroscopy (DLTS), C-V profiling and Hall-effect measurements. When possible, the same investigation techniques were used in parallel with similar layers grown by chemical vapour deposition (CVD) epitaxy and the physical properties of the two kind of epitaxied layers were compared. p-i-n diodes were fabricated in parallel on SEV and CVD-grown layers and showed close electrical performances in dc mode in term of capacitance, resistance and transient time switching, despite the lower mobility and the diffusion length of the SEV-grown layers. X-band microwave switches based on the SEV-grown p-i-n diodes have been demonstrated with insertion loss lower than 4 dB and an isolation higher than 17 dB. These single-pole single-throw (SPST) switches were able to handle a pulsed power up to 1800 W in isolation mode, similar to the value obtained with switches incorporating diodes with CVD-grown layers.

  1. Microstructure and Mechanics of Superconductor Epitaxy via the Chemical Solution Deposition Method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Frederick F. Lange

    2006-11-30

    Executive Summary: Initially the funds were sufficient funds were awarded to support one graduate student and one post-doc. Lange, though other funds, also supported a graduate intern from ETH Zurich, Switzerland for a period of 6 months. The initial direction was to study the chemical solution deposition method to understand the microstructural and mechanical phenomena that currently limit the production of thick film, reliable superconductor wires. The study was focused on producing thicker buffer layer(s) on Ni-alloy substrates produced by the RABiTS method. It focused on the development of the microstructure during epitaxy, and the mechanical phenomena that produce cracksmore » during dip-coating, pyrolysis (decomposition of precursors during heating), crystallization and epitaxy. The initial direction of producing thicker layers of a know buffer layer material was redirected by co-workers at ORNL, in an attempt to epitaxially synthesize a potential buffer layer material, LaMnO3, via the solution route. After a more than a period of 6 months that showed that the LaMnO3 reacted with the Ni-W substrate at temperatures that could produce epitaxy, reviewers at the annual program review strongly recommended that the research was not yielding positive results. The only positive result presented at the meeting was that much thicker films could be produce by incorporating a polymer into the precursor that appeared to increase the precursor’s resistance to crack growth. Thus, to continue the program, the objectives were changed to find compositions with the perovskite structure that would be a) chemically compatible with either the Ni-W RABiTS or the MgO IBAD Ni-alloy substrates, and produce a better lattice parameter fit between either of the two substrates. At the start of the second year, the funding was reduced to 2/3’s of the first year level, which required the termination of the post-doc after approximately 5 months into the second year. From then on

  2. Formulation of steam-methane reforming rate in Ni-YSZ porous anode of solid oxide fuel cells

    NASA Astrophysics Data System (ADS)

    Sugihara, Shinichi; Kawamura, Yusuke; Iwai, Hiroshi

    2018-02-01

    The steam-methane reforming reaction on a Ni-YSZ (yttria-stabilized zirconia) cermet was experimentally investigated under atmospheric pressure and in the temperature range from 650 to 750 °C. We examined the effects of the partial pressures of methane and steam in the supply gas on the reaction rate. The experiments were conducted with a low Ni contained Ni-YSZ cermet sheet of thickness 0.1 mm. Its porous microstructure and accompanied parameters were quantified using the FIB-SEM (focused ion beam scanning electron microscopy) technique. A power-law-type rate equation incorporating the reaction-rate-limiting conditions was obtained on the basis of the unit surface area of the Ni-pore contact surface in the cermet. The kinetics indicated a strong positive dependence on the methane partial pressure and a negative dependence on the steam partial pressure. The obtained rate equation successfully reproduced the experimental results for Ni-YSZ samples having different microstructures in the case of low methane consumption. The equation also reproduced the limiting-reaction behaviours at different temperatures.

  3. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  4. Study of the structural and optical properties of GaP(N) layers synthesized by molecular-beam epitaxy on Si(100) 4° substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kryzhanovskaya, N. V., E-mail: NataliaKryzh@gmail.com; Polubavkina, Yu. S.; Nevedomskiy, V. N.

    The structural and optical properties of GaP and GaPN layers synthesized by molecular-beam epitaxy on Si(100) substrates misoriented by 4° are studied. The possibility of producing GaP buffer layers that exhibit a high degree of heterointerface planarity and an outcropping dislocation density of no higher than ~2 × 10{sup 8} cm{sup –2} is shown. Emission from the Si/GaP/GaPN structure in the spectral range of 630–640 nm at room temperature is observed. Annealing during growth of the Si/GaP/GaPN structure makes it possible to enhance the room-temperature photoluminescence intensity by a factor of 2.6, with no shift of the maximum of themore » emission line.« less

  5. Method of digital epitaxy by externally controlled closed-loop feedback

    DOEpatents

    Eres, D.; Sharp, J.W.

    1994-07-19

    A method and apparatus for digital epitaxy are disclosed. The apparatus includes a pulsed gas delivery assembly that supplies gaseous material to a substrate to form an adsorption layer of the gaseous material on the substrate. Structure is provided for measuring the isothermal desorption spectrum of the growth surface to monitor the active sites which are available for adsorption. The vacuum chamber housing the substrate facilitates evacuation of the gaseous material from the area adjacent the substrate following exposure. In use, digital epitaxy is achieved by exposing a substrate to a pulse of gaseous material to form an adsorption layer of the material on the substrate. The active sites on the substrate are monitored during the formation of the adsorption layer to determine if all the active sites have been filled. Once the active sites have been filled on the growth surface of the substrate, the pulse of gaseous material is terminated. The unreacted portion of the gas pulse is evacuated by continuous pumping. Subsequently, a second pulse is applied when availability of active sites is determined by studying the isothermal desorption spectrum. These steps are repeated until a thin film of sufficient thickness is produced. 4 figs.

  6. A sputtered zirconia primer for improved thermal shock resistance of plasma sprayed ceramic turbine seals

    NASA Technical Reports Server (NTRS)

    Bill, R. C.; Sovey, J.; Allen, G. P.

    1981-01-01

    The development of plasma-sprayed yttria stabilized zirconia (YSZ) ceramic turbine blade tip seal components is discussed. The YSZ layers are quite thick (0.040 to 0.090 in.). The service potential of seal components with such thick ceramic layers is cyclic thermal shock limited. The most usual failure mode is ceramic layer delamination at or very near the interface between the plasma sprayed YSZ layer and the NiCrAlY bondcoat. Deposition of a thin RF sputtered YSZ primer to the bondcoat prior to deposition of the thick plasma sprayed YSZ layer was found to reduce laminar cracking in cyclic thermal shock testing. The cyclic thermal shock life of one ceramic seal design was increased by a factor of 5 to 6 when the sputtered YSZ primer was incorporated. A model based on thermal response of plasma sprayed YSZ particles impinging on the bondcoat surface with and without the sputtered YSZ primer provides a basis for understanding the function of the primer.

  7. Role of indium tin oxide electrode on the microstructure of self-assembled WO3-BiVO4 hetero nanostructures

    NASA Astrophysics Data System (ADS)

    Song, Haili; Li, Chao; Van, Chien Nguyen; Dong, Wenxia; Qi, Ruijuan; Zhang, Yuanyuan; Huang, Rong; Chu, Ying-Hao; Duan, Chun-Gang

    2017-11-01

    Self-assembled WO3-BiVO4 nanostructured thin films were grown on a (001) yttrium stabilized zirconia (YSZ) substrate by the pulsed laser deposition method with and without the indium tin oxide (ITO) bottom electrode. Their microstructures including surface morphologies, crystalline phases, epitaxial relationships, interface structures, and composition distributions were investigated by scanning electron microscopy, high-resolution transmission electron microscopy, and X-ray energy dispersive spectroscopy. In both samples, WO3 formed nanopillars embedded into the monoclinic BiVO4 matrix with specific orientation relationships. In the sample with the ITO bottom electrode, an atomically sharp BiVO4/ITO interface was formed and the orthorhombic WO3 nanopillars were grown on a relaxed BiVO4 buffer layer with a mixed orthorhombic and hexagonal WO3 transition layer. In contrast, a thin amorphous layer appears at the interfaces between the thin film and the YSZ substrate in the sample without the ITO electrode. In addition, orthorhombic Bi2WO6 lamellar nanopillars were formed between WO3 and BiVO4 due to interdiffusion. Such a WO3-Bi2WO6-BiVO4 double heterojunction photoanode may promote the photo-generated charge separation and further improve the photoelectrochemical water splitting properties.

  8. Method of fabricating low-dislocation-density epitaxially-grown films with textured surfaces

    DOEpatents

    Li, Qiming; Wang, George T

    2015-01-13

    A method for forming a surface-textured single-crystal film layer by growing the film atop a layer of microparticles on a substrate and subsequently selectively etching away the microparticles to release the surface-textured single-crystal film layer from the substrate. This method is applicable to a very wide variety of substrates and films. In some embodiments, the film is an epitaxial film that has been grown in crystallographic alignment with respect to a crystalline substrate.

  9. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  10. Thermal stability of MBE-grown epitaxial MoSe2 and WSe2 thin films

    NASA Astrophysics Data System (ADS)

    Chang, Young Jun; Choy, Byoung Ki; Phark, Soo-Hyon; Kim, Minu

    Layered transition metal dichalcogenides (TMDs) draw much attention, because of its unique optical properties and band structures depending on the layer thicknesses. However, MBE growth of epitaxial films demands information about thermal stability of stoichiometry and related electronic structure for high temperature range. We grow epitaxial MoSe2 and WSe2 ultrathin films by using molecular beam epitaxy (MBE). We characterize stoichiometry of films grown at various growth temperature by using various methods, XPS, EDX, and TOF-MEIS. We further test high temperature stability of electronic structure for those films by utilizing in-situ ellipsometry attached to UHV chamber. We discuss threshold temperatures up to 700~1000oC, at which electronic phases changes from semiconductor to metal due to selenium deficiency. This information can be useful for potential application of TMDs for fabrication of Van der Waals multilayers and related devices. This research was supported by Nano.Material Technology Development Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning. (2009-0082580), NRF-2014R1A1A1002868.

  11. Improvement of adhesion and barrier properties of biomedical stainless steel by deposition of YSZ coatings using RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sánchez-Hernández, Z.E.; CICATA—Altamira, IPN. Grupo CIAMS, Km 14.5, Carretera Tampico-Puerto Industrial Altamira, C. P. 89600, Altamira, Tamps, México; Domínguez-Crespo, M.A., E-mail: mdominguezc@ipn.mx

    The AISI 316L stainless steel (SS) has been widely used in both artificial knee and hip joints in biomedical applications. In the present study, yttria stabilized zirconia (YSZ, ZrO{sub 2} + 8% Y{sub 2}O{sub 3}) films were deposited on AISI 316L SS by radio-frequency magnetron sputtering using different power densities (50–250 W) and deposition times (30–120 min) from a YSZ target. The crystallographic orientation and surface morphology were studied using X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The effects of the surface modification on the corrosion performance of AISI 316L SS were evaluated in phosphatemore » buffered saline (PBS) solution using an electrochemical test on both the virgin and coated samples. The YSZ coatings have a (111) preferred orientation during crystal growth along the c-axis for short deposition times (30–60 min), whereas a polycrystalline structure forms during deposition times from 90 to 120 min. The corrosion protective character of the YSZ coatings depends on the crystal size and film thickness. A significant increase in adhesion and corrosion resistance by at least a factor of 46 and a higher breakdown potential were obtained for the deposited coatings at 200 W (120 min). - Highlights: • Well-formed and protective YSZ coatings were achieved on AISI 316L SS substrates. • Films grown at high power and long deposition time have polycrystalline structures. • The crystal size varies from ∼ 5 to 30 nm as both power and deposition time increased. • The differences of corrosion resistance are attributed to internal film structure.« less

  12. Enhanced performance of solution-processed broadband photodiodes by epitaxially blending MAPbBr3 quantum dots and ternary PbSxSe1-x quantum dots as the active layer.

    PubMed

    Sulaman, Muhammad; Yang, Shengyi; Jiang, Yurong; Tang, Yi; Zou, Bingsuo

    2017-12-15

    Organic-inorganic hybrid photodetectors attract more and more interest, since they can combine the advantages of both organic and inorganic materials into one device, and broadband photodetectors are widely used in many scientific and industrial fields. In this work, we demonstrate the enhanced-performance solution-processed broadband photodiodes by epitaxially blending organo-lead halide perovskite (MAPbBr 3 ) colloidal quantum dots (CQDs) with ternary PbS x Se 1-x CQDs as the active layer. As a result, the interfacial features of the hetero-epitaxial nanocomposite MAPbBr 3 :PbS x Se 1-x enables the design and perception of functionalities that are not available for the single-phase constituents or layered devices. By combining the high electrical transport properties of MAPbBr 3 QDs with the highly radiative efficiency of PbS 0.4 Se 0.6 QDs, the photodiodes ITO/ZnO/PbS 0.4 Se 0.6 :MAPbBr 3 /Au exhibit a maximum photoresponsivity and specific detectivity of 21.48 A W -1 and 3.59 × 10 13 Jones, 22.16 A W -1 and 3.70 × 10 13 Jones at room temperature under 49.8 μW cm -2 532 nm laser and 62 μW cm -2 980 nm laser, respectively. This is higher than that of the layered photodiodes ITO/ZnO/PbS 0.4 Se 0.6 /MAPbBr 3 /Au, pure perovskite (MAPbBr 3 ) (or PbS 0.4 Se 0.6 ) QD-based photodiodes reported previously, and it is also better than the traditional inorganic semiconductor-based photodetectors. Our experimental results indicate that epitaxially-aligned nanocomposites (MAPbBr 3 :PbS x Se 1-x ) exhibit remarkable optoelectronic properties that are traceable to their atomic-scale crystalline coherence, and one can utilize the excellent photocarrier diffusion from PbS x Se 1-x into the perovskite to enhance the device performance from the UV-visible to infrared region.

  13. Enhanced performance of solution-processed broadband photodiodes by epitaxially blending MAPbBr3 quantum dots and ternary PbSxSe1-x quantum dots as the active layer

    NASA Astrophysics Data System (ADS)

    Sulaman, Muhammad; Yang, Shengyi; Jiang, Yurong; Tang, Yi; Zou, Bingsuo

    2017-12-01

    Organic-inorganic hybrid photodetectors attract more and more interest, since they can combine the advantages of both organic and inorganic materials into one device, and broadband photodetectors are widely used in many scientific and industrial fields. In this work, we demonstrate the enhanced-performance solution-processed broadband photodiodes by epitaxially blending organo-lead halide perovskite (MAPbBr3) colloidal quantum dots (CQDs) with ternary PbSxSe1-x CQDs as the active layer. As a result, the interfacial features of the hetero-epitaxial nanocomposite MAPbBr3:PbSxSe1-x enables the design and perception of functionalities that are not available for the single-phase constituents or layered devices. By combining the high electrical transport properties of MAPbBr3 QDs with the highly radiative efficiency of PbS0.4Se0.6 QDs, the photodiodes ITO/ZnO/PbS0.4Se0.6:MAPbBr3/Au exhibit a maximum photoresponsivity and specific detectivity of 21.48 A W-1 and 3.59 × 1013 Jones, 22.16 A W-1 and 3.70 × 1013 Jones at room temperature under 49.8 μW cm-2 532 nm laser and 62 μW cm-2 980 nm laser, respectively. This is higher than that of the layered photodiodes ITO/ZnO/PbS0.4Se0.6/MAPbBr3/Au, pure perovskite (MAPbBr3) (or PbS0.4Se0.6) QD-based photodiodes reported previously, and it is also better than the traditional inorganic semiconductor-based photodetectors. Our experimental results indicate that epitaxially-aligned nanocomposites (MAPbBr3:PbSxSe1-x) exhibit remarkable optoelectronic properties that are traceable to their atomic-scale crystalline coherence, and one can utilize the excellent photocarrier diffusion from PbSxSe1-x into the perovskite to enhance the device performance from the UV-visible to infrared region.

  14. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  15. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  16. Improving the Thermal Shock Resistance of Thermal Barrier Coatings Through Formation of an In Situ YSZ/Al2O3 Composite via Laser Cladding

    NASA Astrophysics Data System (ADS)

    Soleimanipour, Zohre; Baghshahi, Saeid; Shoja-razavi, Reza

    2017-04-01

    In the present study, laser cladding of alumina on the top surface of YSZ thermal barrier coatings (TBC) was conducted via Nd:YAG pulsed laser. The thermal shock behavior of the TBC before and after laser cladding was modified by heating at 1000 °C for 15 min and quenching in cold water. Phase analysis, microstructural evaluation and elemental analysis were performed using x-ray diffractometry, scanning electron microscopy (SEM), and energy-dispersive spectroscopy. The results of thermal shock tests indicated that the failure in the conventional YSZ (not laser clad) and the laser clad coatings happened after 200 and 270 cycles, respectively. The SEM images of the samples showed that delamination and spallation occurred in both coatings as the main mechanism of failure. Formation of TGO was also observed in the fractured cross section of the samples, which is also a main reason for degradation. Thermal shock resistance in the laser clad coatings improved about 35% after cladding. The improvement is due to the presence of continuous network cracks perpendicular to the surface in the clad layer and also the thermal stability and high melting point of alumina in Al2O3/ZrO2 composite.

  17. Template assisted strain tuning and phase stabilization in epitaxial BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Saj Mohan M., M.; Ramadurai, Ranjith

    2018-04-01

    Strain engineering is a key to develop novel properties in functional materials. We report a strain mediated phase stabilization and epitaxial growth of bismuth ferrite(BiFeO3) thin films on LaAlO3 (LAO) substrates. The strain in the epitaxial layer is controlled by controlling the thickness of bottom electrode where the thickness of the BFO is kept constant. The thickness of La0.7Sr0.3MnO3(LSMO) template layer was optimized to grow completely strained tetragonal, tetragonal/rhombohedral mixed phase and fully relaxed rhombohedral phase of BFO layers. The results were confirmed with coupled-θ-2θ scan, and small area reciprocal space mapping. The piezoelectric d33 (˜ 45-48 pm/V) coefficient of the mixed phase was relatively larger than the strained tetragonal and relaxed rhombohedral phase for a given thickness.

  18. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  19. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  20. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  1. Wafer bonded epitaxial templates for silicon heterostructures

    DOEpatents

    Atwater, Jr., Harry A.; Zahler, James M [Pasadena, CA; Morral, Anna Fontcubera I [Paris, FR

    2008-03-11

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  2. Wafer bonded epitaxial templates for silicon heterostructures

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A., Jr. (Inventor); Zahler, James M. (Inventor); Morral, Anna Fontcubera I (Inventor)

    2008-01-01

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  3. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ma, Chun-Hao; Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan; Lin, Jheng-Cyuan

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar tomore » those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.« less

  4. Molecular beam epitaxy of graphene on ultra-smooth nickel: growth mode and substrate interactions

    NASA Astrophysics Data System (ADS)

    Wofford, J. M.; Oliveira, M. H., Jr.; Schumann, T.; Jenichen, B.; Ramsteiner, M.; Jahn, U.; Fölsch, S.; Lopes, J. M. J.; Riechert, H.

    2014-09-01

    Graphene is grown by molecular beam epitaxy using epitaxial Ni films on MgO(111) as substrates. Raman spectroscopy and scanning tunneling microscopy reveal the graphene films to have few crystalline defects. While the layers are ultra-smooth over large areas, we find that Ni surface features lead to local non-uniformly thick graphene inclusions. The influence of the Ni surface structure on the position and morphology of these inclusions strongly suggests that multilayer graphene on Ni forms at the interface of the first complete layer and metal substrate in a growth-from-below mechanism. The interplay between Ni surface features and graphene growth behavior may facilitate the production of films with spatially resolved multilayer inclusions through engineered substrate surface morphology.

  5. Enhanced Sintering of β"-Al2O3/YSZ with the Sintering Aids of TiO2 and MnO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaochuan; Li, Guosheng; Kim, Jin Yong

    2015-07-11

    β"-Al2O3 has been the dominated choice for the electrolyte materials of sodium batteries because of its high ionic conductivity, excellent stability with the electrode materials, satisfactory mechanical strength, and low material cost. To achieve adequate electrical and mechanical performance, sintering of β"-Al2O3 is typically carried out at temperatures above 1600oC with deliberate efforts on controlling the phase, composition, and microstructure. Here, we reported a simple method to fabricate β"-Al2O3/YSZ electrolyte at relatively lower temperatures. With the starting material of boehmite, single phase of β"-Al2O3 can be achieved at as low as 1200oC. It was found that TiO2 was extremely effectivemore » as a sintering aid for the densification of β"-Al2O3 and similar behavior was observed with MnO2 for YSZ. With the addition of 2 mol% TiO2 and 5 mol% MnO2, the β"-Al2O3/YSZ composite was able to be densified at as low as 1400oC with a fine microstructure and good electrical/mechanical performance. This study demonstrated a new approach of synthesis and sintering of β"-Al2O3/YSZ composite, which represented a simple and low-cost method for fabrication of high-performance β"-Al2O3/YSZ electrolyte.« less

  6. Gallenene epitaxially grown on Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Tu, Yu-Bing; Sun, Kai; Wang, Ya-Li; Xie, Zheng-Bo; Liu, Lei; Shi, Ming-Xia; Wang, Jun-Zhong

    2018-07-01

    Gallenene, an analogue of graphene composed of gallium, is epitaxially grown on Si(1 1 1) surface and studied by low temperature scanning tunneling microscopy (LT-STM). The STM images display that the buffer layer has a superstructure with respect to the substrate lattice and the gallenene layer has a hexagonal honeycomb structure. The scanning tunneling spectra (STS) of the gallenene show that it behaves as a metallic layer. First-principles calculations give the proposed configuration. Our results provide a method to synthesize the gallenene and shed important light on the growth mechanism of it.

  7. Fracture toughness improvements of dental ceramic through use of yttria-stabilized zirconia (YSZ) thin-film coatings.

    PubMed

    Chan, Ryan N; Stoner, Brian R; Thompson, Jeffrey Y; Scattergood, Ronald O; Piascik, Jeffrey R

    2013-08-01

    The aim of this study was to evaluate strengthening mechanisms of yttria-stabilized zirconia (YSZ) thin film coatings as a viable method for improving fracture toughness of all-ceramic dental restorations. Bars (2mm×2mm×15mm, n=12) were cut from porcelain (ProCAD, Ivoclar-Vivadent) blocks and wet-polished through 1200-grit using SiC abrasive. A Vickers indenter was used to induce flaws with controlled size and geometry. Depositions were performed via radio frequency magnetron sputtering (5mT, 25°C, 30:1 Ar/O2 gas ratio) with varying powers of substrate bias. Film and flaw properties were characterized by optical microscopy, scanning electron microscopy (SEM), and X-ray diffraction (XRD). Flexural strength was determined by three-point bending. Fracture toughness values were calculated from flaw size and fracture strength. Data show improvements in fracture strength of up to 57% over unmodified specimens. XRD analysis shows that films deposited with higher substrate bias displayed a high %monoclinic volume fraction (19%) compared to non-biased deposited films (87%), and resulted in increased film stresses and modified YSZ microstructures. SEM analysis shows critical flaw sizes of 67±1μm leading to fracture toughness improvements of 55% over unmodified specimens. Data support surface modification of dental ceramics with YSZ thin film coatings to improve fracture toughness. Increase in construct strength was attributed to increase in compressive film stresses and modified YSZ thin film microstructures. It is believed that this surface modification may lead to significant improvements and overall reliability of all-ceramic dental restorations. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  8. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  9. Epitaxial stresses in an InGaAs photoconductive layer for terahertz antennas

    NASA Astrophysics Data System (ADS)

    Khusyainov, D. I.; Buryakov, A. M.; Bilyk, V. R.; Mishina, E. D.; Ponomarev, D. S.; Khabibullin, R. A.; Yachmenev, A. E.

    2017-11-01

    The effect of epitaxial stresses on the excess-carrier dynamics and the terahertz radiation spectrum of the InyGa1-yAs films have been investigated by optical pump-probe and terahertz time-domain spectroscopy. It has been demonstrated that a InyGa1-yAs film with a higher mechanical stress has the shorter excesscarrier lifetime and broader terahertz radiation spectrum.

  10. Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition

    PubMed Central

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin

    2017-01-01

    Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964

  11. Two-dimensional antimonene single crystals grown by van der Waals epitaxy.

    PubMed

    Ji, Jianping; Song, Xiufeng; Liu, Jizi; Yan, Zhong; Huo, Chengxue; Zhang, Shengli; Su, Meng; Liao, Lei; Wang, Wenhui; Ni, Zhenhua; Hao, Yufeng; Zeng, Haibo

    2016-11-15

    Unlike the unstable black phosphorous, another two-dimensional group-VA material, antimonene, was recently predicted to exhibit good stability and remarkable physical properties. However, the synthesis of high-quality monolayer or few-layer antimonenes, sparsely reported, has greatly hindered the development of this new field. Here, we report the van der Waals epitaxy growth of few-layer antimonene monocrystalline polygons, their atomical microstructure and stability in ambient condition. The high-quality, few-layer antimonene monocrystalline polygons can be synthesized on various substrates, including flexible ones, via van der Waals epitaxy growth. Raman spectroscopy and transmission electron microscopy reveal that the obtained antimonene polygons have buckled rhombohedral atomic structure, consistent with the theoretically predicted most stable β-phase allotrope. The very high stability of antimonenes was observed after aging in air for 30 days. First-principle and molecular dynamics simulation results confirmed that compared with phosphorene, antimonene is less likely to be oxidized and possesses higher thermodynamic stability in oxygen atmosphere at room temperature. Moreover, antimonene polygons show high electrical conductivity up to 10 4  S m -1 and good optical transparency in the visible light range, promising in transparent conductive electrode applications.

  12. Two-dimensional antimonene single crystals grown by van der Waals epitaxy

    PubMed Central

    Ji, Jianping; Song, Xiufeng; Liu, Jizi; Yan, Zhong; Huo, Chengxue; Zhang, Shengli; Su, Meng; Liao, Lei; Wang, Wenhui; Ni, Zhenhua; Hao, Yufeng; Zeng, Haibo

    2016-01-01

    Unlike the unstable black phosphorous, another two-dimensional group-VA material, antimonene, was recently predicted to exhibit good stability and remarkable physical properties. However, the synthesis of high-quality monolayer or few-layer antimonenes, sparsely reported, has greatly hindered the development of this new field. Here, we report the van der Waals epitaxy growth of few-layer antimonene monocrystalline polygons, their atomical microstructure and stability in ambient condition. The high-quality, few-layer antimonene monocrystalline polygons can be synthesized on various substrates, including flexible ones, via van der Waals epitaxy growth. Raman spectroscopy and transmission electron microscopy reveal that the obtained antimonene polygons have buckled rhombohedral atomic structure, consistent with the theoretically predicted most stable β-phase allotrope. The very high stability of antimonenes was observed after aging in air for 30 days. First-principle and molecular dynamics simulation results confirmed that compared with phosphorene, antimonene is less likely to be oxidized and possesses higher thermodynamic stability in oxygen atmosphere at room temperature. Moreover, antimonene polygons show high electrical conductivity up to 104 S m−1 and good optical transparency in the visible light range, promising in transparent conductive electrode applications. PMID:27845327

  13. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  14. Self-assembly of vertically aligned quantum ring-dot structure by Multiple Droplet Epitaxy

    NASA Astrophysics Data System (ADS)

    Elborg, Martin; Noda, Takeshi; Mano, Takaaki; Kuroda, Takashi; Yao, Yuanzhao; Sakuma, Yoshiki; Sakoda, Kazuaki

    2017-11-01

    We successfully grow vertically aligned quantum ring-dot structures by Multiple Droplet Epitaxy technique. The growth is achieved by depositing GaAs quantum rings in a first droplet epitaxy process which are subsequently covered by a thin AlGaAs barrier. In a second droplet epitaxy process, Ga droplets preferentially position in the center indentation of the ring as well as attached to the edge of the ring in [ 1 1 bar 0 ] direction. By designing the ring geometry, full selectivity for the center position of the ring is achieved where we crystallize the droplets into quantum dots. The geometry of the ring and dot as well as barrier layer can be controlled in separate growth steps. This technique offers great potential for creating complex quantum molecules for novel quantum information technologies.

  15. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayari, Taha; Li, Xin; Voss, Paul L.

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure tomore » be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.« less

  16. Theory of multiple quantum dot formation in strained-layer heteroepitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Du, Lin; Maroudas, Dimitrios, E-mail: maroudas@ecs.umass.edu

    2016-07-11

    We develop a theory for the experimentally observed formation of multiple quantum dots (QDs) in strained-layer heteroepitaxy based on surface morphological stability analysis of a coherently strained epitaxial thin film on a crystalline substrate. Using a fully nonlinear model of surface morphological evolution that accounts for a wetting potential contribution to the epitaxial film's free energy as well as surface diffusional anisotropy, we demonstrate the formation of multiple QD patterns in self-consistent dynamical simulations of the evolution of the epitaxial film surface perturbed from its planar state. The simulation predictions are supported by weakly nonlinear analysis of the epitaxial filmmore » surface morphological stability. We find that, in addition to the Stranski-Krastanow instability, long-wavelength perturbations from the planar film surface morphology can trigger a nonlinear instability, resulting in the splitting of a single QD into multiple QDs of smaller sizes, and predict the critical wavelength of the film surface perturbation for the onset of the nonlinear tip-splitting instability. The theory provides a fundamental interpretation for the observations of “QD pairs” or “double QDs” and other multiple QDs reported in experimental studies of epitaxial growth of semiconductor strained layers and sets the stage for precise engineering of tunable-size nanoscale surface features in strained-layer heteroepitaxy by exploiting film surface nonlinear, pattern forming phenomena.« less

  17. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    NASA Astrophysics Data System (ADS)

    Enriquez, Erik; Zhang, Yingying; Chen, Aiping; Bi, Zhenxing; Wang, Yongqiang; Fu, Engang; Harrell, Zachary; Lü, Xujie; Dowden, Paul; Wang, Haiyan; Chen, Chonglin; Jia, Quanxi

    2016-08-01

    Epitaxial layered ternary metal-nitride FeMoN2, (Fe0.33Mo0.67)MoN2, CoMoN2, and FeWN2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1-1 mΩ.cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has been used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. The growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN2 materials through A and B-site substitution.

  18. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  19. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  20. CHEMICAL SOLUTION DEPOSITION BASED OXIDE BUFFERS AND YBCO COATED CONDUCTORS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paranthaman, Mariappan Parans

    We have reviewed briefly the growth of buffer and high temperature superconducting oxide thin films using a chemical solution deposition (CSD) method. In the Rolling-Assisted Biaxially Textured Substrates (RABiTS) process, developed at Oak Ridge National Laboratory, utilizes the thermo mechanical processing to obtain the flexible, biaxially oriented copper, nickel or nickel-alloy substrates. Buffers and Rare Earth Barium Copper Oxide (REBCO) superconductors have been deposited epitaxially on the textured nickel alloy substrates. The starting substrate serves as a template for the REBCO layer, which has substantially fewer weak links. Buffer layers play a major role in fabricating the second generation REBCOmore » wire technology. The main purpose of the buffer layers is to provide a smooth, continuous and chemically inert surface for the growth of the REBCO film, while transferring the texture from the substrate to the superconductor layer. To achieve this, the buffer layers need to be epitaxial to the substrate, i.e. they have to nucleate and grow in the same bi-axial texture provided by the textured metal foil. The most commonly used RABiTS multi-layer architectures consist of a starting template of biaxially textured Ni-5 at.% W (Ni-W) substrate with a seed (first) layer of Yttrium Oxide (Y2O3), a barrier (second) layer of Yttria Stabilized Zirconia (YSZ), and a Cerium Oxide (CeO2) cap (third) layer. These three buffer layers are generally deposited using physical vapor deposition (PVD) techniques such as reactive sputtering. On top of the PVD template, REBCO film is then grown by a chemical solution deposition. This article reviews in detail about the list of oxide buffers and superconductor REBCO films grown epitaxially on single crystal and/or biaxially textured Ni-W substrates using a CSD method.« less

  1. Molecular Beam Epitaxial Materials Study for Microwave and Millimeter Wave Devices.

    DTIC Science & Technology

    1978-10-01

    competing for domi- nance with any given set of system components and deposition sequence. The evidence indicates that BeO substrate heaters contribute...34Single- Tranverse -Mode Injection Lasers with Embedded Stripe Layer Grown by Molecular Beam Epitaxy," Appl. Phys. Lett., 29, pp. 164-166 (1976). 178

  2. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  3. Epitaxial ZnO/LiNbO{sub 3}/ZnO stacked layer waveguide for application to thin-film Pockels sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp; Fukuda, Hiroshi

    We produced slab waveguides consisting of a LiNbO{sub 3} (LN) core layer that was sandwiched with Al-doped ZnO cladding layers. The ZnO/LN/ZnO stacked layers were grown on sapphire C-planes by electron cyclotron resonance (ECR) plasma sputtering and were subjected to structural, electrical, and optical characterizations. X-ray diffraction confirmed that the ZnO and LN layers were epitaxial without containing misoriented crystallites. The presence of 60°-rotational variants of ZnO and LN crystalline domains were identified from X-ray pole figures. Cross-sectional transmission electron microscopy images revealed a c-axis orientated columnar texture for LN crystals, which ensured operation as electro-optic sensors based on opticalmore » anisotropy along longitudinal and transversal directions. The interfacial roughness between the LN core and ZnO bottom layers as well as that between the ZnO top and the LN core layers was less than 20 nm, which agreed with surface images observed with atomic force microscopy. Outgrowth of triangular LN crystalline domains produced large roughness at the LN film surface. The RMS roughness of the LN film surface was twice that of the same structure grown on sapphire A-planes. Vertical optical transmittance of the stacked films was higher than 85% within the visible and infrared wavelength range. Following the approach adopted by Teng and Man [Appl. Phys. Lett. 56, 1734 (1990)], ac Pockels coefficients of r{sub 33} = 24-28 pm/V were derived for c-axis oriented LN films grown on low-resistive Si substrates. Light propagation within a ZnO/LN/ZnO slab waveguide as well as within a ZnO single layer waveguide was confirmed. The birefringence of these waveguides was 0.11 for the former and 0.05 for the latter.« less

  4. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    NASA Astrophysics Data System (ADS)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  5. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    PubMed

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  6. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidlymore » decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.« less

  7. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  8. Synthesis of nanocrystalline Ni/Ce-YSZ powder via a polymerization route

    NASA Astrophysics Data System (ADS)

    Abolghasemi, Z.; Tamizifar, M.; Arzani, K.; Nemati, A.; Khanfekr, A.; Bolandi, M.

    2013-08-01

    Pechini process was used for preparation of three kinds of nanocrystalline powders of yttria-stabilized zirconia (YSZ): doped with 1.5 mol% nickel oxide, doped with 15 mol% ceria, and doped with 1.5 mol% nickel oxide plus 15 mol% ceria. Zirconium chloride, yttrium nitrate, cerium nitrate, nickel nitrate, citric acid and ethylene glycol were polymerized at 80 °C to produce a gel. XRD, SEM and TEM analyses were used to investigate the crystalline phases and microstructures of obtained compounds. The results of XRD revealed the formation of nanocrystalline powder at 900 °C. Morphology of the powder calcined at 900 °C, examined with a scanning electron microscope, showed that the presence of nickel and cerium inhibited the grain growth in the system. The average crystallite size of the material doped with nickel oxide (9.33 nm) was bigger than the one doped with cerium oxide (9.29 nm), while the YSZ doping with the two oxides simultaneously promoted the grain growth with crystallite size of 11.37 nm. Yttria-stabilized zirconia powder with a mean crystallite size of 9.997 nm was prepared successfully by this method.

  9. Self-organization during growth of ZrN/SiN{sub x} multilayers by epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fallqvist, A.; Fager, H.; Hultman, L.

    ZrN/SiN{sub x} nanoscale multilayers were deposited on ZrN seed layers grown on top of MgO(001) substrates by dc magnetron sputtering with a constant ZrN thickness of 40 Å and with an intended SiN{sub x} thickness of 2, 4, 6, 8, and 15 Å at a substrate temperature of 800 °C and 6 Å at 500 °C. The films were investigated by X-ray diffraction, high-resolution scanning transmission electron microscopy, and energy dispersive X-ray spectroscopy. The investigations show that the SiN{sub x} is amorphous and that the ZrN layers are crystalline. Growth of epitaxial cubic SiN{sub x}—known to take place on TiN(001)—onmore » ZrN(001) is excluded to the monolayer resolution of this study. During the course of SiN{sub x} deposition, the material segregates to form surface precipitates in discontinuous layers for SiN{sub x} thicknesses ≤6 Å that coalesce into continuous layers for 8 and 15 Å thickness at 800 °C, and for 6 Å at 500 °C. The SiN{sub x} precipitates are aligned vertically. The ZrN layers in turn grow by epitaxial lateral overgrowth on the discontinuous SiN{sub x} in samples deposited at 800 °C with up to 6 Å thick SiN{sub x} layers. Effectively a self-organized nanostructure can be grown consisting of strings of 1–3 nm large SiN{sub x} precipitates along apparent column boundaries in the epitaxial ZrN.« less

  10. Decoupling of epitaxial graphene via gold intercalation probed by dispersive Raman spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pillai, P. B., E-mail: p.pillai@sheffield.ac.uk, E-mail: m.desouza@sheffield.ac.uk; DeSouza, M., E-mail: p.pillai@sheffield.ac.uk, E-mail: m.desouza@sheffield.ac.uk; Narula, R.

    Signatures of a superlattice structure composed of a quasi periodic arrangement of atomic gold clusters below an epitaxied graphene (EG) layer are examined using dispersive Raman spectroscopy. The gold-graphene system exhibits a laser excitation energy dependant red shift of the 2D mode as compared to pristine epitaxial graphene. The phonon dispersions in both the systems are mapped using the experimentally observed Raman signatures and a third-nearest neighbour tight binding electronic band structure model. Our results reveal that the observed excitation dependent Raman red shift in gold EG primarily arise from the modifications of the phonon dispersion in gold-graphene and showsmore » that the extent of decoupling of graphene from the underlying SiC substrate can be monitored from the dispersive nature of the Raman 2D modes. The intercalated gold atoms restore the phonon band structure of epitaxial graphene towards free standing graphene.« less

  11. Naturally formed ultrathin V2O5 heteroepitaxial layer on VO2/sapphire(001) film

    NASA Astrophysics Data System (ADS)

    Littlejohn, Aaron J.; Yang, Yunbo; Lu, Zonghuan; Shin, Eunsung; Pan, KuanChang; Subramanyam, Guru; Vasilyev, Vladimir; Leedy, Kevin; Quach, Tony; Lu, Toh-Ming; Wang, Gwo-Ching

    2017-10-01

    Vanadium dioxide (VO2) and vanadium pentoxide (V2O5) thin films change their properties in response to external stimuli such as photons, temperature, electric field and magnetic field and have applications in electronics, optical devices, and sensors. Due to the multiple valence states of V and non-stoichiometry in thin films, it is challenging to grow epitaxial, single-phase V-oxide on a substrate, or a heterostructure of two epitaxial V-oxides. We report the formation of a heterostructure consisting of a few nm thick ultrathin V2O5 epitaxial layer on pulsed laser deposited tens of nm thick epitaxial VO2 thin films grown on single crystal Al2O3(001) substrates without post annealing of the VO2 film. The simultaneous observation of the ultrathin epitaxial V2O5 layer and VO2 epitaxial film is only possible by our unique reflection high energy electron diffraction pole figure analysis. The out-of-plane and in-plane epitaxial relationships are V2O5[100]||VO2[010]||Al2O3[001] and V2O5[03 2 bar ]||VO2[100]||Al2O3[1 1 bar 0], respectively. The existence of the V2O5 layer on the surface of the VO2 film is also supported by X-ray photoelectron spectroscopy and Raman spectroscopy.

  12. Effect of Zn and Te beam intensity upon the film quality of ZnTe layers on severely lattice mismatched sapphire substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakasu, Taizo; Sun, W.; Kobayashi, M.; Asahi, T.

    2017-06-01

    Zinc telluride layers were grown on highly-lattice-mismatched sapphire substrates by molecular beam epitaxy, and their crystallographic properties were studied by means of X-ray diffraction pole figures. The crystal quality of the ZnTe thin film was further studied by scanning electron microscopy, X-ray rocking curves and low-temperature photoluminescence measurements. These methods show that high-crystallinity (111)-oriented single domain ZnTe layers with the flat surface and good optical properties are realized when the beam intensity ratio of Zn and Te beams is adjusted. The migration of Zn and Te was inhibited by excess surface material and cracks were appeared. In particular, excess Te inhibited the formation of a high-crystallinity ZnTe film. The optical properties of the ZnTe layer revealed that the exciton-related features were dominant, and therefore the film quality was reasonably high even though the lattice constants and the crystal structures were severely mismatched.

  13. Three-dimensional lattice matching of epitaxially embedded nanoparticles

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Anderson, Peter M.; Myers, Roberto C.

    2017-02-01

    For a given degree of in-plane lattice mismatch between a two-dimensional (2D) epitaxial layer and a substrate (ɛIP*), there is a critical thickness above which interfacial defects form to relax the elastic strain energy. Here, we extend the 2D lattice-matching conditions to three-dimensions in order to predict the critical size beyond which epitaxially encased nanoparticles, characterized by both ɛIP* and out-of-plane lattice mismatch (ɛOP*), relax by dislocation formation. The critical particle length (Lc) at which defect formation proceeds is determined by balancing the reduction in elastic energy associated with dislocation introduction with the corresponding increase in defect energy. Our results, which use a modified Eshelby inclusion technique for an embedded, arbitrarily-faceted nanoparticle, provide new insight to the nanoepitaxy of low dimensional structures, especially quantum dots and nanoprecipitates. By engineering ɛIP* and ɛOP* , the predicted Lc for nanoparticles can be increased to well beyond the case of encapsulation in a homogenous matrix. For the case of truncated pyramidal shaped InAs, Lc 10.8 nm when fully embedded in GaAs (ɛIP* = ɛOP* = - 0.072); 16.4 nm when the particle is grown on GaAs, but capped with InSb (ɛIP* = - 0.072 and ɛOP* =+0.065); and a maximum of 18.4 nm if capped with an alloy corresponding to ɛOP* =+0.037. The effect, which we term "3D Poisson-stabilization" provides a means to increase the epitaxial strain tolerance in epitaxial heterostructures by tailoring ɛOP*.

  14. Multilayer ceramic oxide solid electrolyte for fuel cells and electrolysis cells and method for fabrication thereof

    NASA Technical Reports Server (NTRS)

    Schroeder, James E. (Inventor); Anderson, Harlan U. (Inventor)

    1990-01-01

    An unitary layered ceramic structure is disclosed which comprises co-sintered layers. The co-sintered structure comprises a sintered central layer of yttria stabilized zirconia (YSZ) which is about 8 mole percent yttria and having a density of at least about 95% of theoretical, and sintered outer layers of strontium lanthanum manganite (LSM) having the approximate molecular composition La.sub.0.8 Sr.sub.0.2 MnO.sub.3, having a density from about 50 to about 60% of theoretical, and having interconnected porosity from about 40 to 50% with an interconnected pore diameter from about one micron to about five microns. The sintered central layer is sandwiched by and bonded and sintered to the outer layers and is essentially free of significant amounts of manganese. A process for making the unitary composition-of-matter is also disclosed which involves tape casting a LSM tape and then on top thereof casting a YSZ tape. The process comprises presintering LSM powder at 1250.degree. F., crushing the presintered commercially available LSM powder, forming a slurry with the crushed LSM, a binder and solvent, tape casting the slurry and allowing the slurry to air dry. A mixture of commercially available submicron size particle YSZ powder is milled with a dispersant and solvent to disperse the YSZ particles thereby forming a dispersed YSZ slurry. The YSZ slurry is then tape cast on the dried LSM tape. If desired, a third layer of LSM can be cast on top of the dried YSZ layer. After drying the composite LSM/YSZ and LSM/YSZ/LSM tapes are fired at 1300.degree. C. No migration of manganese into the YSZ layer was observed with scanning electron microscope/edax in the sintered multilayer tape.

  15. Multilayer ceramic oxide solid electrolyte for fuel cells and electrolysis cells

    NASA Technical Reports Server (NTRS)

    Schroeder, James E. (Inventor); Anderson, Harlan U. (Inventor)

    1991-01-01

    A unitary layered ceramic structure is disclosed which comprises co-sintered layers. The co-sintered structure comprises a sintered central layer of yttria stabilized zirconia (YSZ) which is about 8 mole percent yttria and having a density of at least about 95% of theoretical, and sintered outer layers of strontium lanthanum manganite (LSM) having the approximate molecular composition La.sub.0.8 Sr.sub.0.2 MnO.sub.3, having a density from about 50 to about 60% of theoretical, and having interconnected porosity from about 40 to 50% with an interconnected pore diameter from about one micron to about five microns. The sintered central layer is sandwiched by and bonded and sintered to the outer layers and is essentially free of significant amounts of manganese. A process for making the unitary composition-of-matter is also disclosed which involves tape casting a LSM tape and then on top thereof casting a YSZ tape. The process comprises presintering LSM powder at 1250.degree. F., crushing the presintered commercially available LSM powder, forming a slurry with the crushed LSM, a binder and solvent, tape casting the slurry and allowing the slurry to air dry. A mixture of commercially available submicron size particle YSZ powder is milled with a dispersant and solvent to disperse the YSZ particles thereby forming a dispersed YSZ slurry. The YSZ slurry is then tape cast on the dried LSM tape. If desired, a third layer of LSM can be cast on top of the dried YSZ layer. After drying the composite LSM/YSZ and LSM/YSZ/LSM tapes are fired at 1300.degree. C. No migration of manganese into the YSZ layer was observed with scanning electron microscope/edax in the sintered multilayer tape.

  16. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  17. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  18. Large lattice mismatch effects on the epitaxial growth and magnetic properties of FePt films

    NASA Astrophysics Data System (ADS)

    Deng, Jinyu; Dong, Kaifeng; Yang, Ping; Peng, Yingguo; Ju, Ganping; Hu, Jiangfeng; Chow, Gan Moog; Chen, Jingsheng

    2018-01-01

    Heteroepitaxial film growth is crucial for magnetic and electronic devices. In this work, we reported the effects of the large lattice mismatch and film thickness on the epitaxial growth and magnetic properties of FePt films on ZrxTi1-xN (0 0 1) intermediate layer. FePt films with different thickness were deposited on ZrTiN intermediate layers with various doping concentration of TiN in ZrN. The increase in doping concentration of TiN caused a decrease in the lattice parameters of ZrTiN intermediate layer. It was found that (0 0 1) epitaxy of FePt 10 nm films was only achieved on ZrTiN intermediate layer when the TiN composition was ≥25 vol%, while (0 0 1) texture of 5 nm films was achieved on ZrTiN intermediate layer with a minimum of 50 vol% TiN composition. The in-plane lattice constants of FePt and Zr0.70Ti0.30N (25 vol% TiN) were 3.870 Å and 4.476 Å, respectively, which resulted in a lattice mismatch as large as 15.7%. These large lattice mismatch heterostructures adopted 7/6 domain matching epitaxy. The magneto-crystalline anisotropy of FePt films was improved with the increase in lattice mismatch. Intrinsic magnetic properties were extrapolated for FePt (30 nm)/Zr0.70Ti0.30N (30 nm)/TaN (30 nm)/MgO, and the Ms(0 K) and K1(0 K) were 1042 emu/cc and 5.10 × 107 erg/cc, respectively, which is comparable to that of bulk L10 FePt.

  19. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layersmore » were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.« less

  20. Observation of longitudinal spin-Seebeck effect in cobalt-ferrite epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Niizeki, Tomohiko; Kikkawa, Takashi; Uchida, Ken-ichi; Oka, Mineto; Suzuki, Kazuya Z.; Yanagihara, Hideto; Kita, Eiji; Saitoh, Eiji

    2015-05-01

    The longitudinal spin-Seebeck effect (LSSE) has been investigated in cobalt ferrite (CFO), an exceptionally hard magnetic spinel ferrite. A bilayer of a polycrystalline Pt and an epitaxially-strained CFO(110) exhibiting an in-plane uniaxial anisotropy was prepared by reactive rf sputtering technique. Thermally generated spin voltage in the CFO layer was measured via the inverse spin-Hall effect in the Pt layer. External-magnetic-field (H) dependence of the LSSE voltage (VLSSE) in the Pt/CFO(110) sample with H ∥ [001] was found to exhibit a hysteresis loop with a high squareness ratio and high coercivity, while that with H ∥ [ 1 1 ¯ 0 ] shows a nearly closed loop, reflecting the different anisotropies induced by the epitaxial strain. The magnitude of VLSSE has a linear relationship with the temperature difference (ΔT), giving the relatively large VLSSE /ΔT of about 3 μV/K for CFO(110) which was kept even at zero external field.

  1. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nepal, Neeraj; Anderson, Virginia R.; Johnson, Scooter D.

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities duemore » to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful

  2. Sharp chemical interface in epitaxial Fe{sub 3}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gálvez, S.; Rubio-Zuazo, J., E-mail: rubio@esrf.fr; Salas-Colera, E.

    Chemically sharp interface was obtained on single phase single oriented Fe{sub 3}O{sub 4} (001) thin film (7 nm) grown on NiO (001) substrate using oxygen assisted molecular beam epitaxy. Refinement of the atomic structure, stoichiometry, and oxygen vacancies were determined by soft and hard x-ray photoelectron spectroscopy, low energy electron diffraction and synchrotron based X-ray reflectivity, and X-ray diffraction. Our results demonstrate an epitaxial growth of the magnetite layer, perfect iron stoichiometry, absence of oxygen vacancies, and the existence of an intermixing free interface. Consistent magnetic and electrical characterizations are also shown.

  3. Epitaxial growth of GaN/AlN/InAlN heterostructures for HEMTs in horizontal MOCVD reactors with different designs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsatsulnikov, A. F., E-mail: andrew@beam.ioffe.ru; Lundin, W. V.; Sakharov, A. V.

    2016-09-15

    The epitaxial growth of InAlN layers and GaN/AlN/InAlN heterostructures for HEMTs in growth systems with horizontal reactors of the sizes 1 × 2', 3 × 2', and 6 × 2' is investigated. Studies of the structural properties of the grown InAlN layers and electrophysical parameters of the GaN/AlN/InAlN heterostructures show that the optimal quality of epitaxial growth is attained upon a compromise between the growth conditions for InGaN and AlGaN. A comparison of the epitaxial growth in different reactors shows that optimal conditions are realized in small-scale reactors which make possible the suppression of parasitic reactions in the gas phase.more » In addition, the size of the reactor should be sufficient to provide highly homogeneous heterostructure parameters over area for the subsequent fabrication of devices. The optimal compositions and thicknesses of the InAlN layer for attaining the highest conductance in GaN/AlN/InAlN transistor heterostructures.« less

  4. Stacking of ZnSe/ZnCdSe Multi-Quantum Wells on GaAs (100) by Epitaxial Lift-Off

    NASA Astrophysics Data System (ADS)

    Eldose, N. M.; Zhu, J.; Mavridi, N.; Prior, Kevin; Moug, R. T.

    2018-05-01

    Here we present stacking of GaAs/ZnSe/ZnCdSe single-quantum well (QW) structures using epitaxial lift-off (ELO). Molecular beam epitaxy (MBE)-grown II-VI QW structure was lifted using our standard ELO technique. The QW structures were transferred onto glass plates and then subsequent layers stacked on top of each other to form a triple-QW structure. This was compared to an MBE-grown multiple-QW (MQW) structure of similar design. Low-temperature (77 K) photoluminescence (PL) spectroscopy was used to compare the two structures and showed no obvious degradation of the ELO stacked layer. It was observed that by stacking the single QW layer on itself we could increase the PL emission intensity beyond that of the grown MQW structure while maintaining narrow line width.

  5. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  6. Localized variations in electronic structure of AlGaN/GaN heterostructures grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Smith, K. V.; Yu, E. T.; Elsass, C. R.; Heying, B.; Speck, J. S.

    2001-10-01

    Local electronic properties in a molecular-beam-epitaxy-grown AlxGa1-xN/GaN heterostructure field-effect transistor epitaxial layer structure are probed using depth-resolved scanning capacitance microscopy. Theoretical analysis of contrast observed in scanning capacitance images acquired over a range of bias voltages is used to assess the possible structural origins of local inhomogeneities in electronic structure, which are shown to be concentrated in areas where Ga droplets had formed on the surface during growth. Within these regions, there are significant variations in the local electronic structure that are attributed to variations in both AlxGa1-xN layer thickness and Al composition. Increased charge trapping is also observed in these regions.

  7. Formation of atomically smooth epitaxial metal films on a chemically reactive interface: Mg on Si(111)

    NASA Astrophysics Data System (ADS)

    Özer, Mustafa M.; Weitering, Hanno H.

    2013-07-01

    Deposition of Mg on Si(111)7 × 7 produces an epitaxial magnesium silicide layer. Under identical annealing conditions, the thickness of this Mg2Si(111) layer increases with deposition amount, reaching a maximum of 4 monolayer (ML) and decreasing to ˜3 ML at higher Mg coverage. Excess Mg coalesces into atomically flat, crystalline Mg(0001) films. This surprising growth mode can be attributed to the accidental commensurability of the Mg(0001), Si(111), and Mg2Si(111) interlayer spacing and the concurrent minimization of in-plane Si mass transfer and domain-wall energies. The commensurability of the interlayer spacing defines a highly unique solid-phase epitaxial growth process capable of producing trilayer structures with atomically abrupt interfaces and atomically smooth surface morphologies.

  8. Epitaxial lift-off of electrodeposited single-crystal gold foils for flexible electronics

    NASA Astrophysics Data System (ADS)

    Mahenderkar, Naveen K.; Chen, Qingzhi; Liu, Ying-Chau; Duchild, Alexander R.; Hofheins, Seth; Chason, Eric; Switzer, Jay A.

    2017-03-01

    We introduce a simple and inexpensive procedure for epitaxial lift-off of wafer-size flexible and transparent foils of single-crystal gold using silicon as a template. Lateral electrochemical undergrowth of a sacrificial SiOx layer was achieved by photoelectrochemically oxidizing silicon under light irradiation. A 28-nanometer-thick gold foil with a sheet resistance of 7 ohms per square showed only a 4% increase in resistance after 4000 bending cycles. A flexible organic light-emitting diode based on tris(bipyridyl)ruthenium(II) that was spin-coated on a foil exploited the transmittance and flexibility of the gold foil. Cuprous oxide as an inorganic semiconductor that was epitaxially electrodeposited onto the gold foils exhibited a diode quality factor n of 1.6 (where n = 1.0 for an ideal diode), compared with a value of 3.1 for a polycrystalline deposit. Zinc oxide nanowires electrodeposited epitaxially on a gold foil also showed flexibility, with the nanowires intact up to 500 bending cycles.

  9. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  10. Characterization of Cu buffer layers for growth of L10-FeNi thin films

    NASA Astrophysics Data System (ADS)

    Mizuguchi, M.; Sekiya, S.; Takanashi, K.

    2010-05-01

    A Cu(001) layer was fabricated on a Au(001) layer to investigate the use of Cu as a buffer layer for growing L10-FeNi thin films. The epitaxial growth of a Cu buffer layer was observed using reflection high-energy electron diffraction. The flatness of the layer improved drastically with an increase in the substrate temperature although the layer was an alloy (AuCu3). An FeNi thin film was epitaxially grown on the AuCu3 buffer layer by alternate monatomic layer deposition and the formation of an L10-FeNi ordered alloy was expected. The AuCu3 buffer layer is thus a promising candidate material for the growth of L10-FeNi thin films.

  11. Structure and magnetism of epitaxially strained Pd(001) films on Fe(001): Experiment and theory

    NASA Astrophysics Data System (ADS)

    Fullerton, Eric E.; Stoeffler, D.; Ounadjela, K.; Heinrich, B.; Celinski, Z.; Bland, J. A. C.

    1995-03-01

    We present an experimental and theoretical description of the structure and magnetism of epitaxially strained Pd(001) films on Fe(001) and in Fe/Pd/Fe(001) trilayers. The structure is determined by combining reflection high-energy electron diffraction and x-ray diffraction. For Fe/Au(001) bilayers and Fe/Pd/Au(001) trilayers grown by molecular-beam epitaxy on Ag(001), the Fe and Au layers are well represented by their bulk structure, whereas, thin Pd layers have a face-centered tetragonal structure with an in-plane expansion of 4.2% and an out-of-plane contraction of 7.2% (c/a=0.89). Theoretical ab initio studies of the interfacial structure indicate that the structural ground state of the epitaxially strained Pd layer is well described by a fct structure which maintains the bulk Pd atomic volume with small deviations at the interface. For Fe/Pd/Fe trilayers, the interlayer coupling oscillates with a period of 4 monolayers (ML) on a ferromagnetic background that crosses to weak antiferromagnetic coupling for thicknesses >12 ML of Pd. Strong ferromagnetic coupling observed below 5 ML of Pd indicates that 2 ML of Pd at each interface are ferromagnetically ordered. Theoretical studies of Fe3Pdn superlattices (where n is the number of Pd atomic layers) determine the polarization of the Pd layer and the interlayer magnetic coupling to depend strongly on the c/a ratio of the Pd layers. Modeling of a Pd layer with a constant-volume fct structure and one monolayer interfacial roughness find that the first 2 ML of the Pd is polarized in close agreement with the experimental results. Polarized neutron reflectivity results on an Fe(5.6 ML)/Pd(7 ML)/Au(20 ML) sample determine the average moment per Fe atom of 2.66+/-0.05μB. Calculations for the same structure show that this value is consistent with the induced Pd polarization.

  12. Epitaxial growth and physical properties of ternary nitride thin films by polymer-assisted deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Enriquez, Erik M.; Zhang, Yingying; Chen, Aiping

    2016-08-26

    Epitaxial layered ternary metal-nitride FeMoN 2, (Fe 0.33 Mo 0.67)MoN 2, CoMoN 2, and FeWN 2 thin films have been grown on c-plane sapphire substrates by polymer-assisted deposition. The ABN 2 layer sits on top of the oxygen sublattices of the substrate with three possible matching configurations due to the significantly reduced lattice mismatch. The doping composition and elements affect not only the out-of-plane lattice parameters but also the temperature-dependent electrical properties. These films have resistivity in the range of 0.1–1 mΩ·cm, showing tunable metallic or semiconducting behaviors by adjusting the composition. A modified parallel connection channel model has beenmore » used to analyze the grain boundary and Coulomb blockade effect on the electrical properties. Furthermore, the growth of the high crystallinity layered epitaxial thin films provides an avenue to study the composition-structure-property relationship in ABN 2 materials through A and B-site substitution.« less

  13. Ultrahard carbon film from epitaxial two-layer graphene

    NASA Astrophysics Data System (ADS)

    Gao, Yang; Cao, Tengfei; Cellini, Filippo; Berger, Claire; de Heer, Walter A.; Tosatti, Erio; Riedo, Elisa; Bongiorno, Angelo

    2018-02-01

    Atomically thin graphene exhibits fascinating mechanical properties, although its hardness and transverse stiffness are inferior to those of diamond. So far, there has been no practical demonstration of the transformation of multilayer graphene into diamond-like ultrahard structures. Here we show that at room temperature and after nano-indentation, two-layer graphene on SiC(0001) exhibits a transverse stiffness and hardness comparable to diamond, is resistant to perforation with a diamond indenter and shows a reversible drop in electrical conductivity upon indentation. Density functional theory calculations suggest that, upon compression, the two-layer graphene film transforms into a diamond-like film, producing both elastic deformations and sp2 to sp3 chemical changes. Experiments and calculations show that this reversible phase change is not observed for a single buffer layer on SiC or graphene films thicker than three to five layers. Indeed, calculations show that whereas in two-layer graphene layer-stacking configuration controls the conformation of the diamond-like film, in a multilayer film it hinders the phase transformation.

  14. Erosion Performance of Gadolinium Zirconate-Based Thermal Barrier Coatings Processed by Suspension Plasma Spray

    NASA Astrophysics Data System (ADS)

    Mahade, Satyapal; Curry, Nicholas; Björklund, Stefan; Markocsan, Nicolaie; Nylén, Per; Vaßen, Robert

    2017-01-01

    7-8 wt.% Yttria-stabilized zirconia (YSZ) is the standard thermal barrier coating (TBC) material used by the gas turbines industry due to its excellent thermal and thermo-mechanical properties up to 1200 °C. The need for improvement in gas turbine efficiency has led to an increase in the turbine inlet gas temperature. However, above 1200 °C, YSZ has issues such as poor sintering resistance, poor phase stability and susceptibility to calcium magnesium alumino silicates (CMAS) degradation. Gadolinium zirconate (GZ) is considered as one of the promising top coat candidates for TBC applications at high temperatures (>1200 °C) due to its low thermal conductivity, good sintering resistance and CMAS attack resistance. Single-layer 8YSZ, double-layer GZ/YSZ and triple-layer GZdense/GZ/YSZ TBCs were deposited by suspension plasma spray (SPS) process. Microstructural analysis was carried out by scanning electron microscopy (SEM). A columnar microstructure was observed in the single-, double- and triple-layer TBCs. Phase analysis of the as-sprayed TBCs was carried out using XRD (x-ray diffraction) where a tetragonal prime phase of zirconia in the single-layer YSZ TBC and a cubic defect fluorite phase of GZ in the double and triple-layer TBCs was observed. Porosity measurements of the as-sprayed TBCs were made by water intrusion method and image analysis method. The as-sprayed GZ-based multi-layered TBCs were subjected to erosion test at room temperature, and their erosion resistance was compared with single-layer 8YSZ. It was shown that the erosion resistance of 8YSZ single-layer TBC was higher than GZ-based multi-layered TBCs. Among the multi-layered TBCs, triple-layer TBC was slightly better than double layer in terms of erosion resistance. The eroded TBCs were cold-mounted and analyzed by SEM.

  15. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  16. Direct growth of graphene on in situ epitaxial hexagonal boron nitride flakes by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Zhongguang; Zheng, Renjing; Khanaki, Alireza

    2015-11-23

    Hexagonal boron nitride (h-BN) single-crystal domains were grown on cobalt (Co) substrates at a substrate temperature of 850–900 °C using plasma-assisted molecular beam epitaxy. Three-point star shape h-BN domains were observed by scanning electron microscopy, and confirmed by Raman and X-ray photoelectron spectroscopy. The h-BN on Co template was used for in situ growth of multilayer graphene, leading to an h-BN/graphene heterostructure. Carbon atoms preferentially nucleate on Co substrate and edges of h-BN and then grow laterally to form continuous graphene. Further introduction of carbon atoms results in layer-by-layer growth of graphene on graphene and lateral growth of graphene on h-BNmore » until it may cover entire h-BN flakes.« less

  17. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  18. Strong Flux Pinning of Nano-Sized Ysz Particles in Ybco Films Prepared by Mod Method

    NASA Astrophysics Data System (ADS)

    Ye, S.; Suo, H. L.; Liu, M.; Tang, X.; Wu, Z. P.; Zhao, Y.; Zhou, M. L.

    The YBCO films with doped YSZ nanoparticles have been prepared successfully by metal organic doepositon method using trifluoroacetates (TFA-MOD) through dissolving Zr organic salt into the YBCO precursor solution. The doped films have well in-plane and out-plane textures detected by both XRD Φ-scan and ω-scan. The YSZ nanoparticles with the size of about 5 ~ 15 nm were observed on the surface of the YBCO films using both FE-SEM and TEM. By comparing the superconducting properties, it was found that the doped YBCO films had lower Tc than that of undoped YBCO films. However, as increasing the applied magnetic field, Jc of the doped YBCO films were much better than that of undoped one. The Jc was as higher as 2.5 times than that of undoped YBCO film at 77 K and 1 T applied field.

  19. Giant Permittivity in Epitaxial Ferroelectric Heterostructures

    NASA Astrophysics Data System (ADS)

    Erbil, A.; Kim, Y.; Gerhardt, R. A.

    1996-08-01

    A giant permittivity associated with the motion of domain walls is reported in epitaxial hetero- structures having alternating layers of ferroelectric and nonferroelectric oxides. At low frequencies, permittivities as high as 420 000 are found. Real and imaginary parts of the dielectric constant show large dispersion at high frequencies. In dc measurements, a nonlinear resistance is observed with a well-defined threshold field correlated with the dc bias-field dependence of ac permittivities. We interpret the observations as a result of the motion of a pinned domain wall lattice at low electric fields and sliding-mode motion at high electric fields.

  20. Epitaxial growth of CoO films on semiconductor and metal substrates by constructing a complex heterostructure

    NASA Astrophysics Data System (ADS)

    Entani, S.; Kiguchi, M.; Saiki, K.; Koma, A.

    2003-01-01

    Epitaxial growth of CoO films was studied using reflection high-energy electron diffraction (RHEED), electron energy loss spectroscopy (EELS), ultraviolet photoelectron spectroscopy (UPS) and Auger electron spectroscopy (AES). The RHEED results indicated that an epitaxial CoO film grew on semiconductor and metal substrates (CoO (0 0 1)∥GaAs (0 0 1), Cu (0 0 1), Ag (0 0 1) and [1 0 0]CoO∥[1 0 0] substrates) by constructing a complex heterostructure with two alkali halide buffer layers. The AES, EELS and UPS results showed that the grown CoO film had almost the same electronic structure as bulk CoO. We could show that use of alkali halide buffer layers was a good way to grow metal oxide films on semiconductor and metal substrates in an O 2 atmosphere. The alkali halide layers not only works as glue to connect very dissimilar materials but also prevents oxidation of metal and semiconductor substrates.

  1. Investigation of the asymmetric misfit dislocation morphology in epitaxial layers with the zinc-blende structure

    NASA Technical Reports Server (NTRS)

    Fox, Bradley A.; Jesser, William A.

    1990-01-01

    The source of the asymmetry in the dislocation morphology exhibited in the epitaxial growth of compound semiconductors on (100) was investigated. A thickness wedge of p- and n-type GaAs(0.95)P(0.05) was grown on GaAs by metalorganic chemical vapor deposition, and the effect of misorientation on the resolved shear stress for each slip system was calculated and eliminated as the source of the asymmetry. Another potential source of asymmetry, the thickness gradient, was also eliminated. Results show that the substrate misorientation and the thickness gradient do not significantly contribute to the asymmetry and that the dominant contributor to the asymmetry of misfit dislocations in the (001) epitaxial interface can be attributed to the differences in the Peierls barriers between the two types of dilocations in GaAsP/GaAs.

  2. Multifunctional epitaxial systems on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu; Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709; Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such asmore » threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  3. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  4. Epitaxial Growth of MOF Thin Film for Modifying the Dielectric Layer in Organic Field-Effect Transistors.

    PubMed

    Gu, Zhi-Gang; Chen, Shan-Ci; Fu, Wen-Qiang; Zheng, Qingdong; Zhang, Jian

    2017-03-01

    Metal-organic framework (MOF) thin films are important in the application of sensors and devices. However, the application of MOF thin films in organic field effect transistors (OFETs) is still a challenge to date. Here, we first use the MOF thin film prepared by a liquid-phase epitaxial (LPE) approach (also called SURMOFs) to modify the SiO 2 dielectric layer in the OFETs. After the semiconductive polymer of PTB7-Th (poly[4,8-bis(5-(2-ethylhexyl)thiophene-2-yl)benzo[1,2-b:4,5-b']dithiophene-co-3-fluorothieno[3,4-b]thiophene-2-carboxylate]) was coated on MOF/SiO 2 and two electrodes on the semiconducting film were deposited sequentially, MOF-based OFETs were fabricated successfully. By controlling the LPE cycles of SURMOF HKUST-1 (also named Cu 3 (BTC) 2 , BTC = 1,3,5-benzenetricarboxylate), the performance of the HKUST-1/SiO 2 -based OFETs showed high charge mobility and low threshold voltage. This first report on the application of MOF thin film in OFETs will offer an effective approach for designing a new kind of materials for the OFET application.

  5. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  6. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysismore » also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.« less

  7. Molecular-beam epitaxy of 7-8 μm range quantum-cascade laser heterostructures

    NASA Astrophysics Data System (ADS)

    Babichev, A. V.; Denisov, D. V.; Filimonov, A. V.; Nevedomsky, V. N.; Kurochkin, A. S.; Gladyshev, A. G.; Karachinsky, L. Ya; Sokolovskii, G. S.; Novikov, I. I.; Bousseksou, A.; Egorov, A. Yu

    2017-11-01

    The method of molecular beam epitaxy demonstrates the possibility to create high quality heterostructures of quantum cascade lasers in a spectral range of 7-8 μm containing 50 quantum cascades in an active region. Design based on the principle of two-phonon resonant scattering is used. X-ray diffraction and transmission electron microscopy experiments confirm high structural properties of the created heterostructures, e.g. the identity of the composition and thickness of epitaxial layers in all 50 cascades. Edge-emitting lasers based on the grown heterostructure demonstrate lasing with threshold current density of 2.8 kA/cm2 at a temperature of 78 K.

  8. InGaAsP-based uni-travelling carrier photodiode structure grown by solid source molecular beam epitaxy.

    PubMed

    Natrella, Michele; Rouvalis, Efthymios; Liu, Chin-Pang; Liu, Huiyun; Renaud, Cyril C; Seeds, Alwyn J

    2012-08-13

    We report the first InGaAsP-based uni-travelling carrier photodiode structure grown by Solid Source Molecular Beam Epitaxy; the material contains layers of InGaAsP as thick as 300 nm and a 120 nm thick InGaAs absorber. Large area vertically illuminated test devices have been fabricated and characterised; the devices exhibited 0.1 A/W responsivity at 1550 nm, 12.5 GHz -3 dB bandwidth and -5.8 dBm output power at 10 GHz for a photocurrent of 4.8 mA. The use of Solid Source Molecular Beam Epitaxy enables the major issue associated with the unintentional diffusion of zinc in Metal Organic Vapour Phase Epitaxy to be overcome and gives the benefit of the superior control provided by MBE growth techniques without the costs and the risks of handling toxic gases of Gas Source Molecular Beam Epitaxy.

  9. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  10. Epitaxial ferromagnetic oxide thin films on silicon with atomically sharp interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coux, P. de; CEMES-CNRS, 29 rue Jeanne Marvig, BP 94347, Toulouse Cedex 4; Bachelet, R.

    A bottleneck in the integration of functional oxides with silicon, either directly grown or using a buffer, is the usual formation of an amorphous interfacial layer. Here, we demonstrate that ferromagnetic CoFe{sub 2}O{sub 4} films can be grown epitaxially on Si(111) using a Y{sub 2}O{sub 3} buffer layer, and remarkably the Y{sub 2}O{sub 3}/Si(111) interface is stable and remains atomically sharp. CoFe{sub 2}O{sub 4} films present high crystal quality and high saturation magnetization.

  11. The early growth and interface of YBa 2Cu 3O y thin films deposited on YSZ substrates

    NASA Astrophysics Data System (ADS)

    Gao, J.; Tang, W. H.; Yau, C. Y.

    2001-11-01

    Epitaxial thin films of YBa 2Cu 3O y (YBCO) have been prepared on yttrium-stabilized zirconia substrates with and without a buffer layer. The early growth, crystallinity and surface morphology of these thin films have been characterized by X-ray diffraction, rocking curves, scanning electron microscope, in situ conductance measurements, and surface step profiler. The full width at half maximum of the ( 0 0 5 ) peak of rocking curve was found to be less than 0.1°. Over a wide scanning range of 2000 μm the average surface roughness is just 5 nm, indicating very smooth films. Grazing incident X-ray reflection and positron annihilation spectroscopy shows well-defined interfaces between layers and substrate. By applying a new Eu 2CuO 4 (ECO) buffer layer the initial formation of YBCO appears to grow layer-by-layer rather than the typical island growth mode. The obtained results reveal significant improvements at the early formation and crystallinity of YBCO by using the 214-T ‧ ECO as a buffer layer.

  12. Chemical solution seed layer for rabits tapes

    DOEpatents

    Goyal, Amit; Paranthaman, Mariappan; Wee, Sung-Hun

    2014-06-10

    A method for making a superconducting article includes the steps of providing a biaxially textured substrate. A seed layer is then deposited. The seed layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different rare earth or transition metal cations. A superconductor layer is grown epitaxially such that the superconductor layer is supported by the seed layer.

  13. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  14. Synthesis of functional ceramic supports by ice templating and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Klotz, Michaela; Weber, Matthieu; Deville, Sylvain; Oison, Didier; Iatsunskyi, Igor; Coy, Emerson; Bechelany, Mikhael

    2018-05-01

    In this work, we report an innovative route for the manufacturing of functional ceramic supports, by combining ice templating of yttria stabilized zirconia (YSZ) and atomic layer deposition (ALD) of Al2O3 processes. Ceramic YSZ monoliths are prepared using the ice-templating process, which is based on the controlled crystallization of water following a thermal gradient. Sublimation of the ice and the sintering of the material reveal the straight micrometer sized pores shaped by the ice crystal growth. The high temperature sintering allows for the ceramic materials to present excellent mechanical strength and porosities of 67%. Next, the conformality benefit of ALD is used to deposit an alumina coating at the surface of the YSZ pores, in order to obtain a functional material. The Al2O3 thin films obtained by ALD are 100 nm thick and conformally deposited within the macroporous ceramic supports, as shown by SEM and EDS analysis. Mercury intrusion experiments revealed a reduction of the entrance pore diameter, in line with the growth per cycle of 2 Å of the ALD process. In addition to the manufacture of the innovative ceramic nanomaterials, this article also describes the fine characterization of the coatings obtained using mercury intrusion, SEM and XRD analysis.

  15. Effect of Nano-Si3N4 Additives and Plasma Treatment on the Dry Sliding Wear Behavior of Plasma Sprayed Al2O3-8YSZ Ceramic Coatings

    NASA Astrophysics Data System (ADS)

    Gou, Junfeng; Zhang, Jian; Zhang, Qiwen; Wang, You; Wang, Chaohui

    2017-04-01

    In this paper, the effect of nano-Si3N4 additives and plasma treatment on the wear behavior of Al2O3-8YSZ ceramic coatings was studied. Nano-Al2O3, nano-8YSZ (8 wt.% Y2O3-stabilized ZrO2) and nano-Si3N4 powders were used as raw materials to fabricate four types of sprayable feedstocks. Plasma treatment was used to improve the properties of the feedstocks. The surface morphologies of the ceramic coatings were observed. The mechanical properties of the ceramic coatings were measured. The dry sliding wear behavior of the Al2O3-8YSZ coatings with and without Si3N4 additives was studied. Nano-Si3N4 additives and plasma treatment can improve the morphologies of the coatings by prohibiting the initiation of micro-cracks and reducing the unmelted particles. The hardness and bonding strength of AZSP (Al2O3-18 wt.% 8YSZ-10 wt.% Si3N4-plasma treatment) coating increased by 79.2 and 44% compared to those of AZ (Al2O3-20 wt.% 8YSZ) coating. The porosity of AZSP coating decreased by 85.4% compared to that of AZ coating. The wear test results showed that the addition of nano-Si3N4 and plasma treatment could improve the wear resistance of Al2O3-8YSZ coatings.

  16. Parallel Large-Scale Molecular Dynamics Simulation Opens New Perspective to Clarify the Effect of a Porous Structure on the Sintering Process of Ni/YSZ Multiparticles.

    PubMed

    Xu, Jingxiang; Higuchi, Yuji; Ozawa, Nobuki; Sato, Kazuhisa; Hashida, Toshiyuki; Kubo, Momoji

    2017-09-20

    Ni sintering in the Ni/YSZ porous anode of a solid oxide fuel cell changes the porous structure, leading to degradation. Preventing sintering and degradation during operation is a great challenge. Usually, a sintering molecular dynamics (MD) simulation model consisting of two particles on a substrate is used; however, the model cannot reflect the porous structure effect on sintering. In our previous study, a multi-nanoparticle sintering modeling method with tens of thousands of atoms revealed the effect of the particle framework and porosity on sintering. However, the method cannot reveal the effect of the particle size on sintering and the effect of sintering on the change in the porous structure. In the present study, we report a strategy to reveal them in the porous structure by using our multi-nanoparticle modeling method and a parallel large-scale multimillion-atom MD simulator. We used this method to investigate the effect of YSZ particle size and tortuosity on sintering and degradation in the Ni/YSZ anodes. Our parallel large-scale MD simulation showed that the sintering degree decreased as the YSZ particle size decreased. The gas fuel diffusion path, which reflects the overpotential, was blocked by pore coalescence during sintering. The degradation of gas diffusion performance increased as the YSZ particle size increased. Furthermore, the gas diffusion performance was quantified by a tortuosity parameter and an optimal YSZ particle size, which is equal to that of Ni, was found for good diffusion after sintering. These findings cannot be obtained by previous MD sintering studies with tens of thousands of atoms. The present parallel large-scale multimillion-atom MD simulation makes it possible to clarify the effects of the particle size and tortuosity on sintering and degradation.

  17. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    PubMed

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  18. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  19. Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1-(micron)meter MOSFET's with Epitaxial and (delta)-Doped Channels

    NASA Technical Reports Server (NTRS)

    Asenov, Asen; Saini, Subhash

    1999-01-01

    A detailed three-dimensional (3-D) statistical 'atomistic' simulation study of fluctuation-resistant sub-0.1-(micron)meter MOSFET architectures with epitaxial channels and delta doping is presented. The need for enhancing the fluctuation resistance of the sub-0.1-(micron)meter generation transistors is highlighted by presenting summarized results from atomistic simulations of a wide range of conventional devices with uniformly doped channel. According to our atomistic results, the doping concentration dependence of the random dopant-induced threshold voltage fluctuations in conventional devices is stronger than the analytically predicted fourth-root dependence. As a result of this, the scaling of such devices will be restricted by the "intrinsic" random dopant-induced fluctuations earlier than anticipated. Our atomistic simulations confirm that the introduction of a thin epitaxial layer in the MOSFET's channel can efficiently suppress the random dopant-induced threshold voltage fluctuations in sub-0.1-(micron)meter devices. For the first time, we observe an "anomalous" reduction in the threshold voltage fluctuations with an increase in the doping concentration behind the epitaxial channel, which we attribute to screening effects. Also, for the first time we study the effect of a delta-doping, positioned behind the epitaxial layer, on the intrinsic threshold voltage fluctuations. Above a certain thickness of epitaxial layer, we observe a pronounced anomalous decrease in the threshold voltage fluctuation with the increase of the delta doping. This phenomenon, which is also associated with screening, enhances the importance of the delta doping in the design of properly scaled fluctuation-resistant sub-0.1-(micron)meter MOSFET's. Index Terms-Doping, fluctuations, MOSFET, semiconductor device simulation, silicon devices, threshold.

  20. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Epitaxial Growth of Graphene on 6H-SiC (0001) by Thermal Annealing

    NASA Astrophysics Data System (ADS)

    Tang, Jun; Liu, Zhong-Liang; Kang, Chao-Yang; Pan, Hai-Bin; Wei, Shi-Qiang; Xu, Peng-Shou; Gao, Yu-Qiang; Xu, Xian-Gang

    2009-08-01

    An epitaxial graphene (EG) layer is successfully grown on a Si-terminated 6H-SiC (0001) substrate by the method of thermal annealing in an ultrahigh vacuum molecular beam epitaxy chamber. The structure and morphology of the EG sample are characterized by reflection high energy diffraction (RHEED), Raman spectroscopy and atomic force microscopy (AFM). Graphene diffraction streaks can be seen in RHEED. The G and 2D peaks of graphene are clearly observed in the Raman spectrum. The AFM results show that the graphene nominal thickness is about 4-10 layers.

  1. Electronic cooling via interlayer Coulomb coupling in multilayer epitaxial graphene

    PubMed Central

    Mihnev, Momchil T.; Tolsma, John R.; Divin, Charles J.; Sun, Dong; Asgari, Reza; Polini, Marco; Berger, Claire; de Heer, Walt A.; MacDonald, Allan H.; Norris, Theodore B.

    2015-01-01

    In van der Waals bonded or rotationally disordered multilayer stacks of two-dimensional (2D) materials, the electronic states remain tightly confined within individual 2D layers. As a result, electron–phonon interactions occur primarily within layers and interlayer electrical conductivities are low. In addition, strong covalent in-plane intralayer bonding combined with weak van der Waals interlayer bonding results in weak phonon-mediated thermal coupling between the layers. We demonstrate here, however, that Coulomb interactions between electrons in different layers of multilayer epitaxial graphene provide an important mechanism for interlayer thermal transport, even though all electronic states are strongly confined within individual 2D layers. This effect is manifested in the relaxation dynamics of hot carriers in ultrafast time-resolved terahertz spectroscopy. We develop a theory of interlayer Coulomb coupling containing no free parameters that accounts for the experimentally observed trends in hot-carrier dynamics as temperature and the number of layers is varied. PMID:26399955

  2. Growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke

    2016-04-11

    The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of themore » (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.« less

  3. Epitaxial Stabilization of a-PbO2 Structure in MnF2 Layers on Si and GaP

    DTIC Science & Technology

    2001-06-01

    Before the epitaxy, the substrates were dipped in a HF solution and fixed on Si platelets with InGa eutectic . The crystalline quality of the substrates...15 keV. We used a recrystallization annealing (RA) in the 550-700’C range to improve the MnF2 film quality of some epitaxial structures grown at... recrystallization annealing. The inset in Fig. 1(a) shows the RHEED pattern of a 30 nm MnF2 film grown at 100°C and annealed at 550’C. Well-pronounced

  4. Strained layer InP/InGaAs quantum well laser

    NASA Technical Reports Server (NTRS)

    Forouhar, Siamak (Inventor); Larsson, Anders G. (Inventor); Ksendzov, Alexander (Inventor); Lang, Robert J. (Inventor)

    1993-01-01

    Strained layer single or multiple quantum well lasers include an InP substrate, a pair of lattice-matched InGaAsP quarternary layers epitaxially grown on the substrate surrounding a pair of lattice matched In.sub.0.53 Ga.sub.0.47 As ternary layers surrounding one or more strained active layers of epitaxially grown, lattice-mismatched In.sub.0.75 Ga.sub.0.25 As. The level of strain is selected to control the bandgap energy to produce laser output having a wavelength in the range of 1.6 to 2.5 .mu.m. The multiple quantum well structure uses between each active layer. Diethyl zinc is used for p-type dopant in an InP cladding layer at a concentration level in the range of about 5.times.10.sup.17 /cm.sup.3 to about 2.times.10.sup.18 /cm.sup.3. Hydrogen sulfide is used for n-type dopant in the substrate.

  5. Use of inverse quasi-epitaxy to modify order during post-deposition processing of organic photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Forrest, Stephen R.; Zimmerman, Jeramy D.; Lassiter, Brian E .

    Disclosed herein are methods for fabricating an organic photovoltaic device comprising depositing an amorphous organic layer and a crystalline organic layer over a first electrode, wherein the amorphous organic layer and the crystalline organic layer contact one another at an interface; annealing the amorphous organic layer and the crystalline organic layer for a time sufficient to induce at least partial crystallinity in the amorphous organic layer; and depositing a second electrode over the amorphous organic layer and the crystalline organic layer. In the methods and devices herein, the amorphous organic layer may comprise at least one material that undergoes inverse-quasimore » epitaxial (IQE) alignment to a material of the crystalline organic layer as a result of the annealing.« less

  6. Effect of Suspension Plasma-Sprayed YSZ Columnar Microstructure and Bond Coat Surface Preparation on Thermal Barrier Coating Properties

    NASA Astrophysics Data System (ADS)

    Bernard, Benjamin; Quet, Aurélie; Bianchi, Luc; Schick, Vincent; Joulia, Aurélien; Malié, André; Rémy, Benjamin

    2017-08-01

    Suspension plasma spraying (SPS) is identified as promising for the enhancement of thermal barrier coating (TBC) systems used in gas turbines. Particularly, the emerging columnar microstructure enabled by the SPS process is likely to bring about an interesting TBC lifetime. At the same time, the SPS process opens the way to a decrease in thermal conductivity, one of the main issues for the next generation of gas turbines, compared to the state-of-the-art deposition technique, so-called electron beam physical vapor deposition (EB-PVD). In this paper, yttria-stabilized zirconia (YSZ) coatings presenting columnar structures, performed using both SPS and EB-PVD processes, were studied. Depending on the columnar microstructure readily adaptable in the SPS process, low thermal conductivities can be obtained. At 1100 °C, a decrease from 1.3 W m-1 K-1 for EB-PVD YSZ coatings to about 0.7 W m-1 K-1 for SPS coatings was shown. The higher content of porosity in the case of SPS coatings increases the thermal resistance through the thickness and decreases thermal conductivity. The lifetime of SPS YSZ coatings was studied by isothermal cyclic tests, showing equivalent or even higher performances compared to EB-PVD ones. Tests were performed using classical bond coats used for EB-PVD TBC coatings. Thermal cyclic fatigue performance of the best SPS coating reached 1000 cycles to failure on AM1 substrates with a β-(Ni,Pt)Al bond coat. Tests were also performed on AM1 substrates with a Pt-diffused γ-Ni/γ'-Ni3Al bond coat for which more than 2000 cycles to failure were observed for columnar SPS YSZ coatings. The high thermal compliance offered by both the columnar structure and the porosity allowed the reaching of a high lifetime, promising for a TBC application.

  7. Design of epitaxial CdTe solar cells on InSb substrates

    DOE PAGES

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-11-01

    Epitaxial CdTe has been shown by others to have a radiative recombination rate approaching unity, high carrier concentration, and low defect density. It has, therefore, become an attractive candidate for high-efficiency solar cells, perhaps becoming competitive with GaAs. The choice of substrate is a key design feature for epitaxial CdTe solar cells, and several possibilities (CdTe, Si, GaAs, and InSb) have been investigated by others. All have challenges, and these have generally been addressed through the addition of intermediate layers between the substrate and CdTe absorber. InSb is an attractive substrate choice for CdTe devices, because it has a closemore » lattice match with CdTe, it has low resistivity, and it is easy to contact. However, the valence-band alignment between InSb and p-type CdTe, which can both impede hole current and enhance forward electron current, is not favorable. Three strategies to address the band-offset problem are investigated by numerical simulation: heavy doping of the back part of the CdTe layer, incorporation of an intermediate CdMgTe or CdZnTe layer, and the formation of an InSb tunnel junction. Lastly, wach of these strategies is predicted to be helpful for higher cell performance, but a combination of the first two should be most effective.« less

  8. Effect of the energy of bombarding electrons on the conductivity of n-4H-SiC (CVD) epitaxial layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovski, V. V., E-mail: kozlovski@physics.spbstu.ru; Lebedev, A. A.; Strel’chuk, A. M.

    The electrical characteristics of epitaxial layers of n-4H-SiC (CVD) irradiated with 0.9 and 3.5MeV electrons are studied. It is shown that the donor removal rate becomes nearly four times higher as the energy of impinging electrons increases by a factor of 4, although the formation cross section of primary radiation defects (Frenkel pairs in the carbon sublattice) responsible for conductivity compensation of the material is almost energy independent in this range. It is assumed that the reason for the observed differences is the influence exerted by primary knocked-out atoms. First, cascade processes start to manifest themselves with increasing energy ofmore » primary knocked-out atoms. Second, the average distance between genetically related Frenkel pairs grows, and, as a consequence, the fraction of defects that do not recombine under irradiation becomes larger. The recombination radius of Frenkel pairs in the carbon sublattice is estimated and the possible charge state of the recombining components is assessed.« less

  9. RF Properties of Epitaxial Lift-Off HEMT Devices

    NASA Technical Reports Server (NTRS)

    Young, Paul G.; Alterovitz, Samuel A.; Mena, Rafael A.; Smith, Edwyn D.

    1993-01-01

    Epitaxial layers containing GaAs HEMT and P-HEMT structures have been lifted-off the GaAs substrate and attached to other host substrates using an AlAs parting layer. The devices were on-wafer RF probed before and after the lift-off step showing no degradation in the measured S-parameters. The maximum stable gain indicates a low frequency enhancement of the gain of 1-2 dB with some devices showing an enhancement of F(sub max)F(sub T) consistently shows an increase of 12-20% for all lifted-off HEMT structures. Comparison of the Hall measurements and small signal models show that the gain is improved and this is most probably associated with an enhanced carrier concentration.

  10. Hexagonal AlN Layers Grown on Sulfided Si(100) Substrate

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Gushchina, E. V.; Konenkova, E. V.; L'vova, T. V.; Panteleev, V. N.; Shcheglov, M. P.

    2018-01-01

    We have studied the influence of sulfide passivation on the initial stages of aluminum nitride (AlN)-layer nucleation and growth by hydride vapor-phase epitaxy (HVPE) on (100)-oriented single-crystalline silicon substrates. It is established that the substrate pretreatment in (NH4)2S aqueous solution leads to the columnar nucleation of hexagonal AlN crystals of two modifications rotated by 30° relative to each other. Based on the sulfide treatment, a simple method of oxide removal from and preparation of Si(100) substrate surface is developed that can be used for the epitaxial growth of group-III nitride layers.

  11. The sol-gel route: A versatile process for up-scaling the fabrication of gas-tight thin electrolyte layers

    NASA Astrophysics Data System (ADS)

    Viazzi, Céline; Rouessac, Vincent; Lenormand, Pascal; Julbe, Anne; Ansart, Florence; Guizard, Christian

    2011-03-01

    Sol-gel routes are often investigated and adapted to prepare, by suitable chemical modifications, submicronic powders and derived materials with controlled morphology, which cannot be obtained by conventional solid state chemistry paths. Wet chemistry methods provide attractive alternative routes because mixing of species occurs at the atomic scale. In this paper, ultrafine powders were prepared by a novel synthesis method based on the sol-gel process and were dispersed into suspensions before processing. This paper presents new developments for the preparation of functional materials like yttria-stabilized-zirconia (YSZ, 8% Y2O3) used as electrolyte for solid oxide fuel cells. YSZ thick films were coated onto porous Ni-YSZ substrates using a suspension with an optimized formulation deposited by either a dip-coating or a spin-coating process. The suspension composition is based on YSZ particles encapsulated by a zirconium alkoxide which was added with an alkoxide derived colloidal sol. The in situ growth of these colloids increases significantly the layer density after an appropriated heat treatment. The derived films were continuous, homogeneous and around 20 μm thick. The possible up-scaling of this process has been also considered and the suitable processing parameters were defined in order to obtain, at an industrial scale, homogeneous, crack-free, thick and adherent films after heat treatment at 1400 °C.

  12. Electrochemical properties of composite cathodes using Sm doped layered perovskite for intermediate temperature-operating solid oxide fuel cell

    NASA Astrophysics Data System (ADS)

    Baek, Seung-Wook; Azad, Abul K.; Irvine, John T. S.; Choi, Won Seok; Kang, Hyunil; Kim, Jung Hyun

    2018-02-01

    SmBaCo2O5+d (SBCO) showed the lowest observed Area Specific Resistance (ASR) value in the LnBaCo2O5+d (Ln: Pr, Nd, Sm, and Gd) oxide system for the overall temperature ranges tested. The ASR of a composite cathode (mixture of SBCO and Ce0.9Gd0.1O2-d) on a Ce0.9Gd0.1O2-d (CGO91) electrolyte decreased with respect to the CGO91 content; the percolation limit was also achieved for a 50 wt% SBCO and 50 wt% CGO91 (SBCO50) composite cathode. The ASRs of SBCO50 on the dense CGO91 electrolyte in the overall temperature range of 500-750 °C were relatively lower than those of SBCO50 on the CGO91 coated dense 8 mol% yttria-stabilized zirconia (8YSZ) electrolyte for the same temperature range. From 750 °C and for all higher temperatures tested, however, the ASRs of SBCO50 on the CGO91 coated dense 8YSZ electrolyte were lower than those of the CGO91 electrolyte. The maximum power densities of SBCO50 on the Ni-8YSZ/8YSZ/CGO91 buffer layer were 1.034 W cm-2 and 0.611 W cm-2 at 800 °C and 700 °C.

  13. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  14. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    PubMed Central

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  15. Analysis of the substrate influence on the ordering of epitaxial molecular layers: The special case of point-on-line coincidence

    NASA Astrophysics Data System (ADS)

    Mannsfeld, S. C.; Fritz, T.

    2004-02-01

    The physical structure of organic-inorganic heteroepitaxial thin films is usually governed by a fine balance between weak molecule-molecule interactions and a weakly laterally varying molecule-substrate interaction potential. Therefore, in order to investigate the energetics of such a layer system one has to consider large molecular domains. So far, layer potential calculations for large domains of organic thin films on crystalline substrates were difficult to perform concerning the computational effort which stems from the vast number of atoms which have to be included. Here, we present a technique which enables the calculation of the molecule-substrate interaction potential for large molecular domains by utilizing potential energy grid files. This technique allows the investigation of the substrate influence in systems prepared by organic molecular beam epitaxy (OMBE), like 3,4,9,10-perylenetetracarboxylicdianhydride on highly oriented pyrolytic graphite. For this system the so-called point-on-line coincidence was proposed, a growth mode which has been controversially discussed in literature. Furthermore, we are able to provide evidence for a general energetic advantage of such point-on-line coincident domain orientations over arbitrarily oriented domains which substantiates that energetically favorable lattice structures in OMBE systems are not restricted to commensurate unit cells or coincident super cells.

  16. Fabrication of selective-area growth InGaN LED by mixed-source hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Bae, Sung Geun; Jeon, Injun; Jeon, Hunsoo; Kim, Kyoung Hwa; Yang, Min; Yi, Sam Nyung; Lee, Jae Hak; Ahn, Hyung Soo; Yu, Young Moon; Sawaki, Nobuhiko; Kim, Suck-Whan

    2018-01-01

    We prepared InGaN light-emitting diodes (LEDs) with the active layers grown from a mixed source of Ga-In-N materials on an n-type GaN substrate by a selective-area growth method and three fabrication steps: photolithography, epitaxial layer growth, and metallization. The preparation followed a previously developed experimental process using apparatus for mixed-source hydride vapor-phase epitaxy (HVPE), which consisted of a multi-graphite boat, for insulating against the high temperature and to control the growth rate of epilayers, filled with the mixed source on the inside and a radio-frequency (RF) heating coil for heating to a high temperature (T > 900 °C) and for easy control of temperature outside the source zone. Two types of LEDs were prepared, with In compositions of 11.0 and 6.0% in the InGaN active layer, and room-temperature electroluminescence measurements exhibited a main peak corresponding to the In composition at either 420 or 390 nm. The consecutive growth of InGaN LEDs by the mixed-source HVPE method provides a technique for the production of LEDs with a wide range of In compositions in the active layer.

  17. Structure and chemistry of epitaxial ceria thin films on yttria-stabilized zirconia substrates, studied by high resolution electron microscopy

    DOE PAGES

    Sinclair, Robert; Lee, Sang Chul; Shi, Yezhou; ...

    2017-03-18

    Here, we have applied aberration-corrected transmission electron microscopy (TEM) imaging and electron energy loss spectroscopy (EELS) to study the structure and chemistry of epitaxial ceria thin films, grown by pulsed laser deposition onto (001) yttria-stabilized zirconia (YSZ) substrates. There are few observable defects apart from the expected mismatch interfacial dislocations and so the films would be expected to have good potential for applications. Under high electron beam dose rate (above about 6000 e-/Å 2s) domains of an ordered structure appear and these are interpreted as being created by oxygen vacancy ordering. The ordered structure does not appear at lower losemore » rates (ca. 2600 e-/Å 2s) and can be removed by imaging under 1 mbar oxygen gas in an environmental TEM. EELS confirms that there is both oxygen deficiency and the associated increase in Ce 3+ versus Ce 4+ cations in the ordered domains. In situ high resolution TEM recordings show the formation of the ordered domains as well as atomic migration along the ceria thin film (001) surface.« less

  18. Structure and chemistry of epitaxial ceria thin films on yttria-stabilized zirconia substrates, studied by high resolution electron microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sinclair, Robert; Lee, Sang Chul; Shi, Yezhou

    Here, we have applied aberration-corrected transmission electron microscopy (TEM) imaging and electron energy loss spectroscopy (EELS) to study the structure and chemistry of epitaxial ceria thin films, grown by pulsed laser deposition onto (001) yttria-stabilized zirconia (YSZ) substrates. There are few observable defects apart from the expected mismatch interfacial dislocations and so the films would be expected to have good potential for applications. Under high electron beam dose rate (above about 6000 e-/Å 2s) domains of an ordered structure appear and these are interpreted as being created by oxygen vacancy ordering. The ordered structure does not appear at lower losemore » rates (ca. 2600 e-/Å 2s) and can be removed by imaging under 1 mbar oxygen gas in an environmental TEM. EELS confirms that there is both oxygen deficiency and the associated increase in Ce 3+ versus Ce 4+ cations in the ordered domains. In situ high resolution TEM recordings show the formation of the ordered domains as well as atomic migration along the ceria thin film (001) surface.« less

  19. One-step aluminium-assisted crystallization of Ge epitaxy on Si by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Ziheng, E-mail: ziheng.liu@unsw.edu.au; Hao, Xiaojing; Ho-Baillie, Anita

    In this work, one-step aluminium-assisted crystallization of Ge on Si is achieved via magnetron sputtering by applying an in-situ low temperature (50 °C to 150 °C) heat treatment in between Al and Ge depositions. The effect of heat treatment on film properties and the growth mechanism of Ge epitaxy on Si are studied via X-ray diffraction, Raman and transmission electron microscopy analyses. Compared with the conventional two-step process, the one-step aluminium-assisted crystallization requires much lower thermal budget and results in pure Ge epitaxial layer, which may be suitable for use as a virtual substrate for the fabrication of III-V solar cells.

  20. Controllable surface-plasmon resonance in engineered nanometer epitaxial silicide particles embedded in silicon

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; Ksendzov, A.; Iannelli, J. M.; George, T.

    1991-01-01

    Epitaxial CoSi2 particles in a single-crystal silicon matrix are grown by molecular-beam epitaxy using a technique that allows nanometer control over particle size in three dimensions. These composite layers exhibit resonant absorption predicted by effective-medium theory. Selection of the height and diameter of disklike particles through a choice of growth conditions allows tailoring of the depolarization factor and hence of the surface-plasmon resonance energy. Resonant absorption from 0.49 to 1.04 eV (2.5 to 1.2 micron) is demonstrated and shown to agree well with values predicted by the Garnett (1904, 1906) theory using the bulk dielectric constants for CoSi2 and Si.