Sample records for z-pinch euv light

  1. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  2. Plasma-based EUV light source

    DOEpatents

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  3. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    NASA Astrophysics Data System (ADS)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  4. High brightness electrodeless Z-Pinch EUV source for mask inspection tools

    NASA Astrophysics Data System (ADS)

    Horne, Stephen F.; Partlow, Matthew J.; Gustafson, Deborah S.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2012-03-01

    Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 1995. The source is currently being used for metrology, mask inspection, and resist development. Energetiq's higher brightness source has been selected as the source for pre-production actinic mask inspection tools. This improved source enables the mask inspection tool suppliers to build prototype tools with capabilities of defect detection and review down to 16nm design rules. In this presentation we will present new source technology being developed at Energetiq to address the critical source brightness issue. The new technology will be shown to be capable of delivering brightness levels sufficient to meet the HVM requirements of AIMS and ABI and potentially API tools. The basis of the source technology is to use the stable pinch of the electrodeless light source and have a brightness of up to 100W/mm(carat)2-sr. We will explain the source design concepts, discuss the expected performance and present the modeling results for the new design.

  5. Z-Pinch Fusion for Energy Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPIELMAN,RICK B.

    2000-01-01

    Z pinches, the oldest fusion concept, have recently been revisited in light of significant advances in the fields of plasma physics and pulsed power engineering. The possibility exists for z-pinch fusion to play a role in commercial energy applications. We report on work to develop z-pinch fusion concepts, the result of an extensive literature search, and the output for a congressionally-mandated workshop on fusion energy held in Snowmass, Co July 11-23,1999.

  6. Z-Pinch Fusion Propulsion

    NASA Technical Reports Server (NTRS)

    Miernik, Janie

    2011-01-01

    Fusion-based nuclear propulsion has the potential to enable fast interplanetary transportation. Shorter trips are better for humans in the harmful radiation environment of deep space. Nuclear propulsion and power plants can enable high Ispand payload mass fractions because they require less fuel mass. Fusion energy research has characterized the Z-Pinch dense plasma focus method. (1) Lightning is form of pinched plasma electrical discharge phenomena. (2) Wire array Z-Pinch experiments are commonly studied and nuclear power plant configurations have been proposed. (3) Used in the field of Nuclear Weapons Effects (NWE) testing in the defense industry, nuclear weapon x-rays are simulated through Z-Pinch phenomena.

  7. Grazing incidence extreme ultraviolet spectrometer fielded with time resolution in a hostile z-pinch environment.

    PubMed

    Williamson, K M; Kantsyrev, V L; Safronova, A S; Wilcox, P G; Cline, W; Batie, S; LeGalloudec, B; Nalajala, V; Astanovitsky, A

    2011-09-01

    This recently developed diagnostic was designed to allow for time-gated spectroscopic study of the EUV radiation (4 nm < λ < 15 nm) present during harsh wire array z-pinch implosions. The spectrometer utilizes a 25 μm slit, an array of 3 spherical blazed gratings at grazing incidence, and a microchannel plate (MCP) detector placed in an off-Rowland position. Each grating is positioned such that its diffracted radiation is cast over two of the six total independently timed frames of the MCP. The off-Rowland configuration allows for a much greater spectral density on the imaging plate but only focuses at one wavelength per grating. The focal wavelengths are chosen for their diagnostic significance. Testing was conducted at the Zebra pulsed-power generator (1 MA, 100 ns risetime) at the University of Nevada, Reno on a series of wire array z-pinch loads. Within this harsh z-pinch environment, radiation yields routinely exceed 20 kJ in the EUV and soft x-ray. There are also strong mechanical shocks, high velocity debris, sudden vacuum changes during operation, energic ion beams, and hard x-ray radiation in excess of 50 keV. The spectra obtained from the precursor plasma of an Al double planar wire array contained lines of Al IX and AlX ions indicating a temperature near 60 eV during precursor formation. Detailed results will be presented showing the fielding specifications and the techniques used to extract important plasma parameters using this spectrometer. © 2011 American Institute of Physics

  8. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  9. Neutron generation from Z-pinches

    NASA Astrophysics Data System (ADS)

    Vikhrev, V. V.; Korolev, V. D.

    2007-05-01

    Recent advances in both experimental and theoretical studies on neutron generation in various Z-pinch facilities are reviewed. The main methods for enhancing neutron emission from the Z-pinch plasma are described, and the problems of igniting a thermonuclear burn wave in this plasma are discussed.

  10. Resolving microstructures in Z pinches with intensity interferometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Apruzese, J. P.; Kroupp, E.; Maron, Y.

    2014-03-15

    Nearly 60 years ago, Hanbury Brown and Twiss [R. Hanbury Brown and R. Q. Twiss, Nature 178, 1046 (1956)] succeeded in measuring the 30 nrad angular diameter of Sirius using a new type of interferometry that exploited the interference of photons independently emitted from different regions of the stellar disk. Its basis was the measurement of intensity correlations as a function of detector spacing, with no beam splitting or preservation of phase information needed. Applied to Z pinches, X pinches, or laser-produced plasmas, this method could potentially provide spatial resolution under one micron. A quantitative analysis based on the workmore » of Purcell [E. M. Purcell, Nature 178, 1449 (1956)] reveals that obtaining adequate statistics from x-ray interferometry of a Z-pinch microstructure would require using the highest-current generators available. However, using visible light interferometry would reduce the needed photon count and could enable its use on sub-MA machines.« less

  11. Fusion in a staged Z-pinch

    NASA Astrophysics Data System (ADS)

    Wessel, F. J.; Rahman, H. U.; Ney, P.; Valenzuela, J.; Beg, F.; McKee, E.; Darling, T.

    2016-03-01

    This paper is dedicated to Norman Rostoker, our (FJW and HUR) mentor and long-term collaborator, who will always be remembered for the incredible inspiration that he has provided us. Norman's illustrious career dealt with a broad range of fundamental-physics problems and we were fortunate to have worked with him on many important topics: intense-charged-particle beams, field-reversed configurations, and Z-pinches. Rostoker 's group at the University of CA, Irvine was well known for having implemented many refinements to the Z-pinch, that make it more stable, scalable, and efficient, including the development of: the gas-puff Z-pinch [1], which provides for the use of an expanded range of pinch-load materials; the gas-mixture Z-pinch [2], which enhances the pinch stability and increases its radiation efficiency; e-beam pre-ionization [3], which enhances the uniformity of the initial-breakdown process in a gas pinch; magnetic-flux-compression [4, 5], which allows for the amplification of an axial-magnetic field Bz; the Zpinch [6], which predicts fusion in a pinch-on-fiber configuration; the Staged Z-pinch (SZP) [7], which allows for the amplification of the pinch self-magnetic field, Bθ , in addition to a Bz, and leads to a stable implosion and high-gain fusion [8, 9, 10]. This paper describes the physical basis for a magneto-inertial compression in a liner-on-target SZP [11]. Initially a high-atomic-number liner implodes under the action of the J →×B → , Lorentz Force. As the implosion becomes super Alfvénic, magnetosonic waves form, transporting current and magnetic field through the liner toward the interface of the low-atomic-number target. The target implosion remains subsonic with its surface bounded by a stable-shock front. Shock waves that pass into the target provide a source of target plasma pre-heat. At peak compression the assembly is compressed by liner inertia, with flux compression producing an intense-magnetic field near the target

  12. Z-Pinch Pulsed Plasma Propulsion Technology Development

    NASA Technical Reports Server (NTRS)

    Polsgrove, Tara; Adams, Robert B.; Fabisinski, Leo; Fincher, Sharon; Maples, C. Dauphne; Miernik, Janie; Percy, Tom; Statham, Geoff; Turner, Matt; Cassibry, Jason; hide

    2010-01-01

    Fusion-based propulsion can enable fast interplanetary transportation. Magneto-inertial fusion (MIF) is an approach which has been shown to potentially lead to a low cost, small reactor for fusion break even. The Z-Pinch/dense plasma focus method is an MIF concept in which a column of gas is compressed to thermonuclear conditions by an axial current (I approximates 100 MA). Recent advancements in experiments and the theoretical understanding of this concept suggest favorable scaling of fusion power output yield as I(sup 4). This document presents a conceptual design of a Z-Pinch fusion propulsion system and a vehicle for human exploration. The purpose of this study is to apply Z-Pinch fusion principles to the design of a propulsion system for an interplanetary spacecraft. This study took four steps in service of that objective; these steps are identified below. 1. Z-Pinch Modeling and Analysis: There is a wealth of literature characterizing Z-Pinch physics and existing Z-Pinch physics models. In order to be useful in engineering analysis, simplified Z-Pinch fusion thermodynamic models are required to give propulsion engineers the quantity of plasma, plasma temperature, rate of expansion, etc. The study team developed these models in this study. 2. Propulsion Modeling and Analysis: While the Z-Pinch models characterize the fusion process itself, propulsion models calculate the parameters that characterize the propulsion system (thrust, specific impulse, etc.) The study team developed a Z-Pinch propulsion model and used it to determine the best values for pulse rate, amount of propellant per pulse, and mixture ratio of the D-T and liner materials as well as the resulting thrust and specific impulse of the system. 3. Mission Analysis: Several potential missions were studied. Trajectory analysis using data from the propulsion model was used to determine the duration of the propulsion burns, the amount of propellant expended to complete each mission considered. 4

  13. Effect of Initial Conditions on Gas-Puff Z-Pinch Dynamics.

    NASA Astrophysics Data System (ADS)

    Peterson, Gus Gordon

    This dissertation concerns the effects initial conditions have on the dynamics of an imploded, annular gas-puff z-pinch. The influence of axial magnetic fields, nozzle size and composition, different gases, pre-ionization, and electrode design on pinch quality and x-ray yield is investigated. The experiment uses a 5-kJ capacitor bank to deliver 0.35 MA to the pinch load in 1.4 mu rm s. This research establishes parameters important to increasing the x-ray yield of dense z-pinches. The initial stage of the implosion is diagnosed with a framing camera that photographs visible light emitted from z-pinch gas breakdown. Data from subsequent stages of the pinch is recorded with a B-dot probe, filtered x-ray diodes, an x-ray filtered pinhole camera, and a nitrogen laser interferometer. Applied axial magnetic fields of ~100 gauss increase average x-ray yield by more than 20%. A substantial increase of K-shell x -ray yield of more than 200% was obtained by increasing the energy delivered to the plasma by enlarging the nozzle diameter from 4 to 5 cm. The use of a Teflon outer-mantle for the nozzle resulted in less uniform gas breakdown as compared to graphite and copper outer-mantles, but x-ray yield and final state uniformity were not reduced. Lower Z gases showed poorer breakdown uniformity. Pre-ionization improved the uniformity of helium and neon breakdown but did not appear to affect subsequent dynamics. X-ray yield was significantly higher using a knife-edge annular anode, as opposed to a flat stainless steel honeycomb anode. Annular anodes with diameters more than a few millimeters different than the nozzle diameter produced low quality pinches with substantially lower x-ray yield.

  14. EUV spectroscopy of highly charged high Z ions in the Large Helical Device plasmas

    NASA Astrophysics Data System (ADS)

    Suzuki, C.; Koike, F.; Murakami, I.; Tamura, N.; Sudo, S.; Sakaue, H. A.; Nakamura, N.; Morita, S.; Goto, M.; Kato, D.; Nakano, T.; Higashiguchi, T.; Harte, C. S.; OʼSullivan, G.

    2014-11-01

    We present recent results on the extreme ultraviolet (EUV) spectroscopy of highly charged high Z ions in plasmas produced in the Large Helical Device (LHD) at the National Institute for Fusion Science. Tungsten, bismuth and lanthanide elements have recently been studied in the LHD in terms of their importance in fusion research and EUV light source development. In relatively low temperature plasmas, quasicontinuum emissions from open 4d or 4f subshell ions are predominant in the EUV region, while the spectra tend to be dominated by discrete lines from open 4s or 4p subshell ions in higher temperature plasmas. Comparative analyses using theoretical calculations and charge-separated spectra observed in an electron beam ion trap have been performed to achieve better agreement with the spectra measured in the LHD. As a result, databases on Z dependence of EUV spectra in plasmas have been widely extended.

  15. Overview of the Fusion Z-Pinch Experiment FuZE

    NASA Astrophysics Data System (ADS)

    Weber, T. R.; Shumlak, U.; Nelson, B. A.; Golingo, R. P.; Claveau, E. L.; McLean, H. S.; Tummel, K. K.; Higginson, D. P.; Schmidt, A. E.; UW/LLNL Team

    2016-10-01

    Previously, the ZaP device, at the University of Washington, demonstrated sheared flow stabilized (SFS) Z-pinch plasmas. Instabilities that have historically plagued Z-pinch plasma confinement were mitigated using sheared flows generated from a coaxial plasma gun of the Marshall type. Based on these results, a new SFS Z-pinch experiment, the Fusion Z-pinch Experiment (FuZE), has been constructed. FuZE is designed to investigate the scaling of SFS Z-pinch plasmas towards fusion conditions. The experiment will be supported by high fidelity physics modeling using kinetic and fluid simulations. Initial plans are in place for a pulsed fusion reactor following the results of FuZE. Notably, the design relies on proven commercial technologies, including a modest discharge current (1.5 MA) and voltage (40 kV), and liquid metal electrodes. Supported by DoE FES, NNSA, and ARPA-E ALPHA.

  16. On the Heating of Ions in Noncylindrical Z-Pinches

    NASA Astrophysics Data System (ADS)

    Svirsky, E. B.

    2018-01-01

    The method proposed here for analyzing processes in a hot plasma of noncylindrical Z-pinches is based on separation of the group of high-energy ions into a special fraction. Such ions constitute an insignificant fraction ( 10%) of the total volume of the Z-pinch plasma, but these ions contribute the most to the formation of conditions in which the pinch becomes a source of nuclear fusion products and X-ray radiation. The method allows a quite correct approach to obtaining quantitative estimates of the plasma parameters, the nuclear fusion energy yield, and the features of neutron fluxes in experiments with Z-pinches.

  17. About plasma points' generation in Z-pinch

    NASA Astrophysics Data System (ADS)

    Afonin, V. I.; Potapov, A. V.; Lazarchuk, V. P.; Murugov, V. M.; Senik, A. V.

    1997-05-01

    The streak tube study results (at visible and x-ray ranges) of dynamics of fast Z-pinch formed at explosion of metal wire in diode of high current generator are presented. Amplitude of current in the load reached ˜180 kA at increase time ˜50 ns. The results' analysis points to capability of controlling hot plasma points generation process in Z-pinch.

  18. Measurement of Radiation Symmetry in Z-Pinch Driven Hohlraums

    NASA Astrophysics Data System (ADS)

    Hanson, David L.

    2001-10-01

    The z-pinch driven hohlraum (ZPDH) is a promising approach to high yield inertial confinement fusion currently being characterized in experiments on the Sandia Z accelerator [1]. In this concept [2], x rays are produced by an axial z-pinch in a primary hohlraum at each end of a secondary hohlraum. A fusion capsule in the secondary is imploded by a symmetric x-ray flux distribution, effectively smoothed by wall reemission during transport to the capsule position. Capsule radiation symmetry, a critical issue in the design of such a system, is influenced by hohlraum geometry, wall motion and time-dependent albedo, as well as power balance and pinch timing between the two z-pinch x-ray sources. In initial symmetry studies on Z, we used solid low density burnthrough spheres to diagnose highly asymmetric, single-sided-drive hohlraum geometries. We then applied this technique to the more symmetric double z-pinch geometry [3]. As a result of design improvements, radiation flux symmetry in Z double-pinch wire array experiments now exceeds the measurement sensitivity of this self-backlit foam ball symmetry diagnostic (15% max-min flux asymmetry). To diagnose radiation symmetry at the 2 - 5% level attainable with our present ZPDH designs, we are using high-energy x rays produced by the recently-completed Z-Beamlet laser backlighter for point-projection imaging of thin-wall implosion and symmetry capsules. We will present the results of polar flux symmetry measuremets on Z for several ZPDH capsule geometries together with radiosity and radiation-hydrodynamics simulations for comparison. [1] M. E. Cuneo et al., Phys. Plasmas 8,2257(2001); [2] J. H. Hammer et al., Phys. Plasmas 6,2129(1999); [3] D. L. Hanson et al., Bull. Am. Phys. Soc. 45,360(2000).

  19. Current redistribution and generation of kinetic energy in the stagnated Z pinch.

    PubMed

    Ivanov, V V; Anderson, A A; Papp, D; Astanovitskiy, A L; Talbot, B R; Chittenden, J P; Niasse, N

    2013-07-01

    The structure of magnetic fields was investigated in stagnated wire-array Z pinches using a Faraday rotation diagnostic at the wavelength of 266 nm. The distribution of current in the pinch and trailing material was reconstructed. A significant part of current can switch from the main pinch to the trailing plasma preheated by x-ray radiation of the pinch. Secondary implosions of trailing plasma generate kinetic energy and provide enhanced heating and radiation of plasma at stagnation. Hot spots in wire-array Z pinches also provide enhanced radiation of the Z pinch. A collapse of a single hot spot radiates 1%-3% of x-ray energy of the Z pinch with a total contribution of hot spots of 10%-30%.

  20. Energy balance in a Z pinch with suppressed Rayleigh-Taylor instability

    NASA Astrophysics Data System (ADS)

    Baksht, R. B.; Oreshkin, V. I.; Rousskikh, A. G.; Zhigalin, A. S.

    2018-03-01

    At present Z-pinch has evolved into a powerful plasma source of soft x-ray. This paper considers the energy balance in a radiating metallic gas-puff Z pinch. In this type of Z pinch, a power-law density distribution is realized, promoting suppression of Rayleigh-Taylor (RT) instabilities that occur in the pinch plasma during compression. The energy coupled into the pinch plasma, is determined as the difference between the total energy delivered to the load from the generator and the magnetic energy of the load inductance. A calibrated voltage divider and a Rogowski coil were used to determine the coupled energy and the load inductance. Time-gated optical imaging of the pinch plasma showed its stable compression up to the stagnation phase. The pinch implosion was simulated using a 1D two-temperature radiative magnetohydrodynamic code. Comparison of the experimental and simulation results has shown that the simulation adequately describes the pinch dynamics for conditions in which RT instability is suppressed. It has been found that the proportion of the Ohmic heating in the energy balance of a Z pinch with suppressed RT instability is determined by Spitzer resistance and makes no more than ten percent.

  1. Overview of the FuZE Fusion Z-Pinch Experiment

    NASA Astrophysics Data System (ADS)

    Shumlak, U.; Nelson, B. A.; Claveau, E. L.; Forbes, E. G.; Golingo, R. P.; Stepanov, A. D.; Weber, T. R.; Zhang, Y.; McLean, H. S.; Higginson, D. P.; Schmidt, A.; Tummel, K. K.

    2017-10-01

    Successful results of the sheared flow stabilized (SFS) Z-pinch from ZaP and ZaP-HD have motivated the new FuZE project to scale the plasma performance to fusion conditions. The SFS Z-pinch is immune to the instabilities that plague the conventional Z-pinch yet maintains the same favorable radial scaling. The plasma density and temperature increase rapidly with decreasing plasma radius, which naturally leads to a compact configuration at fusion conditions. The SFS Z-pinch is being investigated as a novel approach to a compact fusion device in a collaborative ARPA-E ALPHA project with the University of Washington and Lawrence Livermore National Laboratory. The project includes an experimental effort coupled with high-fidelity physics modeling using kinetic and fluid simulations. Along with scaling law analysis, computational and experimental results from the FuZE device are presented. This work is supported by an award from US ARPA-E.

  2. α Heating in a Stagnated Z-pinch

    NASA Astrophysics Data System (ADS)

    Appelbe, Brian; Chittenden, Jeremy

    2009-01-01

    A computational investigation of a scheme for magneto-inertial confinement fusion in a Z-pinch is carried out. In the scheme implosion of a deuterium-tritium fuel mass is preceded by formation of a hotspot containing warm, dense plasma on axis. The presence of the hotspot increases energy yield. Compression of the hotspot by the main fuel mass initiates thermonuclear burn. There is significant heating of the plasma by thermonuclear α particles which are confined by the strong magnetic field of the Z-pinch.

  3. Dynamical analysis of surface-insulated planar wire array Z-pinches

    NASA Astrophysics Data System (ADS)

    Li, Yang; Sheng, Liang; Hei, Dongwei; Li, Xingwen; Zhang, Jinhai; Li, Mo; Qiu, Aici

    2018-05-01

    The ablation and implosion dynamics of planar wire array Z-pinches with and without surface insulation are compared and discussed in this paper. This paper first presents a phenomenological model named the ablation and cascade snowplow implosion (ACSI) model, which accounts for the ablation and implosion phases of a planar wire array Z-pinch in a single simulation. The comparison between experimental data and simulation results shows that the ACSI model could give a fairly good description about the dynamical characteristics of planar wire array Z-pinches. Surface insulation introduces notable differences in the ablation phase of planar wire array Z-pinches. The ablation phase is divided into two stages: insulation layer ablation and tungsten wire ablation. The two-stage ablation process of insulated wires is simulated in the ACSI model by updating the formulas describing the ablation process.

  4. Finite-Larmor-radius effects on z-pinch stability

    NASA Astrophysics Data System (ADS)

    Scheffel, Jan; Faghihi, Mostafa

    1989-06-01

    The effect of finite Larmor radius (FLR) on the stability of m = 1 small-axial-wavelength kinks in a z-pinch with purely poloidal magnetic field is investigated. We use the incompressible FLR MHD model; a collisionless fluid model that consistently includes the relevant FLR terms due to ion gyroviscosity, Hall effect and electron diamagnetism. With FLR terms absent, the Kadomtsev criterion of ideal MHD, 2r dp/dr + m2B2/μ0 ≥ 0 predicts instability for internal modes unless the current density is singular at the centre of the pinch. The same result is obtained in the present model, with FLR terms absent. When the FLR terms are included, a normal-mode analysis of the linearized equations yields the following results. Marginally unstable (ideal) modes are stabilized by gyroviscosity. The Hall term has a damping (but not absolutely stabilizing) effect - in agreement with earlier work. On specifying a constant current and particle density equilibrium, the effect of electron diamagnetism vanishes. For a z-pinch with parameters relevant to the EXTRAP experiment, the m = 1 modes are then fully stabilized over the crosssection for wavelengths λ/a ≤ 1, where a denotes the pinch radius. As a general z-pinch result a critical line-density limit Nmax = 5 × 1018 m-1 is found, above which gyroviscous stabilization near the plasma boundary becomes insufficient. This limit corresponds to about five Larmor radii along the pinch radius. The result holds for wavelengths close to, or smaller than, the pinch radius and for realistic equilibrium profiles. This limit is far below the required limit for a reactor with contained alpha particles, which is in excess of 1020 m-1.

  5. Performance of a Liner-on-Target Injector for Staged Z-Pinch Experiments

    NASA Astrophysics Data System (ADS)

    Conti, F.; Valenzuela, J. C.; Narkis, J.; Krasheninnikov, I.; Beg, F.; Wessel, F. J.; Ruskov, E.; Rahman, H. U.; McGee, E.

    2016-10-01

    We present the design and characterization of a compact liner-on-target injector, used in the Staged Z-pinch experiments conducted on the UNR-NTF Zebra Facility. Previous experiments and analysis indicate that high-Z gas liners produce a uniform and efficient implosion on a low-Z target plasma. The liner gas shell is produced by an annular solenoid valve and a converging-diverging nozzle designed to achieve a collimated, supersonic, Mach-5 flow. The on-axis target is produced by a coaxial plasma gun, where a high voltage pulse is applied to ionize neutral gas and accelerate the plasma by the J-> × B-> force. Measurements of the liner and target dynamics, resolved by interferometry in space and time, fast imaging, and collection of the emitted light, are presented. The results are compared to the predictions from Computational Fluid Dynamics and MHD simulations that model the injector. Optimization of the design parameters, for upcoming Staged Z-pinch experiments, will be discussed. Advanced Research Projects Agency - Energy, DE-AR0000569.

  6. Z-Pinch Plasma Neutron Sources

    DTIC Science & Technology

    2006-03-24

    deuterium into 9 to 14 keV (around 10 keV), which is well in the fusion energy range we are interested in. To make plasma radiation sources work, we...showing the 1-D dynamics of the pinch plasma implosion, temperature, fusion energy production and deposition for the conditions of shot Z1422. The minimum...histories of ion and electron temperatures, fusion energy production and energy deposition in ID RMHD run modeling deuterium shot Z1422. In our simulations

  7. Polytropic scaling of a flow Z-pinch

    NASA Astrophysics Data System (ADS)

    Hughes, M. C.; Shumlak, U.; Nelson, B. A.; Golingo, R. P.; Claveau, E. L.; Doty, S. A.; Forbes, E. G.; Kim, B.; Ross, M. P.; Weed, J. R.

    2015-11-01

    The ZaP Flow Z-Pinch project investigates the use of velocity shear to mitigate MHD instabilities. The ZaP-HD experiment produces 50 cm long pinches of varying radii. The power to the experiment is split between the plasma formation and acceleration process and the pinch assembly and compression process. Once the pinch is formed, low magnetic fluctuations indicate a quiescent, long-lived pinch. The split power supply allows more control of the pinch current than previous machine iterations, with a designed range from 50 to 150 kA. Radial force balance leads to the Bennett relation which indicates that as the pinch compresses due to increasing currents, the plasma pressure and/or linear density must change. Through ion spectroscopy and digital holographic interferometry coupled with magnetic measurements of the pinch current, the components of the Bennett relation can be fully measured. A scaling relation is then assumed to follow a polytrope as the pinch pressure, initially approximately 250 kPa, increases from an initially formed state to much higher values, approaching 100 MPa. A preliminary analysis of pinch scaling is shown corroborating with other diagnostics on the machine along with extrapolations to required currents for an HEDLP machine. This work is supported by grants from the U.S. Department of Energy and the U.S. National Nuclear Security Administration.

  8. Linear Transformer Drivers for Z-pinch Based Propulsion

    NASA Technical Reports Server (NTRS)

    Adams, Robert; Seidler, William; Giddens, Patrick; Fabisinski, Leo; Cassibry, Jason

    2017-01-01

    The MSFC/UAH team has been developing of a novel power management and distribution system called a Linear Transformer Driver (LTD). LTD's hold the promise of dramatically reducing the required mass to drive a z-pinch by replacing the capacitor banks which constitute half the mass of the entire system. The MSFC?UAH tea, is developing this technology in hope of integrating it with the Pulsed Fission Fusion (PuFF) propulsion concept. High-Voltage pulsed power systems used for Z-Pinch experimentation have in the past largely been based on Marx Generators. Marx generators deliver the voltage and current required for the Z-Pinch, but suffer from two significant drawbacks when applied to a flight system: they are very massive, consisting of high-voltage capacitor banks insulated in oil-filled tanks and they do not lend themselves to rapid pulsing. The overall goal of Phase 2 is to demonstrate the construction of a higher voltage stack from a number of cavities each of the design proven in Phase 1 and to characterize and understand the techniques for designing the stack. The overall goal of Phase 3 is to demonstrate the feasibility of constructing a higher energy cavity from a number of smaller LTD stacks, to characterize and understand the way in which the constituent stacks combine, and to extend this demonstration LTD to serve as the basis for a 64 kJ pulse generator for Z-Pinch experiments.

  9. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  10. Producing High-Performance, Stable, Sheared-Flow Z-Pinches in the FuZE project

    NASA Astrophysics Data System (ADS)

    Golingo, R. P.; Shumlak, U.,; Nelson, B. A.; Claveau, E. L.; Forbes, E. G.; Stepanov, A. D.; Weber, T. R.; Zhang, Y.; McLean, H. S.; Tummel, K. K.; Higginson, D. P.; Schmidt, A. E.; University of Washington (UW) Collaboration; Lawrence Livermore National Laboratory (LLNL) Collaboration

    2017-10-01

    The Fusion Z-Pinch Experiment (FuZE) has made significant strides towards generating high-performance, stable Z-pinch plasmas with goals of ne = 1018 cm-3 and T =1 keV. The Z-pinch plasmas are stabilized with a sheared axial flow that is driven by a coaxial accelerator. The new FuZE device has been constructed and reproduces the major scientific achievements the ZaP project at the University of Washington; ne = 1016 cm-3,T = 100 eV, r<1 cm, and tstable >20 μs. These parameters are measured with an array of magnetic field probes, spectroscopy, and fast framing cameras. The plasma parameters are achieved using a small fraction of the maximum energy storage and gas injection capability of the FuZE device. Higher density, ne = 5×1017 cm-3, and temperature, T = 500 eV, Z-pinch plasmas are formed by increasing the pinch current. At the higher voltages and currents, the ionization rates in the accelerator increase. By modifying the neutral gas profile in the accelerator, the plasma flow from the accelerator is maintained, driving the flow shear. Formation and sustainment of the sheared-flow Z-pinch plasma will be discussed. Experimental data demonstrating high performance plasmas in a stable Z-pinches will be shown. This work is supported by an award from US ARPA-E.

  11. Novel EUV mask black border suppressing EUV and DUV OoB light reflection

    NASA Astrophysics Data System (ADS)

    Ito, Shin; Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Maruyama, Shingo; Watanabe, Genta; Yoshida, Itaru; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-05-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. This is related to the fact that EUV absorber stack reflects 1-3% of actinic EUV light. To reduce this effect several types of image border with reduced EUV reflectance (<0.05%) have been proposed; such an image border is referred to as a black border. In particular, an etched multilayer type black border was developed; it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change in the die influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light from the EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel BB called `Hybrid Black Border' (HBB) has been developed to eliminate EUV and DUV OOB light reflection by applying optical design technique and special micro-fabrication technique. A new test mask with HBB is fabricated without any degradation of mask quality according to the result of CD performance in the main pattern, defectivity and cleaning durability. The imaging performance for N10 imaging structures is demonstrated on NXE:3300B in collaboration with ASML. This result is compared to the imaging results obtained for a mask with the earlier developed BB, and HBB has achieved ~3x improvement; less than 0.2 nm CD changes are observed in the corners of the die. A CD uniformity budget including

  12. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  13. Z-Pinch fusion-based nuclear propulsion

    NASA Astrophysics Data System (ADS)

    Miernik, J.; Statham, G.; Fabisinski, L.; Maples, C. D.; Adams, R.; Polsgrove, T.; Fincher, S.; Cassibry, J.; Cortez, R.; Turner, M.; Percy, T.

    2013-02-01

    Fusion-based nuclear propulsion has the potential to enable fast interplanetary transportation. Due to the great distances between the planets of our solar system and the harmful radiation environment of interplanetary space, high specific impulse (Isp) propulsion in vehicles with high payload mass fractions must be developed to provide practical and safe vehicles for human space flight missions. The Z-Pinch dense plasma focus method is a Magneto-Inertial Fusion (MIF) approach that may potentially lead to a small, low cost fusion reactor/engine assembly [1]. Recent advancements in experimental and theoretical understanding of this concept suggest favorable scaling of fusion power output yield [2]. The magnetic field resulting from the large current compresses the plasma to fusion conditions, and this process can be pulsed over short timescales (10-6 s). This type of plasma formation is widely used in the field of Nuclear Weapons Effects testing in the defense industry, as well as in fusion energy research. A Z-Pinch propulsion concept was designed for a vehicle based on a previous fusion vehicle study called "Human Outer Planet Exploration" (HOPE), which used Magnetized Target Fusion (MTF) [3] propulsion. The reference mission is the transport of crew and cargo to Mars and back, with a reusable vehicle. The analysis of the Z-Pinch MIF propulsion system concludes that a 40-fold increase of Isp over chemical propulsion is predicted. An Isp of 19,436 s and thrust of 3812 N s/pulse, along with nearly doubling the predicted payload mass fraction, warrants further development of enabling technologies.

  14. Numerical simulation of fiber and wire array Z-pinches with Trac-II

    NASA Astrophysics Data System (ADS)

    Reisman, David Barton

    Trac-II is a two dimensional axisymmetric resistive MHD code. It simulates all three spatial components (r, z, φ) of the magnetic field and fluid velocity vectors, and the plasma is treated as a single fluid with two temperatures (Te,Ti). In addition, it can optionally include a self-consistent external circuit. Recent modifications to the code include the addition of the 3-T radiation model, a 4-phase (solid- liquid-vapor-plasma) equation of state model (QEOS), a 4- phase electrical/thermal conductivity model, and an implicit solution of poloidal (Bz,Br) magnetic field diffusion. These changes permit a detailed study of fiber and wire array Z-pinches. Specifically, Trac-II is used to study the wire array Z-pinch at the PBFA-Z pulse power generator at Sandia National Laboratory. First, in 1-D we examine the behavior of a single wire in the Z-pinch. Then, using these results as initial radial conditions in 2-D, we investigate the dynamics of wire array configurations in the r-z and r-θ plane. In the r- z plane we examine the growth of the m = 0 or ``sausage'' instability in single wires within the array. In the r-θ plane we examine the merging behavior between neighboring wires. Special emphasis is placed on trying to explain how instability growth affects the performance of the Z-pinch. Lastly, we introduce Trac-III, a 3-D MHD code, and illustrate the m = 1 or ``kink'' instability. We also discuss how Trac-III can be modified to simulate the wire array Z-pinch.

  15. Study of the internal structure, instabilities, and magnetic fields in the dense Z-pinch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ivanov, Vladimir V.

    Z-pinches are sources of hot dense plasma which generates powerful x-ray bursts and can been applied to various areas of high-energy-density physics (HEDP). The 26-MA Z machine is at the forefront of many of these applications, but important aspects of HEDP have been studied on generators at the 1 MA current level. Recent development of laser diagnostics and upgrade of the Leopard laser at Nevada Terawatt Facility (NTF) give new opportunities for the dense Z-pinch study. The goal of this project is the investigation of the internal structure of the stagnated Z pinch including sub-mm and micron-scale instabilities, plasma dynamics,more » magnetic fields, and hot spots formation and initiation. New plasma diagnostics will be developed for this project. A 3D structure and instabilities of the pinch will be compared with 3D MHD and spectroscopic modeling and theoretical analysis. The structure and dynamics of stagnated Z pinches has been studied with x-ray self-radiation diagnostics which derive a temperature map of the pinch with a spatial resolution of 70-150 µm. The regular laser diagnostics at 532 nm does not penetrate in the dense pinch due to strong absorption and refraction in trailing plasma. Recent experiments at NTF showed that shadowgraphy at the UV wavelength of 266 nm unfolds a fine structure of the stagnated Z-pinch with unprecedented detail. We propose to develop laser UV diagnostics for Z pinches with a spatial resolution <5 μm to study the small-scale plasma structures, implement two-frame shadowgraphy/interferometry, and develop methods for investigation of strong magnetic fields. New diagnostics will help to understand better basic physical processes in Z pinches. A 3D internal structure of the pinch and characteristic instabilities will be studied in wire arrays with different configurations and compared with 3D MHD simulations and analytical models. Mechanisms of “enhanced heating” of Z-pinch plasma will be studied. Fast dynamics of

  16. ZaP-HD: High Energy Density Z-Pinch Plasmas using Sheared Flow Stabilization

    NASA Astrophysics Data System (ADS)

    Golingo, R. P.; Shumlak, U.; Nelson, B. A.; Claveau, E. L.; Doty, S. A.; Forbes, E. G.; Hughes, M. C.; Kim, B.; Ross, M. P.; Weed, J. R.

    2015-11-01

    The ZaP-HD flow Z-pinch project investigates scaling the flow Z-pinch to High Energy Density Plasma, HEDP, conditions by using sheared flow stabilization. ZaP used a single power supply to produce 100 cm long Z-pinches that were quiescent for many radial Alfven times and axial flow-through times. The flow Z-pinch concept provides an approach to achieve HED plasmas, which are dimensionally large and persist for extended durations. The ZaP-HD device replaces the single power supply from ZaP with two separate power supplies to independently control the plasma flow and current in the Z-pinch. Equilibrium is determined by diagnostic measurements of the density with interferometry and digital holography, the plasma flow and temperature with passive spectroscopy, the magnetic field with surface magnetic probes, and plasma emission with optical imaging. The diagnostics fully characterize the plasma from its initiation in the coaxial accelerator, through the pinch, and exhaust from the assembly region. The plasma evolution is modeled with high resolution codes: Mach2, WARPX, and NIMROD. Experimental results and scaling analyses are presented. This work is supported by grants from the U.S. Department of Energy and the U.S. National Nuclear Security Administration.

  17. Designs and Plans for MAIZE: a 1 MA LTD-Driven Z-Pinch

    NASA Astrophysics Data System (ADS)

    Gilgenbach, R. M.; Gomez, M. R.; Zier, J.; Tang, W.; French, D. M.; Hoff, B. W.; Jordan, N.; Cruz, E.; Lau, Y. Y.; Fowler-Guzzardo, T.; Meisel, J.; Mazarakis, M. G.; Cuneo, M. E.; Johnston, M. D.; Mehlhorn, T. A.; Kim, A. A.; Sinebryukhov, V. A.

    2007-11-01

    We present designs and experimental plans of the first 1 MA z-pinch in the USA to be driven by a Linear Transformer Driver (LTD). The Michigan Accelerator for Inductive Z-pinch Experiments, (MAIZE), is based on the LTD developed at the Institute for High Current Electronics, utilizing 80 capacitors and 40 spark gap switches to deliver a 1 MA, 100 kV pulse with <100 ns risetime. Designs will be presented of a low-inductance MITL terminated in a wire-array z-pinch. Initial, planned experiments will evaluate the LTD driving time-changing inductance of imploding 4-16 wire-array z-pinches. Wire ablation dynamics, axial-correlations and instability development will be explored. *This work was supported by U. S. DoE through Sandia National Laboratories award number 240985 to the University of Michigan. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy's National Nuclear Security Administration under Contract DE-AC04-94AL85000.

  18. Review of effects of dielectric coatings on electrical exploding wires and Z pinches

    NASA Astrophysics Data System (ADS)

    Wu, Jian; Li, Xingwen; Li, Mo; Li, Yang; Qiu, Aici

    2017-10-01

    As the most powerful x-ray source in the laboratories, the wire array Z pinches have been of great relevance to inertial confinement fusions, laboratory astrophysics, and other high-energy density applications. In order to produce x-ray with greater power and higher efficiency, the dynamics of wire array has been investigated extensively, and various methods have been proposed to improve the implosion quality of the wire array. This review focuses on the experimental and theoretical investigations regarding the effects of the dielectric coatings on electrical exploding wires and Z pinches. Since the early 2000, the electrical wire explosion related to the first stage of the wire array Z pinches has been studied extensively, and the results indicated that the dielectric coatings can significantly increase the joule energy deposition into a wire in the initial stage, and even the corona free explosion of tungsten wires can be achieved. Recently, there is an increasing interest in the dynamics of insulated wire array Z pinches. By applying dielectric coatings, the ablation process is suppressed, the x-ray start time is delayed, and the possibility of multi-peak radiation is decreased. This review is organized by the evolution dynamics of wire array Z pinches, and a broad introduction to relevant scientific concepts and various other applications are presented. According to the current research status, the challenges, opportunities and further developments of Z pinch loads using dielectric coatings are proposed to further promote the researches and their applications.

  19. High energy density Z-pinch plasmas using flow stabilization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shumlak, U., E-mail: shumlak@uw.edu; Golingo, R. P., E-mail: shumlak@uw.edu; Nelson, B. A., E-mail: shumlak@uw.edu

    The ZaP Flow Z-Pinch research project[1] at the University of Washington investigates the effect of sheared flows on MHD instabilities. Axially flowing Z-pinch plasmas are produced that are 100 cm long with a 1 cm radius. The plasma remains quiescent for many radial Alfvén times and axial flow times. The quiescent periods are characterized by low magnetic mode activity measured at several locations along the plasma column and by stationary visible plasma emission. Plasma evolution is modeled with high-resolution simulation codes – Mach2, WARPX, NIMROD, and HiFi. Plasma flow profiles are experimentally measured with a multi-chord ion Doppler spectrometer. Amore » sheared flow profile is observed to be coincident with the quiescent period, and is consistent with classical plasma viscosity. Equilibrium is determined by diagnostic measurements: interferometry for density; spectroscopy for ion temperature, plasma flow, and density[2]; Thomson scattering for electron temperature; Zeeman splitting for internal magnetic field measurements[3]; and fast framing photography for global structure. Wall stabilization has been investigated computationally and experimentally by removing 70% of the surrounding conducting wall to demonstrate no change in stability behavior.[4] Experimental evidence suggests that the plasma lifetime is only limited by plasma supply and current waveform. The flow Z-pinch concept provides an approach to achieve high energy density plasmas,[5] which are large, easy to diagnose, and persist for extended durations. A new experiment, ZaP-HD, has been built to investigate this approach by separating the flow Z-pinch formation from the radial compression using a triaxial-electrode configuration. This innovation allows more detailed investigations of the sheared flow stabilizing effect, and it allows compression to much higher densities than previously achieved on ZaP by reducing the linear density and increasing the pinch current. Experimental results

  20. Dynamics of conical wire array Z-pinch implosions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ampleford, D. J.; Lebedev, S. V.; Bland, S. N.

    2007-10-15

    A modification of the wire array Z pinch, the conical wire array, has applications to the understanding of wire array implosions and potentially to pulse shaping relevant to inertial confinement fusion. Results are presented from imploding conical wire array experiments performed on university scale 1 MA generators--the MAGPIE generator (1 MA, 240 ns) at Imperial College London [I. H. Mitchell et al., Rev. Sci Instrum. 67, 1533 (1996)] and the Nevada Terawatt Facility's Zebra generator (1 MA, 100 ns) at the University of Nevada, Reno [B. Bauer et al., in Dense Z-Pinches, edited by N. Pereira, J. Davis, and P.more » Pulsifer (AIP, New York, 1997), Vol. 409, p. 153]. This paper will discuss the implosion dynamics of conical wire arrays. Data indicate that mass ablation from the wires in this complex system can be reproduced with a rocket model with fixed ablation velocity. Modulations in the ablated plasma are present, the wavelength of which is invariant to a threefold variation in magnetic field strength. The axial variation in the array leads to a zippered precursor column formation. An initial implosion of a magnetic bubble near the cathode is followed by the implosion zippering upwards. Spectroscopic data demonstrating a variation of plasma parameters (e.g., electron temperature) along the Z-pinch axis is discussed, and experimental data are compared to magnetohydrodynamic simulations.« less

  1. Optimization of Capsule Symmetry in Z-Pinch Driven Hohlraums

    NASA Astrophysics Data System (ADS)

    Vesey, R. A.; Cuneo, M.; Hanson, D.; Porter, J.; Mehlhorn, T.; Ruggles, L.; Simpson, W.; Vargas, M.; Hammer, J.; Landen, O.

    1999-11-01

    The uniformity of the radiation flux incident on the capsule is a critical issue for indirect drive fusion using the z-pinch driven hohlraum high-yield concept(J.H. Hammer et al., Phys. Plas. 6), 2129 (1999).. Experiments on the Z accelerator at Sandia have demonstrated the ability to diagnose the uniformity of the flux striking a foam ball (surrogate capsule)(P.A. Amendt et al., Phys. Plas. 4), 1862 (1997); S.G. Glendinning et al. Rev. Sci. Instrum. 70, 536 (1999).. These single-sided drive experiments have been modeled using radiosity and radiation-hydrodynamics codes, yielding agreement with the measured ablation rate vs. angle on the foam ball. Flux uniformity at the 1-2% level needed for high-convergence capsule implosions requires a 2-sided drive (top and bottom z-pinch) configuration. Constrained optimization methods have identified hohlraum geometries with improved symmetry.

  2. Efficient Neutron Production from a Novel Configuration of Deuterium Gas-Puff Z-Pinch

    NASA Astrophysics Data System (ADS)

    Klir, D.; Kubes, P.; Rezac, K.; Cikhardt, J.; Kravarik, J.; Sila, O.; Shishlov, A. V.; Kovalchuk, B. M.; Ratakhin, N. A.; Kokshenev, V. A.; Labetsky, A. Yu.; Cherdizov, R. K.; Fursov, F. I.; Kurmaev, N. E.; Dudkin, G. N.; Nechaev, B. A.; Padalko, V. N.; Orcikova, H.; Turek, K.

    2014-03-01

    A novel configuration of a deuterium z pinch has been used to generate fusion neutrons. Injecting an outer hollow cylindrical plasma shell around an inner deuterium gas puff, neutron yields from DD reactions reached Yn=(2.9±0.3)×1012 at 700 ns implosion time and 2.7 MA current. Such a neutron yield means a tenfold increase in comparison with previous deuterium gas puff experiments at the same current generator. The increase of beam-target yields was obtained by a larger amount of current assembled on the z-pinch axis, and subsequently by higher induced voltage and higher energies of deuterons. A stack of CR-39 track detectors on the z-pinch axis showed hydrogen ions up to 38 MeV. Maximum neutron energies of 15 and 22 MeV were observed by radial and axial time-of-flight detectors, respectively. The number of DD neutrons per one joule of stored plasma energy approached 5×107. This implies that deuterium gas puff z pinches belong to the most efficient plasma-based sources of DD neutrons.

  3. 2D Kinetic Particle in Cell Simulations of a Shear-Flow Stabilized Z-Pinch

    NASA Astrophysics Data System (ADS)

    Tummel, Kurt; Higginson, Drew; Schmidt, Andrea; Link, Anthony; McLean, Harry; Shumlak, Uri; Nelson, Brian; Golingo, Raymond; Claveau, Elliot; Lawrence Livermore National Lab Team; University of Washington Team

    2016-10-01

    The Z-pinch is a relatively simple and attractive potential fusion reactor design, but attempts to develop such a reactor have consistently struggled to overcome Z-pinch instabilities. The ``sausage'' and ``kink'' modes are among the most robust and prevalent Z-pinch instabilities, but theory and simulations suggest that axial flow-shear, dvz / dr ≠ 0 , can suppress these modes. Experiments have confirmed that Z-pinch plasmas with embedded axial flow-shear display a significantly enhanced resilience to the sausage and kink modes at a demonstration current of 50kAmps. A new experiment is under way to test the concept at higher current, and efforts to model these plasmas are being expanded. The performance and stability of these devices will depend on features like the plasma viscosity, anomalous resistivity, and finite Larmor radius effects, which are most accurately characterized in kinetic models. To predict these features, kinetic simulations using the particle in cell code LSP are now in development, and initial benchmarking and 2D stability analyses of the sausage mode are presented here. These results represent the first kinetic modeling of the flow-shear stabilized Z-pinch. This work is funded by the USDOE/ARPAe Alpha Program. Prepared by LLNL under Contract DE-AC52-07NA27344.

  4. Theoretical z -pinch scaling relations for thermonuclear-fusion experiments.

    PubMed

    Stygar, W A; Cuneo, M E; Vesey, R A; Ives, H C; Mazarakis, M G; Chandler, G A; Fehl, D L; Leeper, R J; Matzen, M K; McDaniel, D H; McGurn, J S; McKenney, J L; Muron, D J; Olson, C L; Porter, J L; Ramirez, J J; Seamen, J F; Speas, C S; Spielman, R B; Struve, K W; Torres, J A; Waisman, E M; Wagoner, T C; Gilliland, T L

    2005-08-01

    We have developed wire-array z -pinch scaling relations for plasma-physics and inertial-confinement-fusion (ICF) experiments. The relations can be applied to the design of z -pinch accelerators for high-fusion-yield (approximately 0.4 GJ/shot) and inertial-fusion-energy (approximately 3 GJ/shot) research. We find that (delta(a)/delta(RT)) proportional (m/l)1/4 (Rgamma)(-1/2), where delta(a) is the imploding-sheath thickness of a wire-ablation-dominated pinch, delta(RT) is the sheath thickness of a Rayleigh-Taylor-dominated pinch, m is the total wire-array mass, l is the axial length of the array, R is the initial array radius, and gamma is a dimensionless functional of the shape of the current pulse that drives the pinch implosion. When the product Rgamma is held constant the sheath thickness is, at sufficiently large values of m/l, determined primarily by wire ablation. For an ablation-dominated pinch, we estimate that the peak radiated x-ray power P(r) proportional (I/tau(i))(3/2)Rlphigamma, where I is the peak pinch current, tau(i) is the pinch implosion time, and phi is a dimensionless functional of the current-pulse shape. This scaling relation is consistent with experiment when 13 MA < or = I < or = 20 MA, 93 ns < or = tau(i) < or = 169 ns, 10 mm < or = R < or = 20 mm, 10 mm < or = l < or = 20 mm, and 2.0 mg/cm < or = m/l < or = 7.3 mg/cm. Assuming an ablation-dominated pinch and that Rlphigamma is held constant, we find that the x-ray-power efficiency eta(x) congruent to P(r)/P(a) of a coupled pinch-accelerator system is proportional to (tau(i)P(r)(7/9 ))(-1), where P(a) is the peak accelerator power. The pinch current and accelerator power required to achieve a given value of P(r) are proportional to tau(i), and the requisite accelerator energy E(a) is proportional to tau2(i). These results suggest that the performance of an ablation-dominated pinch, and the efficiency of a coupled pinch-accelerator system, can be improved substantially by decreasing the

  5. Intense X-ray and EUV light source

    DOEpatents

    Coleman, Joshua; Ekdahl, Carl; Oertel, John

    2017-06-20

    An intense X-ray or EUV light source may be driven by the Smith-Purcell effect. The intense light source may utilize intense electron beams and Bragg crystals. This may allow the intense light source to range from the extreme UV range up to the hard X-ray range.

  6. Analysis of staged Z-pinch implosion trajectories from experiments on Zebra

    NASA Astrophysics Data System (ADS)

    Ross, Mike P.; Conti, F.; Darling, T. W.; Ruskov, E.; Valenzuela, J.; Wessel, F. J.; Beg, F.; Narkis, J.; Rahman, H. U.

    2017-10-01

    The Staged Z-pinch plasma confinement concept relies on compressing an annular liner of high-Z plasma onto a target plasma column of deuterium fuel. The interface between the liner and target is stable against the Magneto-Rayleigh-Taylor Instability, which leads to effective fuel compression and makes the concept interesting as a potential fusion reactor. The liner initiates as a neutral gas puff, while the target plasma is a partially ionized (Zeff < 10 percent column ejected from a coaxial plasma gun. The Zebra pulsed power generator (1 MA peak current, 100 ns rise time) provides the discharge that ionizes the liner and drives the Z-pinch implosion. Diverse diagnostics observe the 100-300 km/s implosions including silicon diodes, photo-conducting detectors (PCDs), laser shadowgraphy, an XUV framing camera, and a visible streak camera. The imaging diagnostics track instabilities smaller than 0.1 mm, and Z-pinch diameters below 2.5 mm are seen at peak compression. This poster correlates the data from these diagnostics to elucidate implosion behavior dependencies on liner gas, liner pressure, target pressure, and applied, axial-magnetic field. Funded by the Advanced Research Projects Agency - Energy, DE-AR0000569.

  7. Recent advances in theoretical and numerical studies of wire array Z-pinch in the IAPCM

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Ning, E-mail: ding-ning@iapcm.ac.cn; Zhang, Yang, E-mail: ding-ning@iapcm.ac.cn; Xiao, Delong, E-mail: ding-ning@iapcm.ac.cn

    2014-12-15

    Fast Z-pinch has produced the most powerful X-ray radiation source in laboratory and also shows the possibility to drive inertial confinement fusion (ICF). Recent advances in wire-array Z-pinch researches at the Institute of Applied Physics and Computational Mathematics are presented in this paper. A typical wire array Z-pinch process has three phases: wire plasma formation and ablation, implosion and the MRT instability development, stagnation and radiation. A mass injection model with azimuthal modulation coefficient is used to describe the wire initiation, and the dynamics of ablated plasmas of wire-array Z-pinches in (r, θ) geometry is numerically studied. In the implosionmore » phase, a two-dimensional(r, z) three temperature radiation MHD code MARED has been developed to investigate the development of the Magneto-Rayleigh-Taylor(MRT) instability. We also analyze the implosion modes of nested wire-array and find that the inner wire-array is hardly affected before the impaction of the outer wire-array. While the plasma accelerated to high speed in the implosion stage stagnates on the axis, abundant x-ray radiation is produced. The energy spectrum of the radiation and the production mechanism are investigated. The computational x-ray pulse shows a reasonable agreement with the experimental result. We also suggest that using alloyed wire-arrays can increase multi-keV K-shell yield by decreasing the opacity of K-shell lines. In addition, we use a detailed circuit model to study the energy coupling between the generator and the Z-pinch implosion. Recently, we are concentrating on the problems of Z-pinch driven ICF, such as dynamic hohlraum and capsule implosions. Our numerical investigations on the interaction of wire-array Z-pinches on foam convertors show qualitative agreements with experimental results on the “Qiangguang I” facility. An integrated two-dimensional simulation of dynamic hohlraum driven capsule implosion provides us the physical insights of

  8. Debris- and radiation-induced damage effects on EUV nanolithography source collector mirror optics performance

    NASA Astrophysics Data System (ADS)

    Allain, J. P.; Nieto, M.; Hendricks, M.; Harilal, S. S.; Hassanein, A.

    2007-05-01

    Exposure of collector mirrors facing the hot, dense pinch plasma in plasma-based EUV light sources to debris (fast ions, neutrals, off-band radiation, droplets) remains one of the highest critical issues of source component lifetime and commercial feasibility of nanolithography at 13.5-nm. Typical radiators used at 13.5-nm include Xe and Sn. Fast particles emerging from the pinch region of the lamp are known to induce serious damage to nearby collector mirrors. Candidate collector configurations include either multi-layer mirrors (MLM) or single-layer mirrors (SLM) used at grazing incidence. Studies at Argonne have focused on understanding the underlying mechanisms that hinder collector mirror performance at 13.5-nm under fast Sn or Xe exposure. This is possible by a new state-of-the-art in-situ EUV reflectometry system that measures real time relative EUV reflectivity (15-degree incidence and 13.5-nm) variation during fast particle exposure. Intense EUV light and off-band radiation is also known to contribute to mirror damage. For example offband radiation can couple to the mirror and induce heating affecting the mirror's surface properties. In addition, intense EUV light can partially photo-ionize background gas (e.g., Ar or He) used for mitigation in the source device. This can lead to local weakly ionized plasma creating a sheath and accelerating charged gas particles to the mirror surface and inducing sputtering. In this paper we study several aspects of debris and radiation-induced damage to candidate EUVL source collector optics materials. The first study concerns the use of IMD simulations to study the effect of surface roughness on EUV reflectivity. The second studies the effect of fast particles on MLM reflectivity at 13.5-nm. And lastly the third studies the effect of multiple energetic sources with thermal Sn on 13.5-nm reflectivity. These studies focus on conditions that simulate the EUVL source environment in a controlled way.

  9. Pulse Power Compression by Cutting a Dense Z-Pinch with a Laser Beam

    NASA Astrophysics Data System (ADS)

    Winterberg, F.

    1999-07-01

    A thin cut made through a z-pinch by an intense laser beam can become a magnetically insulated diode crossed by an intense ion beam. For larger cuts, the gap is crossed by an intense relativistic electron beam, stopped by magnetic bremsstrahlung resulting in a pointlike intense x-ray source. In either case, the impedance of the pinch discharge is increased, with the power delivered rising in the same pro-portion. A magnetically insulated cut is advantageous for three reasons: First, with the ion current com-parable to the Alfvèn ion current, the pinch instabilities are reduced. Second, with the energy deposit-ed into fast ions, a non-Maxwellian velocity distribution is established increasing<σ ν> value for nuclear fusion reactions taking place in the pinch discharge. Third, in a high density z-pinch plasma, the intense ion beam can launch a thermonuclear detonation wave propagating along the pinch discharge channel. For larger cuts the soft x-rays produced by magnetic bremsstrahlung can be used to drive a thermonuclear hohlraum target. Finally, the proposed pulse power compression scheme permits to use a cheap low power d.c. source charging a magnetic storage coil delivering the magnetically stored energy to the pinch discharge load by an exploding wire opening switch.

  10. A Multiple Z-Pinch Configuration for the Generation of High-Density, Magnetized Plasmas

    NASA Astrophysics Data System (ADS)

    Tarditi, Alfonso G.

    2015-11-01

    The z-pinch is arguably the most straightforward and economical approach for the generation and confinement of hot plasmas, with a long history of theoretical investigations and experimental developments. While most of the past studies were focused on countering the natural tendency of z-pinches to develop instabilities, this study attempts to take advantage of those unstable regimes to form a quasi-stable plasma, with higher density and temperature, possibly of interest for a fusion reactor concept. For this purpose, a configuration with four z-pinch discharges, with axis parallel to each other and symmetrically positioned, is considered. Electrodes for the generation of the discharges and magnetic coils are arranged to favor the formation of concave discharge patterns. The mutual attraction from the co-streaming discharge currents enhances this pattern, leading to bent plasma streams, all nearing towards the axis. This configuration is intended to excite and sustain a ``kink'' unstable mode for each z-pinch, eventually producing either plasmoid structures, detached from each discharge, or sustained kink patterns: both these cases appear to lead to plasmas merging in the central region. The feasibility of this approach in creating a higher density, hotter, meta-stable plasma regime is investigated computationally, addressing both the kink excitation phase and the dynamics of the converging plasma columns.

  11. The microscopic Z-pinch process of current-carrying rarefied deuterium plasma shell

    NASA Astrophysics Data System (ADS)

    Ning, Cheng; Feng, Zhixing; Xue, Chuang; Li, Baiwen

    2015-02-01

    For insight into the microscopic mechanism of Z-pinch dynamic processes, a code of two-dimensional particle-in-cell (PIC) simulation has been developed in cylindrical coordinates. In principle, the Z-pinch of current-carrying rarefied deuterium plasma shell has been simulated by means of this code. Many results related to the microscopic processes of the Z-pinch are obtained. They include the spatio-temporal distributions of electromagnetic field, current density, forces experienced by the ions and electrons, positions and energy distributions of particles, and trailing mass and current. In radial direction, the electric and magnetic forces exerted on the electrons are comparable in magnitude, while the forces exerted on the ions are mainly the electric forces. So in the Z-pinch process, the electrons are first accelerated in Z direction and get higher velocities; then, they are driven inwards to the axis at the same time by the radial magnetic forces (i.e., Lorentz forces) of them. That causes the separations between the electrons and ions because the ion mass is much larger than the electron's, and in turn a strong electrostatic field is produced. The produced electrostatic field attracts the ions to move towards the electrons. When the electrons are driven along the radial direction to arrive at the axis, they shortly move inversely due to the static repellency among them and their tiny mass, while the ions continue to move inertially inwards, and later get into stagnation, and finally scatter outwards. Near the stagnation, the energies of the deuterium ions mostly range from 0.3 to 6 keV, while the electron energies are mostly from 5 to 35 keV. The radial components, which can contribute to the pinched plasma temperature, of the most probable energies of electron and ion at the stagnation are comparable to the Bennett equilibrium temperature (about 1 keV), and also to the highest temperatures of electron and ion obtained in one dimensional radiation

  12. Fusion Propulsion Z-Pinch Engine Concept

    NASA Technical Reports Server (NTRS)

    Miernik, J.; Statham, G.; Fabisinski, L.; Maples, C. D.; Adams, R.; Polsgrove, T.; Fincher, S.; Cassibry, J.; Cortez, R.; Turner, M.; hide

    2011-01-01

    Fusion-based nuclear propulsion has the potential to enable fast interplanetary transportation. Due to the great distances between the planets of our solar system and the harmful radiation environment of interplanetary space, high specific impulse (Isp) propulsion in vehicles with high payload mass fractions must be developed to provide practical and safe vehicles for human spaceflight missions. The Z-Pinch dense plasma focus method is a Magneto-Inertial Fusion (MIF) approach that may potentially lead to a small, low cost fusion reactor/engine assembly1. Recent advancements in experimental and theoretical understanding of this concept suggest favorable scaling of fusion power output yield 2. The magnetic field resulting from the large current compresses the plasma to fusion conditions, and this process can be pulsed over short timescales (10(exp -6 sec). This type of plasma formation is widely used in the field of Nuclear Weapons Effects testing in the defense industry, as well as in fusion energy research. A Decade Module 2 (DM2), approx.500 KJ pulsed-power is coming to the RSA Aerophysics Lab managed by UAHuntsville in January, 2012. A Z-Pinch propulsion concept was designed for a vehicle based on a previous fusion vehicle study called "Human Outer Planet Exploration" (HOPE), which used Magnetized Target Fusion (MTF) 3 propulsion. The reference mission is the transport of crew and cargo to Mars and back, with a reusable vehicle.

  13. Polar Radiation-Flux Symmetry Measurements in Z-Pinch-Driven Hohlraums with Symmetric Double-Pinch Drive

    NASA Astrophysics Data System (ADS)

    Hanson, D. L.; Vesey, R. A.; Cuneo Porter, M. E., Jr.; Chandler, G. A.; Ruggles, L. E.; Simpson, W. W.; Seamen, H.; Primm, P.; Torres, J.; McGurn, J.; Gilliland, T. L.; Reynolds, P.; Hebron, D. E.; Dropinski, S. C.; Schroen-Carey, D. G.; Hammer, J. H.; Landen, O.; Koch, J.

    2000-10-01

    We are currently exploring symmetry requirements of the z-pinch-driven hohlraum concept [1] for high-yield inertial confinement fusion. In experiments on the Z accelerator, the burnthrough of a low-density self-backlit foam ball has been used to diagnose the large time-dependent flux asymmetry of several single-sided-drive hohlraum geometries [2]. We are currently applying this technique to study polar radiation flux symmetry in a symmetric double z-pinch geometry. Wire arrays on opposite ends of the hohlraum, connected in series to a single current drive of 18 MA, implode and stagnate on axis, efficiently radiating about 100 TW of x rays which heat the secondary to 75 eV. Comparisons with 3-D radiosity and 2-D rad-hydro models of hohlraum symmetry performance will be presented. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under Contract DE-AC04-94AL85000. 1 J. H. Hammer et al., Phys. Plasmas 6, 2129 (1999). 2 D. L. Hanson et al., Bull. Am. Phys. Soc. 44, 40 (1999).

  14. Coronal plasma development in wire-array z-pinches made of twisted-pairs

    NASA Astrophysics Data System (ADS)

    Hoyt, C. L.; Greenly, J. B.; Gourdain, P. A.; Knapp, P. F.; Pikuz, S. A.; Shelkovenko, T. A.; Hammer, D. A.; Kusse, B. R.

    2009-11-01

    We have investigated coronal and core plasma development in wire array z-pinches in which single fine wires are replaced by twisted-pairs (``cable'') on the 1 MA, 100 ns rise time COBRA pulsed power generator. X-ray radiography, employed to investigate dense wire core expansion, showed periodic axial nonuniformity and evidence for shock waves developing where the individual wire plasmas collide. Laser shadowgraphy images indicated that the axial instability properties of the coronal plasma are substantially modified from ordinary wire arrays. Cable mass per unit length, material and the twist wavelength were varied in order to study their effects upon the instability wavelength. Implosion uniformity and bright-spot formation, as well as magnetic topology evolution, have also been investigated using self-emission imaging, x-ray diagnostics and small B-dot probes, respectively. Results from the cable-array z-pinches will be compared with results from ordinary wire-array z-pinches. This research was supported by the SSAA program of the National Nuclear Security Administration under DOE Cooperative agreement DE-FC03-02NA00057.

  15. Increasing Plasma Parameters using Sheared Flow Stabilization of a Z-Pinch

    NASA Astrophysics Data System (ADS)

    Shumlak, Uri

    2016-10-01

    Recent experiments on the ZaP Flow Z-Pinch at the University of Washington have been successful in compressing the plasma column to smaller radii, producing the predicted increases in plasma density (1018 cm-3), temperature (200 eV), and magnetic fields (4 T), while maintaining plasma stability for many Alfven times (over 40 μs) using sheared plasma flows. These results indicate the suitability of the device as a discovery science platform for astrophysical and high energy density plasma research, and keeps open a possible path to achieving burning plasma conditions in a compact fusion device. Long-lived Z-pinch plasmas have been produced with dimensions of 1 cm radius and 100 cm long that are stabilized by sheared axial flows for over 1000 Alfven radial transit times. The observed plasma stability is coincident with the presence of a sheared flow as measured by time-resolved multi-chord ion Doppler spectroscopy applied to impurity ion radiation. These measurements yield insights into the evolution of the velocity profile and show that the stabilizing behavior of flow shear agrees with theoretical calculations and 2-D MHD computational simulations. The flow shear value, extent, and duration are shown to be consistent with theoretical models of the plasma viscosity, which places a design constraint on the maximum axial length of a sheared flow stabilized Z-pinch. Measurements of the magnetic field topology indicate simultaneous azimuthal symmetry and axial uniformity along the entire 100 cm length of the Z-pinch plasma. Separate control of plasma acceleration and compression have increased the accessible plasma parameters and have generated stable plasmas with radii below 0.5 cm, as measured with a high resolution digital holographic interferometer. This work was supported by Grants from U.S. DOE, NNSA, and ARPA-E.

  16. Wire-number effects on high-power annular z-pinches and some characteristics at high wire number

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SANFORD,THOMAS W. L.

    2000-05-23

    Characteristics of annular wire-array z-pinches as a function of wire number and at high wire number are reviewed. The data, taken primarily using aluminum wires on Saturn are comprehensive. The experiments have provided important insights into the features of wire-array dynamics critical for high x-ray power generation, and have initiated a renaissance in z-pinches when high numbers of wires are used. In this regime, for example, radiation environments characteristic of those encountered during the early pulses required for indirect-drive ICF ignition on the NIF have been produced in hohlraums driven by x-rays from a z-pinch, and are commented on here.

  17. Scaling the Shear-flow Stabilized Z-pinch to Reactor Conditions

    NASA Astrophysics Data System (ADS)

    McLean, H. S.; Schmidt, A.; Shumlak, U.; Nelson, B. A.; Golingo, R. P.; Cleveau, E.

    2015-11-01

    We present a conceptual design along with scaling calculations for a pulsed fusion reactor based on the shear-flow-stabilized Z-pinch device. Experiments performed on the ZaP device, at the University of Washington, have demonstrated stable operation for durations of 20 usec at ~100kA discharge current for pinches that are ~1 cm in diameter and 100 cm long. The inverse of the pinch diameter and plasma energy density scale strongly with pinch current and calculations show that maintaining stabilization durations of ~7 usec for increased discharge current (~15x) in a shortened pinch (10 cm) results in a pinch diameter of ~200 um and plasma conditions that approach those needed to support significant fusion burn and energy gain (Ti ~ 30keV, density ~ 3e26/m3, ntau ~1.4e20 sec/m3). Compelling features of the concept include operation at modest discharge current (1.5 MA) and voltage (40kV) along with direct adoption of liquid metals for at least one electrode--technological capabilities that have been proven in existing, commercial, pulse power devices such as large ignitrons. LLNL-ABS-674920. This work performed under the auspices of the U.S. Department of Energy ARPAe ALPHA Program by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.

  18. Ptychographic imaging with partially coherent plasma EUV sources

    NASA Astrophysics Data System (ADS)

    Bußmann, Jan; Odstrčil, Michal; Teramoto, Yusuke; Juschkin, Larissa

    2017-12-01

    We report on high-resolution lens-less imaging experiments based on ptychographic scanning coherent diffractive imaging (CDI) method employing compact plasma sources developed for extreme ultraviolet (EUV) lithography applications. Two kinds of discharge sources were used in our experiments: a hollow-cathode-triggered pinch plasma source operated with oxygen and for the first time a laser-assisted discharge EUV source with a liquid tin target. Ptychographic reconstructions of different samples were achieved by applying constraint relaxation to the algorithm. Our ptychography algorithms can handle low spatial coherence and broadband illumination as well as compensate for the residual background due to plasma radiation in the visible spectral range. Image resolution down to 100 nm is demonstrated even for sparse objects, and it is limited presently by the sample structure contrast and the available coherent photon flux. We could extract material properties by the reconstruction of the complex exit-wave field, gaining additional information compared to electron microscopy or CDI with longer-wavelength high harmonic laser sources. Our results show that compact plasma-based EUV light sources of only partial spatial and temporal coherence can be effectively used for lens-less imaging applications. The reported methods may be applied in combination with reflectometry and scatterometry for high-resolution EUV metrology.

  19. Magnetoelectric confinement and stabilization of Z pinch in a soft-x-ray Ar(+8) laser.

    PubMed

    Szasz, J; Kiss, M; Santa, I; Szatmari, S; Kukhlevsky, S V

    2013-05-03

    Magnetoelectric confinement and stabilization of the plasma column in a soft-x-ray Ar(+8) laser, which is excited by a capillary Z pinch, via the combined magnetic and electric fields of the gliding surface discharge is experimentally demonstrated. Unlike soft-x-ray lasers excited by the conventional capillary Z pinches, the magnetoelectric confinement and stabilization of plasma do provide the laser operation without using any external preionization circuit.

  20. Measurement and analysis of x-ray absorption in Al and MgF2 plasmas heated by Z-pinch radiation.

    PubMed

    Rochau, Gregory A; Bailey, J E; Macfarlane, J J

    2005-12-01

    High-power Z pinches on Sandia National Laboratories' Z facility can be used in a variety of experiments to radiatively heat samples placed some distance away from the Z-pinch plasma. In such experiments, the heating radiation spectrum is influenced by both the Z-pinch emission and the re-emission of radiation from the high-Z surfaces that make up the Z-pinch diode. To test the understanding of the amplitude and spectral distribution of the heating radiation, thin foils containing both Al and MgF2 were heated by a 100-130 TW Z pinch. The heating of these samples was studied through the ionization distribution in each material as measured by x-ray absorption spectra. The resulting plasma conditions are inferred from a least-squares comparison between the measured spectra and calculations of the Al and Mg 1s-->2p absorption over a large range of temperatures and densities. These plasma conditions are then compared to radiation-hydrodynamics simulations of the sample dynamics and are found to agree within 1sigma to the best-fit conditions. This agreement indicates that both the driving radiation spectrum and the heating of the Al and MgF2 samples is understood within the accuracy of the spectroscopic method.

  1. A Reactor Development Scenario for the FUZE Shear-flow Stabilized Z-pinch

    NASA Astrophysics Data System (ADS)

    McLean, H. S.; Higginson, D. P.; Schmidt, A.; Tummel, K. K.; Shumlak, U.; Nelson, B. A.; Claveau, E. L.; Golingo, R. P.; Weber, T. R.

    2016-10-01

    We present a conceptual design, scaling calculations, and a development path for a pulsed fusion reactor based on the shear-flow-stabilized Z-pinch device. Experiments performed on the ZaP device have demonstrated stable operation for 40 us at 150 kA total discharge current (with 100 kA in the pinch) for pinches that are 1cm in diameter and 100 cm long. Scaling calculations show that achieving stabilization for a pulse of 100 usec, for discharge current 1.5 MA, in a shortened pinch 50 cm, results in a pinch diameter of 200 um and a reactor plant Q 5 for reasonable assumptions of the various system efficiencies. We propose several key intermediate performance levels in order to justify further development. These include achieving operation at pinch currents of 300 kA, where Te and Ti are calculated to exceed 1 keV, 700 kA where fusion power exceeds pinch input power, and 1 MA where fusion energy per pulse exceeds input energy per pulse. This work funded by USDOE ARPAe ALPHA Program and performed under the auspices of Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344. LLNL-ABS-697801.

  2. Hotspot ignition using a Z-pinch precursor plasma in a magneto-inertial ICF scheme

    NASA Astrophysics Data System (ADS)

    Chittenden, J. P.; Vincent, P.; Jennings, C. A.; Ciardi, A.

    2006-01-01

    Precursor plasma flow is a common feature of wire array Z-pinches. The precursor flow represents a fraction of the mass of the array which arrives on the axis early in time and remains confined at high density by the inertia of further material bombarding the axis. Later on, the main implosion of the Z-pinch then compresses this precursor to substantially higher density. We show that if the same system can be generated with a Deuterium-Tritium plasma then the precursor provides an ideal target for a cylindrical magneto-inertial ICF scheme. The implosion of the DT Z-pinch produces a dense, low temperature shell which compressively heats the precursor target to high temperatures and tamps its expansion. The azimuthal magnetic field in the hotspot is sufficient to reduce the Larmor radius for the alpha particles to much less than the hotspot size, which dramatically reduces the pR required for ignition. A computational analysis of this approach is presented, including a study of the thermonuclear burn wave propagation. The robustness of the scheme with respect to instabilities, confinement time and drive parameters is examined. The results indicate that a high energy gain can be achieved using Z-pinches with 50-100 MA currents and a few hundred nanosecond rise-times. This work was partially supported by the U.S. Department of Energy through cooperative agreement DE-FC03-02NA00057.

  3. AN ACCELERATION MECHANISM FOR NEUTRON PRODUCTION IN Z-PINCH DISCHARGES,

    DTIC Science & Technology

    A model has been developed for the acceleration of deuterons in the tightly compressed column of a z-pinch discharge, in particular that of a plasma ... focus discharge. It was assumed that an annular current distribution undergoes a rapidly contracting transition to an axially peaked distribution, and

  4. Measurement and analysis of x-ray absorption in Al and MgF2 plasmas heated by Z-pinch radiation.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    MacFarlane, Joseph John; Rochau, Gregory Alan; Bailey, James E.

    2005-06-01

    High-power Z pinches on Sandia National Laboratories Z facility can be used in a variety of experiments to radiatively heat samples placed some distance away from the Z-pinch plasma. In such experiments, the heating radiation spectrum is influenced by both the Z-pinch emission and the re-emission of radiation from the high-Z surfaces that make up the Z-pinch diode. To test the understanding of the amplitude and spectral distribution of the heating radiation, thin foils containing both Al and MgF{sub 2} were heated by a 100-130 TW Z pinch. The heating of these samples was studied through the ionization distribution inmore » each material as measured by x-ray absorption spectra. The resulting plasma conditions are inferred from a least-squares comparison between the measured spectra and calculations of the Al and Mg 1s {yields} 2p absorption over a large range of temperatures and densities. These plasma conditions are then compared to radiation-hydrodynamics simulations of the sample dynamics and are found to agree within 1{sigma} to the best-fit conditions. This agreement indicates that both the driving radiation spectrum and the heating of the Al and MgF{sub 2} samples is understood within the accuracy of the spectroscopic method.« less

  5. The inverse skin effect in the Z-pinch and plasma focus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Usenko, P. L., E-mail: otd4@expd.vniief.ru; Gaganov, V. V.

    The inverse skin effect and its influence on the dynamics of high-current Z-pinch and plasma focus discharges in deuterium are analyzed. It is shown that the second compression responsible for the major fraction of the neutron yield can be interpreted as a result of the inverse skin effect resulting in the axial concentration of the longitudinal current density and the appearance of a reversed current in the outer layers of plasma pinches. Possible conditions leading to the enhancement of the inverse skin effect and accessible for experimental verification by modern diagnostics are formulated.

  6. A Reactor Development Scenario for the FuZE Sheared-Flow Stabilized Z-pinch

    NASA Astrophysics Data System (ADS)

    McLean, Harry S.; Higginson, D. P.; Schmidt, A.; Tummel, K. K.; Shumlak, U.; Nelson, B. A.; Claveau, E. L.; Forbes, E. G.; Golingo, R. P.; Stepanov, A. D.; Weber, T. R.; Zhang, Y.

    2017-10-01

    We present a conceptual design, scaling calculations, and development path for a pulsed fusion reactor based on a flow-stabilized Z-pinch. Experiments performed on the ZaP and ZaP-HD devices have largely demonstrated the basic physics of sheared-flow stabilization at pinch currents up to 100 kA. Initial experiments on the FuZE device, a high-power upgrade of ZaP, have achieved 20 usec of stability at pinch current 100-200 kA and pinch diameter few mm for a pinch length of 50 cm. Scaling calculations based on a quasi-steady-state power balance show that extending stable duration to 100 usec at a pinch current of 1.5 MA and pinch length of 50 cm, results in a reactor plant Q 5. Future performance milestones are proposed for pinch currents of: 300 kA, where Te and Ti are calculated to exceed 1-2 keV; 700 kA, where DT fusion power would be expected to exceed pinch input power; and 1 MA, where fusion energy per pulse exceeds input energy per pulse. This work funded by USDOE ARPA-E and performed under the auspices of Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344. LLNL-ABS-734770.

  7. Z-Pinch Magneto-Inertial Fusion Propulsion Engine Design Concept

    NASA Technical Reports Server (NTRS)

    Miernik, Janie H.; Statham, Geoffrey; Adams, Robert B.; Polsgrove, Tara; Fincher, Sharon; Fabisinski, Leo; Maples, C. Dauphne; Percy, Thomas K.; Cortez, Ross J.; Cassibry, Jason

    2011-01-01

    Fusion-based nuclear propulsion has the potential to enable fast interplanetary transportation. Due to the great distances between the planets of our solar system and the harmful radiation environment of interplanetary space, high specific impulse (Isp) propulsion in vehicles with high payload mass fractions must be developed to provide practical and safe vehicles for human spaceflight missions. Magneto-Inertial Fusion (MIF) is an approach which has been shown to potentially lead to a low cost, small fusion reactor/engine assembly (1). The Z-Pinch dense plasma focus method is an MIF concept in which a column of gas is compressed to thermonuclear conditions by an estimated axial current of approximately 100 MA. Recent advancements in experiments and the theoretical understanding of this concept suggest favorable scaling of fusion power output yield as I(sup 4) (2). The magnetic field resulting from the large current compresses the plasma to fusion conditions, and this is repeated over short timescales (10(exp -6) sec). This plasma formation is widely used in the field of Nuclear Weapons Effects (NWE) testing in the defense industry, as well as in fusion energy research. There is a wealth of literature characterizing Z-Pinch physics and existing models (3-5). In order to be useful in engineering analysis, a simplified Z-Pinch fusion thermodynamic model was developed to determine the quantity of plasma, plasma temperature, rate of expansion, energy production, etc. to calculate the parameters that characterize a propulsion system. The amount of nuclear fuel per pulse, mixture ratio of the D-T and nozzle liner propellant, and assumptions about the efficiency of the engine, enabled the sizing of the propulsion system and resulted in an estimate of the thrust and Isp of a Z-Pinch fusion propulsion system for the concept vehicle. MIF requires a magnetic nozzle to contain and direct the nuclear pulses, as well as a robust structure and radiation shielding. The structure

  8. Diagnostics for Z-pinch implosion experiments on PTS

    NASA Astrophysics Data System (ADS)

    Ren, X. D.; Huang, X. B.; Zhou, S. T.; Zhang, S. Q.; Dan, J. K.; Li, J.; Cai, H. C.; Wang, K. L.; Ouyang, K.; Xu, Q.; Duan, S. C.; Chen, G. H.; Wang, M.; Feng, S. P.; Yang, L. B.; Xie, W. P.; Deng, J. J.

    2014-12-01

    The preliminary experiments of wire array implosion were performed on PTS, a 10 MA z-pinch driver with a 70 ns rise time. A set of diagnostics have been developed and fielded on PTS to study pinch physics and implosion dynamics of wire array. Radiated power measurement for soft x-rays was performed by multichannel filtered x-ray diode array, and flat spectral responses x-ray diode detector. Total x-ray yield was measured by a calibrated, unfiltered nickel bolometer which was also used to obtain pinch power. Multiple time-gated pinhole cameras were used to produce spatial-resolved images of x-ray self-emission from plasmas. Two time-integrated pinhole cameras were used respectively with 20-μm Be filter and with multilayer mirrors to record images produced by >1-keV and 277±5 eV self-emission. An optical streak camera was used to produce radial implosion trajectories, and an x-ray streak camera paired with a horizontal slit was used to record a continuous time-history of emission with one-dimensional spatial resolution. A frequency-doubled Nd:YAG laser (532 nm) was used to produce four frame laser shadowgraph images with 6 ns time interval. We will briefly describe each of these diagnostics and present some typical results from them.

  9. History of HERMES III diode to z-pinch breakthrough and beyond :

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanford, Thomas Williamlou.

    HERMES III and Z are two flagship accelerators of Sandias pulsed-power program developed to generate intense -ray fields for the study of nuclear radiation effects, and to explore high energy-density physics (including the production of intense x-ray fields for Inertia Confinement Fusion [ICF]), respectively. A diode at the exit of HERMES III converts its 20-MeV electron beam into -rays. In contrast, at the center of Z, a z-pinch is used to convert its 20-MA current into an intense burst of x-rays. Here the history of how the HERMES III diode emerged from theoretical considerations to actual hardware is discussed. Next,more » the reverse process of how the experimental discovery of wire-array stabilization in a z-pinch, led to a better theory of wirearray implosions and its application to one of the ICF concepts on Z--the DH (Dynamic Hohlraum) is reviewed. Lastly, the report concludes with how the unexpected axial radiation asymmetry measured in the DH is understood. The first discussion illustrates the evolution of physics from theory-to-observationto- refinement. The second two illustrate the reverse process of observationto- theory-to refinement. The histories are discussed through the vehicle of my research at Sandia, illustrating the unique environment Sandia provides for personal growth and development into a scientific leader.« less

  10. Simulations of Ar gas-puff Z-pinch radiation sources with double shells and central jets on the Z generator

    DOE PAGES

    Tangri, V.; Harvey-Thompson, Adam James; Giuliani, J. L.; ...

    2016-10-19

    Radiation-magnetohydrodynamic simulations using the non-LTE Mach2-TCRE code in (r,z) geometry are performed for two pairs of recent Ar gas-puff Z-pinch experiments on the refurbished Z generator with an 8 cm diameter nozzle. One pair of shots had an outer-to-inner shell mass ratio of 1:1.6 and a second pair had a ratio of 1:1.

  11. A short-pulse mode for the SPHINX LTD Z-pinch driver

    NASA Astrophysics Data System (ADS)

    D'Almeida, Thierry; Lassalle, Francis; Zucchini, Frederic; Loyen, Arnaud; Morell, Alain; Chuvatin, Alexander

    2015-11-01

    The SPHINX machine is a 6MA, 1 μs, LTD Z-pinch driver at CEA Gramat (France) and primarily used for studying radiation effects. Different power amplification concepts were examined in order to reduce the current rise time without modifying the generator discharge scheme, including the Dynamic Load Current Multiplier (DLCM) proposed by Chuvatin. A DLCM device, capable of shaping the current pulse without reducing the rise time, was developed at CEA. This device proved valuable for isentropic compression experiments in cylindrical geometry. Recently, we achieved a short pulse operation mode by inserting a vacuum closing switch between the DLCM and the load. The current rise time was reduced to ~300 ns. We explored the use of a reduced-height wire array for the Dynamic Flux Extruder in order to improve the wire array compression rate and increase the efficiency of the current transfer to the load. These developments are presented. Potential benefits of these developments for future Z pinch experiments are discussed.

  12. Capsule symmetry sensitivity and hohlraum symmetry calculations for the z-pinch driven hohlraum high-yield concept

    NASA Astrophysics Data System (ADS)

    Vesey, Roger; Cuneo, M. E.; Hanson Porter, D. L., Jr.; Mehlhorn, T. A.; Ruggles, L. E.; Simpson, W. W.; Hammer, J. H.; Landen, O.

    2000-10-01

    Capsule radiation symmetry is a crucial issue in the design of the z-pinch driven hohlraum approach to high-yield inertial confinement fusion [1]. Capsule symmetry may be influenced by power imbalance of the two z-pinch x-ray sources, and by hohlraum effects (geometry, time-dependent albedo, wall motion). We have conducted two-dimensional radiation-hydrodynamics calculations to estimate the symmetry sensitivity of the 220 eV beryllium ablator capsule that nominally yields 400 MJ in this concept. These estimates then determine the symmetry requirements to be met by the hohlraum design (for even Legendre modes) and by the top-bottom pinch imbalance and mistiming (for odd Legendre modes). We have used a combination of 2- and 3-D radiosity ("viewfactor"), and 2-D radiation-hydrodynamics calculations to identify hohlraum geometries that meet these symmetry requirements for high-yield, and are testing these models against ongoing Z foam ball symmetry experiments. 1. J. H. Hammer et al., Phys. Plas. 6, 2129 (1999).

  13. Preliminary experimental results of tungsten wire-array Z-pinches on primary test stand

    NASA Astrophysics Data System (ADS)

    Huang, Xian-Bin; Zhou, Shao-Tong; Dan, Jia-Kun; Ren, Xiao-Dong; Wang, Kun-Lun; Zhang, Si-Qun; Li, Jing; Xu, Qiang; Cai, Hong-Chun; Duan, Shu-Chao; Ouyang, Kai; Chen, Guang-Hua; Ji, Ce; Wei, Bing; Feng, Shu-Ping; Wang, Meng; Xie, Wei-Ping; Deng, Jian-Jun; Zhou, Xiu-Wen; Yang, Yi

    2015-07-01

    The Primary Test Stand (PTS) developed at the China Academy of Engineering Physics is a 20 TW pulsed power driver, which can deliver a ˜10 MA, 70 ns rise-time (10%-90%) current to a short-circuit load and has important applications in Z-pinch driven inertial confinement fusion and high energy density physics. Preliminary results of tungsten wire-array Z-pinch experiments on PTS are presented. The load geometries investigated include 15-mm-tall cylindrical single and nested arrays with diameter ranging from 13 mm to 30 mm, consisting of 132-300 tungsten wires with 5-10 μm in diameter. Multiple diagnostics were fielded to characterize the x-ray radiation from wire-array Z pinches. The x-ray peak power (˜50 TW) and total radiated energy (˜500 kJ) were obtained from a single 20-mm-diam array with 80-ns stagnation time. The highest x-ray peak power up to 80 TW with 2.4 ns FWHM was achieved by using a nested array with 20-mm outer diameter, and the total x-ray energy from the nested array is comparable to that of single array. Implosion velocity estimated from the time-resolved image measurement exceeds 30 cm/μs. The detailed experimental results and other findings are presented and discussed.

  14. Digital holographic interferometry employing Fresnel transform reconstruction for the study of flow shear stabilized Z-pinch plasmas.

    PubMed

    Ross, M P; Shumlak, U

    2016-10-01

    The ZaP-HD flow Z-pinch project provides a platform to explore how shear flow stabilized Z-pinches could scale to high-energy-density plasma (plasma with pressures exceeding 1 Mbar) and fusion reactor conditions. The Z-pinch is a linear plasma confinement geometry in which the plasma carries axial electric current and is confined by its self-induced magnetic field. ZaP-HD generates shear stabilized, axisymmetric Z-pinches with stable lifetimes approaching 60 μs. The goal of the project is to increase the plasma density and temperature compared to the previous ZaP project by compressing the plasma to smaller radii (≈1 mm). Radial and axial plasma electron density structure is measured using digital holographic interferometry (DHI), which provides the necessary fine spatial resolution. ZaP-HD's DHI system uses a 2 ns Nd:YAG laser pulse with a second harmonic generator (λ = 532 nm) to produce holograms recorded by a Nikon D3200 digital camera. The holograms are numerically reconstructed with the Fresnel transform reconstruction method to obtain the phase shift caused by the interaction of the laser beam with the plasma. This provides a two-dimensional map of line-integrated electron density, which can be Abel inverted to determine the local number density. The DHI resolves line-integrated densities down to 3 × 10 20 m -2 with spatial resolution near 10 μm. This paper presents the first application of Fresnel transform reconstruction as an analysis technique for a plasma diagnostic, and it analyzes the method's accuracy through study of synthetic data. It then presents an Abel inversion procedure that utilizes data on both sides of a Z-pinch local number density profile to maximize profile symmetry. Error estimation and Abel inversion are applied to the measured data.

  15. Implosion dynamics of condensed Z-pinch at the Angara-5-1 facility

    NASA Astrophysics Data System (ADS)

    Aleksandrov, V. V.; Grabovski, E. V.; Gritsuk, A. N.; Volobuev, I. V.; Kazakov, E. D.; Kalinin, Yu. G.; Korolev, V. D.; Laukhin, Ya. I.; Medovshchikov, S. F.; Mitrofanov, K. N.; Oleinik, G. M.; Pimenov, V. G.; Smirnova, E. A.; Ustroev, G. I.; Frolov, I. N.

    2017-08-01

    The implosion dynamics of a condensed Z-pinch at load currents of up to 3.5 MA and a current rise time of 100 ns was studied experimentally at the Angara-5-1 facility. To increase the energy density, 1- to 3-mm-diameter cylinders made of a deuterated polyethylene-agar-agar mixture or microporous deuterated polyethylene with a mass density of 0.03-0.5 g/cm3 were installed in the central region of the loads. The plasma spatiotemporal characteristics were studied using the diagnostic complex of the Angara-5-1 facility, including electron-optical streak and frame imaging, time-integrated X-ray imaging, soft X-ray (SXR) measurements, and vacuum UV spectroscopy. Most information on the plasma dynamics was obtained using a ten-frame X-ray camera ( E > 100 eV) with an exposure of 4 ns. SXR pulses were recorded using photoemissive vacuum X-ray detectors. The energy characteristics of neutron emission were measured using the time-offlight method with the help of scintillation detectors arranged along and across the pinch axis. The neutron yield was measured by activation detectors. The experimental results indicate that the plasma dynamics depends weakly on the load density. As a rule, two stages of plasma implosion were observed. The formation of hot plasma spots in the initial stage of plasma expansion from the pinch axis was accompanied by short pulses of SXR and neutron emission. The neutron yield reached (0.4-3) × 1010 neutrons/shot and was almost independent of the load density due to specific features of Z-pinch dynamics.

  16. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  17. A conservative MHD scheme on unstructured Lagrangian grids for Z-pinch hydrodynamic simulations

    NASA Astrophysics Data System (ADS)

    Wu, Fuyuan; Ramis, Rafael; Li, Zhenghong

    2018-03-01

    A new algorithm to model resistive magnetohydrodynamics (MHD) in Z-pinches has been developed. Two-dimensional axisymmetric geometry with azimuthal magnetic field Bθ is considered. Discretization is carried out using unstructured meshes made up of arbitrarily connected polygons. The algorithm is fully conservative for mass, momentum, and energy. Matter energy and magnetic energy are managed separately. The diffusion of magnetic field is solved using a derivative of the Symmetric-Semi-Implicit scheme, Livne et al. (1985) [23], where unconditional stability is obtained without needing to solve large sparse systems of equations. This MHD package has been integrated into the radiation-hydrodynamics code MULTI-2D, Ramis et al. (2009) [20], that includes hydrodynamics, laser energy deposition, heat conduction, and radiation transport. This setup allows to simulate Z-pinch configurations relevant for Inertial Confinement Fusion.

  18. Investigation of trailing mass in Z-pinch implosions and comparison to experiment

    NASA Astrophysics Data System (ADS)

    Yu, Edmund

    2007-11-01

    Wire-array Z pinches represent efficient, high-power x-ray sources with application to inertial confinement fusion, high energy density plasmas, and laboratory astrophysics. The first stage of a wire-array Z pinch is described by a mass ablation phase, during which stationary wires cook off material, which is then accelerated radially inwards by the JxB force. The mass injection rate varies axially and azimuthally, so that once the ablation phase concludes, the subsequent implosion is highly 3D in nature. In particular, a network of trailing mass and current is left behind the imploding plasma sheath, which can significantly affect pinch performance. In this work we focus on the implosion phase, electing to model the mass ablation via a mass injection scheme. Such a scheme has a number of injection parameters, but this freedom also allows us to gain understanding into the nature of the trailing mass network. For instance, a new result illustrates the role of azimuthal correlation. For an implosion which is 100% azimuthally correlated (corresponding to an azimuthally symmetric 2D r-z problem), current is forced to flow on the imploding plasma sheath, resulting in strong Rayleigh-Taylor (RT) growth. If, however, the implosion is not azimuthally symmetric, the additional azimuthal degree of freedom opens up new conducting paths of lower magnetic energy through the trailing mass network, effectively reducing RT growth. Consequently the 3D implosion experiences lower RT growth than the 2D r-z equivalent, and actually results in a more shell-like implosion. A second major goal of this work is to constrain the injection parameters by comparison to a well-diagnosed experimental data set, in which array mass was varied. In collaboration with R. Lemke, M. Desjarlais, M. Cuneo, C. Jennings, D. Sinars, E. Waisman

  19. Primary experimental results of wire-array Z-pinches on PTS

    NASA Astrophysics Data System (ADS)

    Huang, X. B.; Zhou, S. T.; Ren, X. D.; Dan, J. K.; Wang, K. L.; Zhang, S. Q.; Li, J.; Xu, Q.; Cai, H. C.; Duan, S. C.; Ouyang, K.; Chen, G. H.; Ji, C.; Wang, M.; Feng, S. P.; Yang, L. B.; Xie, W. P.; Deng, J. J.

    2014-12-01

    The Primary Test Stand (PTS) developed at the China Academy of Engineering Physics is a multiterawatt pulsed power driver, which can deliver a ˜10 MA, 70 ns rise-time (10%-90%) current to a short circuit load and has important applications in Z-pinch driven inertial confinement fusion and high energy density physics. In this paper, primary results of tungsten wire-array Z-pinch experiments on PTS are presented. The load geometries investigated include 15-mm-tall cylindrical single and nested arrays with diameter ranging from 14.4-26.4 mm, and consisting of 132˜276 tungsten wires with 5˜10 μm in diameter. Multiple diagnostics were fielded to determine the characteristics of x-ray radiations and to obtain self-emitting images of imploding plasmas. X-ray power up to 80 TW with ˜3 ns FWMH is achieved by using nested wire arrays. The total x-ray energy exceeds 500 kJ and the peak radiation temperature is about 150 eV. Typical velocity of imploding plasmas goes around 3˜5×107 cm/s and the radial convergence ratio is between 10 and 20.

  20. Multicolor, time-gated, soft x-ray pinhole imaging of wire array and gas puff Z pinches on the Z and Saturn pulsed power generators.

    PubMed

    Jones, B; Coverdale, C A; Nielsen, D S; Jones, M C; Deeney, C; Serrano, J D; Nielsen-Weber, L B; Meyer, C J; Apruzese, J P; Clark, R W; Coleman, P L

    2008-10-01

    A multicolor, time-gated, soft x-ray pinhole imaging instrument is fielded as part of the core diagnostic set on the 25 MA Z machine [M. E. Savage et al., in Proceedings of the Pulsed Power Plasma Sciences Conference (IEEE, New York, 2007), p. 979] for studying intense wire array and gas puff Z-pinch soft x-ray sources. Pinhole images are reflected from a planar multilayer mirror, passing 277 eV photons with <10 eV bandwidth. An adjacent pinhole camera uses filtration alone to view 1-10 keV photons simultaneously. Overlaying these data provides composite images that contain both spectral as well as spatial information, allowing for the study of radiation production in dense Z-pinch plasmas. Cu wire arrays at 20 MA on Z show the implosion of a colder cloud of material onto a hot dense core where K-shell photons are excited. A 528 eV imaging configuration has been developed on the 8 MA Saturn generator [R. B. Spielman et al., and A. I. P. Conf, Proc. 195, 3 (1989)] for imaging a bright Li-like Ar L-shell line. Ar gas puff Z pinches show an intense K-shell emission from a zippering stagnation front with L-shell emission dominating as the plasma cools.

  1. Radiation characteristics and implosion dynamics of tungsten wire array Z-pinches on the YANG accelerator

    NASA Astrophysics Data System (ADS)

    Huang, Xian-Bin; Yang, Li-Bing; Li, Jing; Zhou, Shao-Tong; Ren, Xiao-Dong; Zhang, Si-Qun; Dan, Jia-Kun; Cai, Hong-Chun; Duan, Shu-Chao; Chen, Guang-Hua; Zhang, Zheng-Wei; Ouyang, Kai; Li, Jun; Zhang, Zhao-Hui; Zhou, Rong-Guo; Wang, Gui-Lin

    2012-05-01

    We investigated the radiation characteristics and implosion dynamics of low-wire-number cylindrical tungsten wire array Z-pinches on the YANG accelerator with a peak current 0.8-1.1 MA and a rising time ~ 90 ns. The arrays are made up of (8-32) × 5 μm wires 6/10 mm in diameter and 15 mm in height. The highest X-ray power obtained in the experiments was about 0.37 TW with the total radiation energy ~ 13 kJ and the energy conversion efficiency ~ 9% (24 × 5 μm wires, 6 mm in diameter). Most of the X-ray emissions from tungsten Z-pinch plasmas were distributed in the spectral band of 100-600 eV, peaked at 250 and 375 eV. The dominant wavelengths of the wire ablation and the magneto-Rayleigh—Taylor instability were found and analyzed through measuring the time-gated self-emission and laser interferometric images. Through analyzing the implosion trajectories obtained by an optical streak camera, the run-in velocities of the Z-pinch plasmas at the end of the implosion phase were determined to be about (1.3-2.1) × 107 cm/s.

  2. Effect of driver impedance on dense plasma focus Z-pinch neutron yield

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sears, Jason, E-mail: sears8@llnl.gov, E-mail: schmidt36@llnl.gov; Link, Anthony, E-mail: sears8@llnl.gov, E-mail: schmidt36@llnl.gov; Schmidt, Andrea, E-mail: sears8@llnl.gov, E-mail: schmidt36@llnl.gov

    2014-12-15

    The Z-pinch phase of a dense plasma focus (DPF) heats the plasma by rapid compression and accelerates ions across its intense electric fields, producing neutrons through both thermonuclear and beam-target fusion. Driver characteristics have empirically been shown to affect performance, as measured by neutron yield per unit of stored energy. We are exploring the effect of driver characteristics on DPF performance using particle-in-cell (PIC) simulations of a kJ scale DPF. In this work, our PIC simulations are fluid for the run-down phase and transition to fully kinetic for the pinch phase, capturing kinetic instabilities, anomalous resistivity, and beam formation duringmore » the pinch. The anode-cathode boundary is driven by a circuit model of the capacitive driver, including system inductance, the load of the railgap switches, the guard resistors, and the coaxial transmission line parameters. It is known that the driver impedance plays an important role in the neutron yield: first, it sets the peak current achieved at pinch time; and second, it affects how much current continues to flow through the pinch when the pinch inductance and resistance suddenly increase. Here we show from fully kinetic simulations how total neutron yield depends on the impedance of the driver and the distributed parameters of the transmission circuit. Direct comparisons between the experiment and simulations enhance our understanding of these plasmas and provide predictive design capability for neutron source applications.« less

  3. Staged Z-pinch Experiments on Cobra and Zebra

    NASA Astrophysics Data System (ADS)

    Wessel, Frank J.; Anderson, A.; Banasek, J. T.; Byvank, T.; Conti, F.; Darling, T. W.; Dutra, E.; Glebov, V.; Greenly, J.; Hammer, D. A.; Potter, W. M.; Rocco, S. V.; Ross, M. P.; Ruskov, E.; Valenzuela, J.; Beg, F.; Covington, A.; Narkis, J.; Rahman, H. U.

    2017-10-01

    A Staged Z-pinch (SZP), configured as a pre-magnetized, high-Z (Ar, or Kr) annular liner imploding onto a low-Z (H, or D) target, was tested on the Cornell University, Cobra Facility and the University of Nevada, Reno, Zebra Facility; each characterized similarly by a nominal 1-MA current and 100-ns risetime while possessing different diagnostic packages. XUV-fast imaging reveals that the SZP implosion dynamics is similar on both machines and that it is more stable with an axial (Bz) magnetic field, a target, or both, than without. On Zebra, where neutron production is possible, reproducible thermonuclear (DD) yields were recorded at levels in excess of 109/shot. Flux compression in the SZP is also expected to produce magnetic field intensities of the order of kilo-Tesla. Thus, the DD reaction produced tritions should also yield secondary DT neutrons. Indeed, secondaries are measured above the noise threshold at levels approaching 106/shot. Funded by the Advanced Research Projects Agency - Energy, under Grant Number DE-AR0000569.

  4. Experimental study of surface insulated-standard hybrid tungsten planar wire array Z-pinches at "QiangGuang-I" facility

    NASA Astrophysics Data System (ADS)

    Sheng, Liang; Peng, Bodong; Li, Yang; Yuan, Yuan; Li, Mo; Zhang, Mei; Zhao, Chen; Zhao, Jizhen; Wang, Liangping

    2016-01-01

    The experimental results of the insulated-standard hybrid wire array Z pinches carried out on "QiangGuang-I" facility at Northwest Institute of Nuclear Technology were presented and discussed. The surface insulating can impose a significant influence on the dynamics and radiation characteristics of the hybrid wire array Z pinches, especially on the early stage (t/timp < 0.6). The expansion of insulated wires at the ablation stage is suppressed, while the streams stripped from the insulated wires move faster than that from the standard wires. The foot radiation of X-ray is enhanced by increment of the number of insulated wires, 19.6 GW, 33.6 GW, and 68.6 GW for shots 14037S, 14028H, and 14039I, respectively. The surface insulation also introduces nonhomogeneity along the single wire—the streams move much faster near the electrodes. The colliding boundary of the hybrid wire array Z pinches is bias to the insulated side approximately 0.6 mm.

  5. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  6. Spatially resolved single crystal x-ray spectropolarimetry of wire array z-pinch plasmas

    NASA Astrophysics Data System (ADS)

    Wallace, M. S.; Haque, S.; Neill, P.; Pereira, N. R.; Presura, R.

    2018-01-01

    A recently developed single-crystal x-ray spectropolarimeter has been used to record paired sets of polarization-dependent and axially resolved x-ray spectra emitted by wire array z-pinches. In this measurement, two internal planes inside a suitable crystal diffract the x-rays into two perpendicular directions that are normal to each other, thereby separating incident x-rays into their linearly polarized components. This paper gives considerations for fielding the instrument on extended sources. Results from extended sources are difficult to interpret because generally the incident x-rays are not separated properly by the crystal. This difficulty is mitigated by using a series of collimating slits to select incident x-rays that propagate in a plane of symmetry between the polarization-splitting planes. The resulting instrument and some of the spatially resolved polarized x-ray spectra recorded for a 1-MA aluminum wire array z-pinch at the Nevada Terawatt Facility at the University of Nevada, Reno will be presented.

  7. Spatially resolved single crystal x-ray spectropolarimetry of wire array z-pinch plasmas.

    PubMed

    Wallace, M S; Haque, S; Neill, P; Pereira, N R; Presura, R

    2018-01-01

    A recently developed single-crystal x-ray spectropolarimeter has been used to record paired sets of polarization-dependent and axially resolved x-ray spectra emitted by wire array z-pinches. In this measurement, two internal planes inside a suitable crystal diffract the x-rays into two perpendicular directions that are normal to each other, thereby separating incident x-rays into their linearly polarized components. This paper gives considerations for fielding the instrument on extended sources. Results from extended sources are difficult to interpret because generally the incident x-rays are not separated properly by the crystal. This difficulty is mitigated by using a series of collimating slits to select incident x-rays that propagate in a plane of symmetry between the polarization-splitting planes. The resulting instrument and some of the spatially resolved polarized x-ray spectra recorded for a 1-MA aluminum wire array z-pinch at the Nevada Terawatt Facility at the University of Nevada, Reno will be presented.

  8. Next generation of Z* modelling tool for high intensity EUV and soft x-ray plasma sources simulations

    NASA Astrophysics Data System (ADS)

    Zakharov, S. V.; Zakharov, V. S.; Choi, P.; Krukovskiy, A. Y.; Novikov, V. G.; Solomyannaya, A. D.; Berezin, A. V.; Vorontsov, A. S.; Markov, M. B.; Parot'kin, S. V.

    2011-04-01

    In the specifications for EUV sources, high EUV power at IF for lithography HVM and very high brightness for actinic mask and in-situ inspections are required. In practice, the non-equilibrium plasma dynamics and self-absorption of radiation limit the in-band radiance of the plasma and the usable radiation power of a conventional single unit EUV source. A new generation of the computational code Z* is currently developed under international collaboration in the frames of FP7 IAPP project FIRE for modelling of multi-physics phenomena in radiation plasma sources, particularly for EUVL. The radiation plasma dynamics, the spectral effects of self-absorption in LPP and DPP and resulting Conversion Efficiencies are considered. The generation of fast electrons, ions and neutrals is discussed. Conditions for the enhanced radiance of highly ionized plasma in the presence of fast electrons are evaluated. The modelling results are guiding a new generation of EUV sources being developed at Nano-UV, based on spatial/temporal multiplexing of individual high brightness units, to deliver the requisite brightness and power for both lithography HVM and actinic metrology applications.

  9. The quest for a z-pinch based fusion energy source—a historical perspective

    NASA Astrophysics Data System (ADS)

    Sethian, John

    1997-05-01

    Ever since 1958, when Oscar Anderson observed copious neutrons emanating from a "magnetically self-constricted column of deuterium plasma," scientists have attempted to develop the simple linear pinch into a fusion power source. After all, simple calculations show that if one can pass a current of slightly less than 2 million amperes through a stable D-T plasma, then one could achieve not just thermonuclear break-even, but thermonuclear gain. Moreover, several reactor studies have shown that a simple linear pinch could be the basis for a very attractive fusion system. The problem is, of course, that the seemingly simple act of passing 2 MA through a stable pinch has proven to be quite difficult to accomplish. The pinch tends to disrupt due to instabilities, either by the m=0 (sausage) or m=1 (kink) modes. Curtailing the growth of these instabilities has been the primary thrust of z-pinch fusion research, and over the years a wide variety of formation techniques have been tried. The early pinches were driven by relatively slow capacitive discharges and were formed by imploding a plasma column. The advent of fast pulsed power technology brought on a whole new repertoire of formation techniques, including: fast implosions, laser or field-enhanced breakdown in a uniform volume of gas, a discharge inside a small capillary, a frozen deuterium fiber isolated by vacuum, and staged concepts in which one pinch implodes upon another. And although none of these have yet to be successful, some have come tantalizingly close. This paper will review the history of this four-decade long quest for fusion power.

  10. Electron beam generation in the turbulent plasma of Z-pinch discharges

    NASA Astrophysics Data System (ADS)

    Vikhrev, Victor V.; Baronova, Elena O.

    1997-05-01

    Numerical modeling of the process of electron beam generation in z-pinch discharges are presented. The proposed model represents the electron beam generation under turbulent plasma conditions. Strong current distribution inhomogeneity in the plasma column has been accounted for the adequate generation process investigation. Electron beam is generated near the maximum of compression due to run away mechanism and it is not related with the current break effect.

  11. Dynamic characteristics of azimuthally correlated structures of axial instability of wire-array Z pinches

    NASA Astrophysics Data System (ADS)

    Dan, Jia Kun; Huang, Xian Bin; Ren, Xiao Dong; Chen, Guang Hua; Xu, Qiang; Wang, Kun Lun; Ouyang, Kai; Wei, Bing

    2017-04-01

    Particular attention was placed on observations of dynamic properties of the azimuthally correlated structures of axial instability of wire-array Z pinches, which were conducted at 10-MA (for short circuit load) pulsed power generator-the Primary Test Stand facility. Not well fabricated loads, which were expected to preset bubble or spike in plasma, were used to degrade the implosion symmetry in order to magnify the phenomenon of instability. The side-view sequence of evolution of correlation given by laser shadowgraphy clearly demonstrates the dynamic processes of azimuthal correlation of the bubble and spike. A possible mechanism presented here suggests that it is the substantial current redistribution especially in regions surrounding the bubble/spike resulting from change of inductance due to the presence of the bubble/spike that plays an essential part in establishment of azimuthal correlation of wire array and liner Z pinches.

  12. Parameter scaling toward high-energy density in a quasi-steady flow Z-pinch

    NASA Astrophysics Data System (ADS)

    Hughes, M. C.; Shumlak, U.; Nelson, B. A.; Golingo, R. P.; Claveau, E. L.; Doty, S. A.; Forbes, E. G.; Kim, B.; Ross, M. P.

    2016-10-01

    Sheared axial flows are utilized by the ZaP Flow Z-Pinch Experiment to stabilize MHD instabilities. The pinches formed are 50 cm long with radii ranging from 0.3 to 1.0 cm. The plasma is generated in a coaxial acceleration region, similar to a Marshall gun, which provides a steady supply of plasma for approximately 100 us. The power to the plasma is partially decoupled between the acceleration and pinch assembly regions through the use of separate power supplies. Adiabatic scaling of the Bennett relation gives targets for future devices to reach high-energy density conditions or fusion reactors. The applicability of an adiabatic assumption is explored and work is done experimentally to clarify the plasma compression process, which may be more generally polytropic. The device is capable of a much larger parameter space than previous machine iterations, allowing flexibility in the initial conditions of the compression process to preserve stability. This work is supported by DoE FES and NNSA.

  13. The Physics of the Dense Z-Pinch in Theory and in Experiment With Application to Fusion Reactor

    NASA Astrophysics Data System (ADS)

    Haines, M. G.

    1982-01-01

    A new generation of Z-pinches employing high voltage, high current pulsed lines as power sources produce dense hot plasmas with enhanced stability properties. Three methods of Z-pinch formation are currently in use: (1) cylindrical collapse and compression of a pre-ionised gas; (2) laser initiation and Joule heating of a gas embedded pinch, and (3) hollow gas puff and subsequent collapse to the axis. The first method shows no dynamic bounce and no instability over about ten radial Alfvén transit times. The laser initiated Z-pinch shows benign helical structures, whilst the gas puff experiments are known for their high X-ray energy conversion associated with m = 0 instabilities. The first two experimental conditions are relevant for fusion. A calculation of energy balance for satisfying Lawson conditions with axial and radial energy losses and radiation loss shows that a current I of ~ 106 A and a line density N of 6 × 1018m-1 are required. This leads to two coincidences of physical quantities that are very favourable for controlled fusion. The first is that at this line density and under pressure balance the ratio of the ion Larmor radius to pinch radius is of order 1 so that a marked stabilisation of the configuration is expected. The second coincidence is that the current is only just below the Pease-Braginskii limit; this will permit the possibility of radiative collapse to attain the high density (~ 4 × 1027 m-3) and small radius (~ 20 μm) required for a compact (0.1 m long) discharge. The confining self-magnetic field is 104 T, the confinement time ~ 100 ns, and a matrix of pulsed discharges is envisaged in a moderator and breeding medium which does not have the wall-loading limitations of tokamaks.

  14. Deconvolution of Stark broadened spectra for multi-point density measurements in a flow Z-pinch

    DOE PAGES

    Vogman, G. V.; Shumlak, U.

    2011-10-13

    Stark broadened emission spectra, once separated from other broadening effects, provide a convenient non-perturbing means of making plasma density measurements. A deconvolution technique has been developed to measure plasma densities in the ZaP flow Z-pinch experiment. The ZaP experiment uses sheared flow to mitigate MHD instabilities. The pinches exhibit Stark broadened emission spectra, which are captured at 20 locations using a multi-chord spectroscopic system. Spectra that are time- and chord-integrated are well approximated by a Voigt function. The proposed method simultaneously resolves plasma electron density and ion temperature by deconvolving the spectral Voigt profile into constituent functions: a Gaussian functionmore » associated with instrument effects and Doppler broadening by temperature; and a Lorentzian function associated with Stark broadening by electron density. The method uses analytic Fourier transforms of the constituent functions to fit the Voigt profile in the Fourier domain. The method is discussed and compared to a basic least-squares fit. The Fourier transform fitting routine requires fewer fitting parameters and shows promise in being less susceptible to instrumental noise and to contamination from neighboring spectral lines. The method is evaluated and tested using simulated lines and is applied to experimental data for the 229.69 nm C III line from multiple chords to determine plasma density and temperature across the diameter of the pinch. As a result, these measurements are used to gain a better understanding of Z-pinch equilibria.« less

  15. Deconvolution of Stark broadened spectra for multi-point density measurements in a flow Z-pinch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vogman, G. V.; Shumlak, U.

    2011-10-15

    Stark broadened emission spectra, once separated from other broadening effects, provide a convenient non-perturbing means of making plasma density measurements. A deconvolution technique has been developed to measure plasma densities in the ZaP flow Z-pinch experiment. The ZaP experiment uses sheared flow to mitigate MHD instabilities. The pinches exhibit Stark broadened emission spectra, which are captured at 20 locations using a multi-chord spectroscopic system. Spectra that are time- and chord-integrated are well approximated by a Voigt function. The proposed method simultaneously resolves plasma electron density and ion temperature by deconvolving the spectral Voigt profile into constituent functions: a Gaussian functionmore » associated with instrument effects and Doppler broadening by temperature; and a Lorentzian function associated with Stark broadening by electron density. The method uses analytic Fourier transforms of the constituent functions to fit the Voigt profile in the Fourier domain. The method is discussed and compared to a basic least-squares fit. The Fourier transform fitting routine requires fewer fitting parameters and shows promise in being less susceptible to instrumental noise and to contamination from neighboring spectral lines. The method is evaluated and tested using simulated lines and is applied to experimental data for the 229.69 nm C III line from multiple chords to determine plasma density and temperature across the diameter of the pinch. These measurements are used to gain a better understanding of Z-pinch equilibria.« less

  16. A reassessment study of multi-material-shell gas puff z-pinches as a pulsed neutron source on the sandia ZR

    NASA Astrophysics Data System (ADS)

    Chong, Y. K.; Velikovich, A. L.; Thornhil, J. W.; Giuliani, J. L.; Knapp, P.; Jennings, C.

    2013-10-01

    Over the last few years, numerous 1D and 2D MHD simulation studies of deuterium (D) based double-shell gas-puff Z-pinch implosions driven by the Sandia ZR accelerator have been carried out to assess the Z-pinch as a pulsed thermal fusion neutron source. In these studies, an ad-hoc time-dependent shunt impedance model was used within the external driving circuit model in order to account for the unresolved current loss in the MITL and the load. In this study, we incorporate an improved ZR circuit model recently formulated based on the recent Sandia argon gas-puff experiment circuit data into the multi-material version of the Mach +DDTCRE RMHD code. We reinvestigate the effects of multidimensional structure and nonuniform gradients as well as the outer- and inner-shell material interaction on the implosion physics and dynamics of both D-on-D and argon-on-D Z-pinch loads using the model. Then, we characterize the neutron production performance of the Z-pinch loads as a function of total mass, mass ratio and/or radius toward their optimization as a pulsed thernonuclear neutron source. Work supported by DOE/NNSA. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. DOE's NNSA under contract DE-AC04-94AL85000.

  17. 250 kA compact linear transformer driver for wire array z-pinch loads

    NASA Astrophysics Data System (ADS)

    Bott, S. C.; Haas, D. M.; Madden, R. E.; Ueda, U.; Eshaq, Y.; Collins, G., IV; Gunasekera, K.; Mariscal, D.; Peebles, J.; Beg, F. N.; Mazarakis, M.; Struve, K.; Sharpe, R.

    2011-05-01

    We present the application of a short rise (˜150ns) 250 kA linear transformer driver (LTD) to wire array z-pinch loads for the first time. The generator is a modification of a previous driver in which a new conical power feed provides a low inductance coupling to wire loads. Performance of the new design using both short circuit and plasma loads is presented and discussed. The final design delivers ˜200kA to a wire array load which is in good agreement with SCREAMER calculations using a simplified representative circuit. Example results demonstrate successful experiments using cylindrical, conical, and inverse wire arrays as well as previously published work on x-pinch loads.

  18. Radiation characteristics and implosion dynamics of Z-pinch dynamic hohlraums performed on PTS facility

    NASA Astrophysics Data System (ADS)

    Huang, Xian Bin; Ren, Xiao Dong; Dan, Jia Kun; Wang, Kun Lun; Xu, Qiang; Zhou, Shao Tong; Zhang, Si Qun; Cai, Hong Chun; Li, Jing; Wei, Bing; Ji, Ce; Feng, Shu Ping; Wang, Meng; Xie, Wei Ping; Deng, Jian Jun

    2017-09-01

    The preliminary experimental results of Z-pinch dynamic hohlraums conducted on the Primary Test Stand (PTS) facility are presented herein. Six different types of dynamic hohlraums were used in order to study the influence of load parameters on radiation characteristics and implosion dynamics, including dynamic hohlraums driven by single and nested arrays with different array parameters and different foams. The PTS facility can deliver a current of 6-8 MA in the peak current and 60-70 ns in the 10%-90% rising time to dynamic hohlraum loads. A set of diagnostics monitor the implosion dynamics of plasmas, the evolution of shock waves in the foam and the axial/radial X-ray radiation, giving the key parameters characterizing the features of dynamic hohlraums, such as the trajectory and related velocity of shock waves, radiation temperature, and so on. The experimental results presented here put our future study on Z-pinch dynamic hohlraums on the PTS facility on a firm basis.

  19. Experimental study of surface insulated-standard hybrid tungsten planar wire array Z-pinches at “QiangGuang-I” facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sheng, Liang; Peng, Bodong; Yuan, Yuan

    The experimental results of the insulated-standard hybrid wire array Z pinches carried out on “QiangGuang-I” facility at Northwest Institute of Nuclear Technology were presented and discussed. The surface insulating can impose a significant influence on the dynamics and radiation characteristics of the hybrid wire array Z pinches, especially on the early stage (t/t{sub imp} < 0.6). The expansion of insulated wires at the ablation stage is suppressed, while the streams stripped from the insulated wires move faster than that from the standard wires. The foot radiation of X-ray is enhanced by increment of the number of insulated wires, 19.6 GW, 33.6 GW, and 68.6 GWmore » for shots 14037S, 14028H, and 14039I, respectively. The surface insulation also introduces nonhomogeneity along the single wire—the streams move much faster near the electrodes. The colliding boundary of the hybrid wire array Z pinches is bias to the insulated side approximately 0.6 mm.« less

  20. Recombination-pumped XUV lasing in capillary discharges and dynamic z-pinches

    NASA Astrophysics Data System (ADS)

    Pöckl, M.; Hebenstreit, M.; Fertner, R.; Neger, T.; Aumayr, F.

    1996-08-01

    A fully time-dependent collisional - radiative model is employed to calculate relevant population densities in a recombining carbon/hydrogen z-pinch plasma. In particular, the dependence of the small signal gain G on the maximum electron temperature and cooling rate, as well as the influence of Lyman-0022-3727/29/8/005/img8 reabsorption, are studied. Although in conditions typical for dynamic z-pinches the maximum electron temperature and cooling rates would, in principle, be sufficiently high, gain on the Balmer-0022-3727/29/8/005/img8 transition is strongly reduced by Lyman-0022-3727/29/8/005/img8 reabsorption. In order to investigate vacuum spark capillary discharges, the system of rate equations is coupled with balance equations of the plasma energy and the total number of heavy particles. The resulting set of equations is solved self-consistently. Results are presented that show the systematic dependence of the small signal gain on electrical input power, wall material, and capillary geometry. High gain coefficients 0022-3727/29/8/005/img11 could be achieved by modelling high-voltage discharges with short ringing periods through capillaries containing boron or carbon. While the maximum achievable gain coefficient for lithium is rather poor 0022-3727/29/8/005/img12 the duration of population inversion would be long enough (a few tens of nanoseconds) to make multi-pass operation possible.

  1. Ultimate patterning limits for EUV at 5nm node and beyond

    NASA Astrophysics Data System (ADS)

    Ali, Rehab Kotb; Hamed Fatehy, Ahmed; Lafferty, Neal; Word, James

    2018-03-01

    The 5nm technology node introduces more aggressive geometries than previous nodes. In this paper, we are introducing a comprehensive study to examine the pattering limits of EUV at 0.33NA. The study is divided into two main approaches: (A) Exploring pattering limits of Single Exposure EUV Cut/Block mask in Self-Aligned-Multi-Patterning (SAMP) process, and (B) Exploring the pattering limits of a Single Exposure EUV printing of metal Layers. The printability of the resulted OPC masks is checked through a model based manufacturing flow for the two pattering approaches. The final manufactured patterns are quantified by Edge Placement Error (EPE), Process Variation Band (PVBand), soft/hard bridging and pinching, Image Log Slope (ILS) and Common Depth of Focus (CDOF)

  2. The application of high-speed photography in z-pinch high-temperature plasma diagnostics

    NASA Astrophysics Data System (ADS)

    Wang, Kui-lu; Qiu, Meng-tong; Hei, Dong-wei

    2007-01-01

    This invited paper is presented to discuss the application of high speed photography in z-pinch high temperature plasma diagnostics in recent years in Northwest Institute of Nuclear Technology in concentrative mode. The developments and applications of soft x-ray framing camera, soft x-ray curved crystal spectrometer, optical framing camera, ultraviolet four-frame framing camera and ultraviolet-visible spectrometer are introduced.

  3. Long implosion time (240 ns) Z-pinch experiments with a large diameter (12 cm) double-shell nozzle

    NASA Astrophysics Data System (ADS)

    Levine, J. S.; Banister, J. W.; Failor, B. H.; Qi, N.; Song, Y.; Sze, H. M.; Fisher, A.

    2004-05-01

    Recently, an 8 cm diameter double-shell nozzle has produced argon Z pinches with high K-shell yields with implosion time of 210 ns. To produce even longer implosion time Z pinches for facilities such as Decade Quad [D. Price, et al., "Electrical and Mechanical Design of the Decade Quad in PRS Mode," in Proceedings of the 12th IEEE Pulsed Power Conference, Monterey, CA, edited by C. Stallings and H. Kirbie (IEEE, New York, 1999), p. 489] (9 MA short circuit current at 300 ns), a larger nozzle (12 cm outer diameter) was designed and fabricated. During initial testing on Double-EAGLE [P. Sincerny et al., Proceedings of the 5th IEEE Pulsed Power Conference, Arlington, VA, edited by M. F. Rose and P. J. Turchi (IEEE, New York, 1985), p. 151], 9 kJ of argon K-shell radiation in a 6 ns full width at half maximum pulse was produced with a 240 ns implosion. The initial gas distributions produced by various nozzle configurations have been measured and their impact on the final radiative characteristics of the pinch are presented. The addition of a central jet to increase the initial gas density near the axis is observed to enhance the pinch quality, increasing K-shell yield by 17% and power by 40% in the best configuration tested.

  4. Analytic model to estimate thermonuclear neutron yield in z-pinches using the magnetic Noh problem

    NASA Astrophysics Data System (ADS)

    Allen, Robert C.

    The objective was to build a model which could be used to estimate neutron yield in pulsed z-pinch experiments, benchmark future z-pinch simulation tools and to assist scaling for breakeven systems. To accomplish this, a recent solution to the magnetic Noh problem was utilized which incorporates a self-similar solution with cylindrical symmetry and azimuthal magnetic field (Velikovich, 2012). The self-similar solution provides the conditions needed to calculate the time dependent implosion dynamics from which batch burn is assumed and used to calculate neutron yield. The solution to the model is presented. The ion densities and time scales fix the initial mass and implosion velocity, providing estimates of the experimental results given specific initial conditions. Agreement is shown with experimental data (Coverdale, 2007). A parameter sweep was done to find the neutron yield, implosion velocity and gain for a range of densities and time scales for DD reactions and a curve fit was done to predict the scaling as a function of preshock conditions.

  5. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    NASA Astrophysics Data System (ADS)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  6. EUV spectroscopy of high-redshift x-ray objects

    NASA Astrophysics Data System (ADS)

    Kowalski, M. P.; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.; Barstow, M. A.

    2010-07-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGN for example, will be redshifted into the EUV waveband. Consequently, a wealth of critical spectral diagnostics, provided by, for example, the Fe L-shell complex and the O VII/VIII lines, will be lost to future planned X-ray missions (e.g., IXO, Gen-X) if operated at traditional X-ray energies. This opens up a critical gap in performance located at short EUV wavelengths, where critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nanolaminate replication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on next-generation instrument designs. We conclude with a discussion of a breakthrough technology, nanolaminate replication, which enables such instruments.

  7. Fully kinetic simulations of dense plasma focus Z-pinch devices.

    PubMed

    Schmidt, A; Tang, V; Welch, D

    2012-11-16

    Dense plasma focus Z-pinch devices are sources of copious high energy electrons and ions, x rays, and neutrons. The mechanisms through which these physically simple devices generate such high-energy beams in a relatively short distance are not fully understood. We now have, for the first time, demonstrated a capability to model these plasmas fully kinetically, allowing us to simulate the pinch process at the particle scale. We present here the results of the initial kinetic simulations, which reproduce experimental neutron yields (~10(7)) and high-energy (MeV) beams for the first time. We compare our fluid, hybrid (kinetic ions and fluid electrons), and fully kinetic simulations. Fluid simulations predict no neutrons and do not allow for nonthermal ions, while hybrid simulations underpredict neutron yield by ~100x and exhibit an ion tail that does not exceed 200 keV. Only fully kinetic simulations predict MeV-energy ions and experimental neutron yields. A frequency analysis in a fully kinetic simulation shows plasma fluctuations near the lower hybrid frequency, possibly implicating lower hybrid drift instability as a contributor to anomalous resistivity in the plasma.

  8. Measurements of high energy photons in Z-pinch experiments on primary test stand

    NASA Astrophysics Data System (ADS)

    Si, Fenni; Zhang, Chuanfei; Xu, Rongkun; Yuan, Xi; Huang, Zhanchang; Xu, Zeping; Ye, Fan; Yang, Jianlun; Ning, Jiamin; Hu, Qingyuan; Zhu, Xuebin

    2015-08-01

    High energy photons are measured for the first time in wire-array Z-pinch experiments on the Primary Test Stand (PTS) which delivers a current up to 8 MA with a rise time of 70 ns. A special designed detecting system composed of three types of detectors is used to measure the average energy, intensity, and pulse waveform of high energy photons. Results from Pb-TLD (thermoluminescence dosimeter) detector indicate that the average energy is 480 keV (±15%). Pulse shape of high energy photons is measured by the photodiode detector consisted of scintillator coupled with a photodiode, and it is correlated with soft x-ray power by the same timing signal. Intensity is measured by both TLD and the photodiode detector, showing good accordance with each other, and it is 1010 cm-2 (±20%) at 2 m in the horizontal direction. Measurement results show that high energy photons are mainly produced in pinch regions due to accelerated electrons. PTS itself also produces high energy photons due to power flow electrons, which is one order smaller in amplitude than those from pinch region.

  9. Measurements of high energy photons in Z-pinch experiments on primary test stand.

    PubMed

    Si, Fenni; Zhang, Chuanfei; Xu, Rongkun; Yuan, Xi; Huang, Zhanchang; Xu, Zeping; Ye, Fan; Yang, Jianlun; Ning, Jiamin; Hu, Qingyuan; Zhu, Xuebin

    2015-08-01

    High energy photons are measured for the first time in wire-array Z-pinch experiments on the Primary Test Stand (PTS) which delivers a current up to 8 MA with a rise time of 70 ns. A special designed detecting system composed of three types of detectors is used to measure the average energy, intensity, and pulse waveform of high energy photons. Results from Pb-TLD (thermoluminescence dosimeter) detector indicate that the average energy is 480 keV (±15%). Pulse shape of high energy photons is measured by the photodiode detector consisted of scintillator coupled with a photodiode, and it is correlated with soft x-ray power by the same timing signal. Intensity is measured by both TLD and the photodiode detector, showing good accordance with each other, and it is 10(10) cm(-2) (±20%) at 2 m in the horizontal direction. Measurement results show that high energy photons are mainly produced in pinch regions due to accelerated electrons. PTS itself also produces high energy photons due to power flow electrons, which is one order smaller in amplitude than those from pinch region.

  10. Wire Array Z-pinches on Sphinx Machine: Experimental Results and Relevant Points of Microsecond Implosion Physics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calamy, H.; Hamann, F.; Lassalle, F.

    Centre d'Etudes de Gramat (France) has developed an efficient long implosion time (800 ns) Aluminum plasma radiation source (PRS). Based on the LTD technology, the SPHINX facility is developed as a 1-3MJ, 1{mu}s rise time, 4-10 MA current driver. In this paper, it was used in 1MJ, 4MA configuration to drive Aluminum nested wire arrays Z-pinches with K-shell yield up to 20 kJ and a FWHM of the x-ray pulse of about 50 ns. We present latest SPHINX experiments and some of the main physic issues of the microsecond regime. Experimental setup and results are described with the aim ofmore » giving trends that have been obtained. The main features of microsecond implosion of wire arrays can be analyzed thanks to same methods and theories as used for faster Z-pinches. The effect of load polarity was examined. The stability of the implosion , one of the critical point of microsecond wire arrays due to the load dimensions imposed by the time scale, is tackled. A simple scaling from 100 ns Z-pinch results to 800 ns ones gives good results and the use of nested arrays improves dramatically the implosion quality and the Kshell yield of the load. However, additional effects such as the impact of the return current can geometry on the implosion have to be taken into account on our loads. Axial inhomogeneity of the implosion the origin of which is not yet well understood occurs in some shots and impacts the radiation output. The shape of the radiative pulse is discussed and compared with the homogeneity of the implosion. Numerical 2D R-Z and R-{theta} simulations are used to highlight some experimental results and understand the plasma conditions during these microsecond wire arrays implosions.« less

  11. Wire Array Z-pinches on Sphinx Machine: Experimental Results and Relevant Points of Microsecond Implosion Physics

    NASA Astrophysics Data System (ADS)

    Calamy, H.; Hamann, F.; Lassalle, F.; Bayol, F.; Mangeant, C.; Morell, A.; Huet, D.; Bedoch, J. P.; Chittenden, J. P.; Lebedev, S. V.; Jennings, C. A.; Bland, S. N.

    2006-01-01

    Centre d'Etudes de Gramat (France) has developed an efficient long implosion time (800 ns) Aluminum plasma radiation source (PRS). Based on the LTD technology, the SPHINX facility is developed as a 1-3MJ, 1μs rise time, 4-10 MA current driver. In this paper, it was used in 1MJ, 4MA configuration to drive Aluminum nested wire arrays Z-pinches with K-shell yield up to 20 kJ and a FWHM of the x-ray pulse of about 50 ns. We present latest SPHINX experiments and some of the main physic issues of the microsecond regime. Experimental setup and results are described with the aim of giving trends that have been obtained. The main features of microsecond implosion of wire arrays can be analyzed thanks to same methods and theories as used for faster Z-pinches. The effect of load polarity was examined. The stability of the implosion , one of the critical point of microsecond wire arrays due to the load dimensions imposed by the time scale, is tackled. A simple scaling from 100 ns Z-pinch results to 800 ns ones gives good results and the use of nested arrays improves dramatically the implosion quality and the Kshell yield of the load. However, additional effects such as the impact of the return current can geometry on the implosion have to be taken into account on our loads. Axial inhomogeneity of the implosion the origin of which is not yet well understood occurs in some shots and impacts the radiation output. The shape of the radiative pulse is discussed and compared with the homogeneity of the implosion. Numerical 2D R-Z and R-θ simulations are used to highlight some experimental results and understand the plasma conditions during these microsecond wire arrays implosions.

  12. EUV Spectroscopy of High-redshift X-ray Objects

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wolff, M. T.; Wood, K. S.; Barbee, T. W., Jr.

    2010-03-01

    As astronomical observations are pushed to cosmological distances (z>3) the spectral energy distributions of X-ray objects, AGNs for example, will have their maxima redshifted into the EUV waveband ( 90-912 Å/0.1-0.01 keV). Consequently, a wealth of spectral diagnostics, provided by, for example, the Fe L-shell complex ( 60-6 Å/0.2-2.0 keV) and the O VII/VIII lines ( 20 Å/0.5 keV), will be lost to X-ray instruments operating at traditional ( 0.5-10 keV) and higher X-ray energies. There are precedents in other wavebands. For example, HST evolutionary studies will become largely the province of JWST. Despite the successes of EUVE, the ROSAT WFC, and the Chandra LETG, the EUV continues to be unappreciated and under-utilized, partly because of a preconception that absorption by neutral galactic Hydrogen in the ISM prevents any useful extragalactic measurements at all EUV wavelengths and, until recently, by a lack of a suitable enabling technology. Thus, if future planned X-ray missions (e.g., IXO, Gen-X) are optimized again for traditional X-ray energies, their performance (effective area, resolving power) will be cut off at ultrasoft X-ray energies or at best be radically reduced in the EUV. This opens up a critical gap in performance located right at short EUV wavelengths, where the critical X-ray spectral transitions occur in high-z objects. However, normal-incidence multilayer-grating technology, which performs best precisely at such wavelengths, together with advanced nano-laminate fabrication techniques have been developed and are now mature to the point where advanced EUV instrument designs with performance complementary to IXO and Gen-X are practical. Such EUV instruments could be flown either independently or as secondary instruments on these X-ray missions. We present here a critical examination of the limits placed on extragalactic EUV measurements by ISM absorption, the range where high-z measurements are practical, and the requirements this imposes on

  13. Measurements of high-current electron beams from X pinches and wire array Z pinches.

    PubMed

    Shelkovenko, T A; Pikuz, S A; Blesener, I C; McBride, R D; Bell, K S; Hammer, D A; Agafonov, A V; Romanova, V M; Mingaleev, A R

    2008-10-01

    Some issues concerning high-current electron beam transport from the X pinch cross point to the diagnostic system and measurements of the beam current by Faraday cups are discussed. Results of computer simulation of electron beam propagation from the pinch to the Faraday cup give limits for the measured current for beams having different energy spreads. The beam is partially neutralized as it propagates from the X pinch to a diagnostic system, but within a Faraday cup diagnostic, space charge effects can be very important. Experimental results show evidence of such effects.

  14. High-space resolution imaging plate analysis of extreme ultraviolet (EUV) light from tin laser-produced plasmas

    NASA Astrophysics Data System (ADS)

    Musgrave, Christopher S. A.; Murakami, Takehiro; Ugomori, Teruyuki; Yoshida, Kensuke; Fujioka, Shinsuke; Nishimura, Hiroaki; Atarashi, Hironori; Iyoda, Tomokazu; Nagai, Keiji

    2017-03-01

    With the advent of high volume manufacturing capabilities by extreme ultraviolet lithography, constant improvements in light source design and cost-efficiency are required. Currently, light intensity and conversion efficiency (CE) measurments are obtained by charged couple devices, faraday cups etc, but also phoshpor imaging plates (IPs) (BaFBr:Eu). IPs are sensitive to light and high-energy species, which is ideal for studying extreme ultraviolet (EUV) light from laser produced plasmas (LPPs). In this work, we used IPs to observe a large angular distribution (10°-90°). We ablated a tin target by high-energy lasers (1064 nm Nd:YAG, 1010 and 1011 W/cm2) to generate the EUV light. The europium ions in the IP were trapped in a higher energy state from exposure to EUV light and high-energy species. The light intensity was angular dependent; therefore excitation of the IP depends on the angle, and so highly informative about the LPP. We obtained high-space resolution (345 μm, 0.2°) angular distribution and grazing spectrometer (5-20 nm grate) data simultaneously at different target to IP distances (103 mm and 200 mm). Two laser systems and IP types (BAS-TR and BAS-SR) were also compared. The cosine fitting values from the IP data were used to calculate the CE to be 1.6% (SD ± 0.2) at 13.5 nm 2% bandwidth. Finally, a practical assessment of IPs and a damage issue are disclosed.

  15. Conceptual Design of a Z-Pinch Fusion Propulsion System

    NASA Technical Reports Server (NTRS)

    Adams, Robert; Polsgrove, Tara; Fincher, Sharon; Fabinski, Leo; Maples, Charlotte; Miernik, Janie; Stratham, Geoffrey; Cassibry, Jason; Cortez, Ross; Turner, Matthew; hide

    2010-01-01

    This slide presentation reviews a project that aims to develop a conceptual design for a Z-pinch thruster, that could be applied to develop advanced thruster designs which promise high thrust/high specific impulse propulsion. Overviews shows the concept of the design, which use annular nozzles with deuterium-tritium (D-T) fuel and a Lithium mixture as a cathode, Charts show the engine performance as a function of linear mass, nozzle performance (i.e., plasma segment trajectories), and mission analysis for possible Mars and Jupiter missions using this concept for propulsion. Slides show views of the concepts for the vehicle configuration, thrust coil configuration, the power management system, the structural analysis of the magnetic nozzle, the thermal management system, and the avionics suite,

  16. Using a Z-pinch precursor plasma to produce a cylindrical, hotspot ignition, ICF

    NASA Astrophysics Data System (ADS)

    Chittenden, Jeremy

    2005-10-01

    We show that if the same precursor plasma that exists in metal wire arrays can be generated with a Deuterium-Tritium plasma then this precursor provides an ideal target for a cylindrical magneto-inertial ICF scheme. The precursor is generated from a fraction of the mass of the array which arrives on the axis early in time and remains confined at high density by the inertia of further material bombarding the axis. Later on, the main implosion of the DT Z-pinch produces a dense, low temperature shell which compressively heats the precursor target to high temperatures and tamps its expansion. The azimuthal magnetic field in the hotspot is sufficient to reduce the Larmor radius for the alpha particles to much less than the hotspot size, which dramatically reduces the ρR required for ignition. A computational analysis of this approach is presented, including a study of the thermonuclear burn wave propagation. The robustness of the scheme with respect to instabilities, confinement time and drive parameters is examined. The results indicate that a high energy gain can be achieved using Z-pinches with 50-100 MA currents and a few hundred nanosecond rise-times. This work was partially supported by the U.S. Department of Energy through cooperative agreement DE-FC03-02NA00057.

  17. Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source

    NASA Astrophysics Data System (ADS)

    Inoue, T.; Nica, P. E.; Kaku, K.; Shimoura, A.; Amano, S.; Miyamoto, S.; Mochizuki, T.

    2006-03-01

    In this paper, characterizations of a cryogenic Xe capillary jet target for a laser-produced plasma extreme ultraviolet (EUV) light source are reported. The capillary jet target is a candidate of fast-supplying targets for mitigating debris generation and target consumption in a vacuum chamber without reducing the EUV conversion efficiency. Xe capillary jets (jet velocity ~ 0.4 m/s) were generated in vacuum by using annular nozzles chilled to ~ 170 K at a Xe backing pressure of ~ 0.7 MPa. Forming mechanisms of the capillary jet targets were studied by using numerical calculations. Furthermore, laser-produced plasma EUV generation was performed by irradiating a Nd:YAG laser (1064 nm, ~ 0.5 J, 10 ns, 120 μmφ, ~ 4×10 11 W/cm2) on a Xe capillary jet target (outer / inner diameter = 100 / 70 μmφ). The angular distribution of EUV generation was approximately uniform around the Xe capillary jet target, and the peak kinetic energy of the fast-ions was evaluated to be ~ 2 keV.

  18. The study of hard x-ray emission and electron beam generation in wire array Z-pinch and X-pinch plasmas at university-scale generators

    NASA Astrophysics Data System (ADS)

    Shrestha, Ishor Kumar

    The studies of hard x-ray (HXR) emission and electron beam generation in Z-pinch plasmas are very important for Inertial Confinement Fusion (ICF) research and HXR emission application for sources of K-shell and L-shell radiation. Energetic electron beams from Z-pinch plasmas are potentially a problem in the development of ICF. The electron beams and the accompanying HXR emission can preheat the fuel of a thermonuclear target, thereby preventing the fuel compression from reaching densities required for the ignition of a fusion reaction. The photons above 3-4 keV radiated from a Z pinch can provide detailed information about the high energy density plasmas produced at stagnation. Hence, the investigation of characteristics of hard x-rays and electron beams produced during implosions of wire array loads on university scale-generators may provide important data for future ICF, sources of K-shell and L-shell radiations and basic plasma research. This dissertation presents the results of experimental studies of HXR and electron beam generation in wire-array and X-pinch on the 1.7 MA, 100-ns current rise time Zebra generator at University of Nevada, Reno and 1-MA 100-ns current rise-time Cornell Beam Research Accelerator (COBRA) at Cornell University. The experimental study of characteristics of HXR produced by multi-planar wire arrays, compact cylindrical wire array (CCWA) and nested cylindrical wire array (NCWA) made from Al, Cu, Mo, Ag, W and Au were analyzed. The dependence of the HXR yield and power on geometry of the load, the wire material, and load mass was observed. The presence of aluminum wires in the load with the main material such as stainless steel, Cu, Mo, Ag, W or Au in combined wire array decreases HXR yield. The comparison of emission characteristics of HXR and generation of electron beams in CCWA and NCWA on both the high impedance Zebra generator and low impedance COBRA generator were investigated. Some of the "cold" K- shell spectral lines (0.7-2.3

  19. Acceleration of Hydrogen Ions up to 30 MeV and Generation of 3 × 1012 Neutrons in Megaampere Deuterium Gas-Puff Z-Pinch

    NASA Astrophysics Data System (ADS)

    Klir, D.; Cikhardt, J.; Kravarik, J.; Kubes, P.; Rezac, K.; Sila, O.; Shishlov, A.; Cherdizov, R.; Fursov, F.; Kokshenev, V.; Kovalchuk, B.; Kurmaev, N.; Labetsky, A.; Ratakhin, N.; Orcikova, H.; Turek, K.

    2013-10-01

    Fusion neutrons were produced with a deuterium gas-puff z-pinch on the GIT-12 generator at the Institute of High Current Electronics in Tomsk. The peak neutron yield from DD reactions reached Yn = (2 . 9 +/- 0 . 3) ×1012 at 100 μg/cm linear mass density of deuterium, 700 ns implosion time and 2.7 MA current. Such a neutron yield means that the scaling law of deuterium z-pinches Yn ~I4 was extended to 3 MA currents. The further increase of neutron yields up to (3 . 7 +/- 0 . 4) ×1012 was achieved by placing a deuterated polyethylene catcher onto the axis. Maximum neutron energies of 15 and 22 MeV were observed by radial and axial nToF detectors, respectively. A stack of CR-39 track detectors showed up to 40 MeV deuterons (or 30 MeV protons) on the z-pinch axis. Since the energy input into plasmas was 70 kJ, the number of DD neutrons per one joule of stored plasma energy exceeded the value of 5 ×107 . This value implies that deuterium gas-puff z-pinches belong to the most efficient plasma-based sources of DD neutrons. This work was partially supported by the GACR grant No. P205/12/0454 and by the RFBR research project No. 13-08-00479-a.

  20. A non-LTE kinetic model for quick analysis of K-shell spectra from Z-pinch plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, J., E-mail: s.duan@163.com; Huang, X. B., E-mail: s.duan@163.com; Cai, H. C., E-mail: s.duan@163.com

    Analyzing and modeling K-shell spectra emitted by low-to moderate-atomic number plasma is a useful and effective way to retrieve temperature density of z-pinch plasmas. In this paper, a non-LTE population kinetic model for quick analysis of K-shell spectra was proposed. The model contains ionization stages from bare nucleus to neutral atoms and includes all the important atomic processes. In the present form of the model, the plasma is assumed to be both optically thin and homogeneous with constant temperature and density, and only steady-state situation is considered. According to the detailed calculations for aluminum plasmas, contours of ratios of certainmore » K-shell lines in electron temperature and density plane as well as typical synthesized spectra were presented and discussed. The usefulness of the model is demonstrated by analyzing the spectrum from a neon gas-puff Z-pinch experiment performed on a 1 MA pulsed-power accelerator.« less

  1. Z pinches as intense x-ray sources for high-energy density physics applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matzen, M.K.

    1997-05-01

    Fast Z-pinch implosions can efficiently convert the stored electrical energy in a pulsed-power accelerator into x rays. These x rays are produced when an imploding cylindrical plasma, driven by the magnetic field pressure associated with very large axial currents, stagnates upon the cylindrical axis of symmetry. On the Saturn pulsed-power accelerator [R. B. Spielman {ital et al.}, in {ital Proceedings of the 2nd International Conference on Dense Z Pinches}, Laguna Beach, CA, 1989, edited by N. R. Pereira, J. Davis, and N. Rostoker (American Institute of Physics, New York, 1989), p. 3] at Sandia National Laboratories, for example, currents ofmore » 6{endash}8 MA with a rise time of less than 50 ns are driven through cylindrically symmetric loads, producing implosion velocities as high as 10{sup 8}cm/s and x-ray energies exceeding 400 kJ. Hydromagnetic Rayleigh{endash}Taylor instabilities and cylindrical load symmetry are critical, limiting factors in determining the assembled plasma densities and temperatures, and thus in the x-ray energies and pulse widths that can be produced on these accelerators. In recent experiments on the Saturn accelerator, these implosion nonuniformities have been minimized by using wire arrays with as many as 192 wires. Increasing the wire number produced significant improvements in the pinched plasma quality, reproducibility, and x-ray output power. X-ray pulse widths of less than 5 ns and peak powers of 75{plus_minus}10TW have been achieved with arrays of 120 tungsten wires. Similar loads have recently been fielded on the Particle Beam Fusion Accelerator (PBFA II), producing x-ray energies in excess of 1.8 MJ at powers in excess of 160 TW. These intense x-ray sources offer the potential for performing many new basic physics and fusion-relevant experiments. {copyright} {ital 1997 American Institute of Physics.}« less

  2. Investigating radial wire array Z pinches as a compact x-ray source on the Saturn generator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ampleford, David J.; Bland, S. N.; Jennings, Christopher A.

    2015-08-27

    Radial wire array z pinches, where wires are positioned radially outward from a central cathode to a concentric anode, can act as a compact bright x-ray source that could potentially be used to drive a hohlraum. Experiments were performed on the 7-MA Saturn generator using radial wire arrays. These experiments studied a number of potential risks in scaling radial wire arrays up from the 1-MA level, where they have been shown to be a promising compact X-ray source. Data indicates that at 7 MA, radial wire arrays can radiate ~9 TW with 10-ns full-width at half-maximum from a compact pinch.

  3. Analytic model for the dynamic Z-pinch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Piriz, A. R., E-mail: roberto.piriz@uclm.es; Sun, Y. B.; Tahir, N. A.

    2015-06-15

    A model is presented for describing the cylindrical implosion of a shock wave driven by an accelerated piston. It is based in the identification of the acceleration of the shocked mass with the acceleration of the piston. The model yields the separate paths of the piston and the shock. In addition, by considering that the shocked region evolves isentropically, the approximate profiles of all the magnitudes in the shocked region are obtained. The application to the dynamic Z-pinch is presented and the results are compared with the well known snowplow and slug models which are also derived as limiting casesmore » of the present model. The snowplow model is seen to yield a trajectory in between those of the shock and the piston. Instead, the neglect of the inertial effects in the slug model is seen to produce a too fast implosion, and the pressure uniformity is shown to lead to an unphysical instantaneous piston stopping when the shock arrives to the axis.« less

  4. Enhanced energy coupling and x-ray emission in Z-pinch plasma implosions

    NASA Astrophysics Data System (ADS)

    Whitney, K. G.; Thornhill, J. W.; Apruzese, J. P.; Davis, J.; Deeney, C.; Coverdale, C. A.

    2004-08-01

    Recent experiments conducted on the Saturn pulsed-power generator at Sandia National Laboratories [R. B. Spielman et al., in Proceedings of the Second International Conference on Dense Z Pinches, Laguna Beach, CA, 1989, edited by N. R. Pereira, J. Davis, and N. Rostoker (American Institute of Physics, New York, 1989), p. 3] have produced large amounts of x-ray output, which cannot be accounted for in conventional magnetohydrodynamic (MHD) calculations. In these experiments, the Saturn current had a rise time of ~180 ns in contrast to a rise time of ~60 ns in Saturn's earlier mode of operation. In both aluminum and tungsten wire-array Z-pinch implosions, 2-4 times more x-ray output was generated than could be supplied according to one-dimensional (1D) magnetohydrodynamic calculations by the combined action of the j×B acceleration forces and ohmic heating (as described by a classical Braginskii resistivity). In this paper, we reexamine the problem of coupling transmission line circuits to plasma fluid equations and derive expressions for the Z-pinch load circuit resistance and inductance that relate these quantities in a 1D analysis to the surface resistivity of the fluid, and to the magnetic field energy that is stored in the vacuum diode, respectively. Enhanced energy coupling in this analysis, therefore, comes from enhancements to the surface resistivity, and we show that plasma resistivities approximately three orders of magnitude larger than classical are needed in order to achieve energy inputs that are comparable to the Saturn experiment x-ray outputs. Large enhancements of the plasma resistivity increase the rate of magnetic field and current diffusion, significantly modify the qualitative features of the MHD, and raise important questions as to how the plasma fluid dynamics converts enhanced energy inputs into enhanced x-ray outputs. One-dimensional MHD calculations in which resistivity values are adjusted phenomenologically are used to illustrate how

  5. Design of Z-Pinch and Dense Plasma Focus Powered Vehicles

    NASA Technical Reports Server (NTRS)

    Polsgrove, Tara; Fincher, Sharon; Adams, Robert B.; Cassibry, Jason; Cortez, Ross; Turner, Matthew; Maples, C. Daphne; Miermik, Janie N.; Statham, Geoffrey N.; Fabisinski, Leo; hide

    2011-01-01

    Z-pinch and Dense Plasma Focus (DPF) are two promising techniques for bringing fusion power to the field of in-space propulsion. A design team comprising of engineers and scientists from UAHuntsville, NASA's George C. Marshall Space Flight Center and the University of Wisconsin developed concept vehicles for a crewed round trip mission to Mars and an interstellar precursor mission. Outlined in this paper are vehicle concepts, complete with conceptual analysis of the mission profile, operations, structural and thermal analysis and power/avionics design. Additionally engineering design of the thruster itself is included. The design efforts adds greatly to the fidelity of estimates for power density (alpha) and overall performance for these thruster concepts

  6. Plasma expansion dynamics physics: An understanding on ion energy reduction process

    NASA Astrophysics Data System (ADS)

    Ruzic, David; Srivastava, Shailendra; Thompson, Keith; Spencer, Joshua; Sporre, John

    2007-11-01

    This paper studies the expanding plasma dynamics of ions produced from a 5J Z-pinch xenon light source used for EUV lithography. Ion energy reduction is essential for the successful implementation of this technology. To aid this investigation, ion energy from a z-pinch DPP plasma source is measured using an ion energy analyzer and effect of introducing a small percentage of low Z material on the ion energy and flux is investigated. Presence of low mass such as H2 or N2, shows a considerable reduction in total flux and in average energy. For example, Xe^+ ion flux at 5 keV are recorded as 425 ± 42 ions/cm^2.eV.pulse at 157 cm and reduced to 125 ± 12 ions/cm^2.eV.pulse when using the low mass into the system at same energy. It is also noticed that such a combination leads to decrease in sputtering without changing the EUV output. Study of the possible mechanism supporting the experimental results is numerically calculated. This computational work indicates that the observed high energies of ions are probably resulting from coulomb explosion initiated by pinch instability. It is postulated that the electrons leave first setting up an electrostatic potential which accelerates the ions. The addition of small mass actually screens the potential and decorates the ions.

  7. Observation of emission process in hydrogen-like nitrogen Z-pinch discharge with time integrated soft X-ray spectrum pinhole image

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakai, Y.; Kumai, H.; Nakanishi, Y.

    2013-02-15

    The emission spectra of hydrogen-like nitrogen Balmer at the wavelength of 13.4 nm in capillary Z-pinch discharge plasma are experimentally examined. Ionization to fully strip nitrogen at the pinch maximum, and subsequent rapid expansion cooling are required to establish the population inversion between the principal quantum number of n = 2 and n = 3. The ionization and recombination processes with estimated plasma parameters are evaluated by utilizing a time integrated spectrum pinhole image containing radial spatial information. A cylindrical capillary plasma is pinched by a triangular pulsed current with peak amplitude of 50 kA and pulse width of 50more » ns.« less

  8. Universal EUV in-band intensity detector

    DOEpatents

    Berger, Kurt W.

    2004-08-24

    Extreme ultraviolet light is detected using a universal in-band detector for detecting extreme ultraviolet radiation that includes: (a) an EUV sensitive photodiode having a diode active area that generates a current responsive to EUV radiation; (b) one or more mirrors that reflects EUV radiation having a defined wavelength(s) to the diode active area; and (c) a mask defining a pinhole that is positioned above the diode active area, wherein EUV radiation passing through the pinhole is restricted substantially to illuminating the diode active area.

  9. Conversion of electromagnetic energy in Z-pinch process of single planar wire arrays at 1.5 MA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liangping, Wang; Mo, Li; Juanjuan, Han

    The electromagnetic energy conversion in the Z-pinch process of single planar wire arrays was studied on Qiangguang generator (1.5 MA, 100 ns). Electrical diagnostics were established to monitor the voltage of the cathode-anode gap and the load current for calculating the electromagnetic energy. Lumped-element circuit model of wire arrays was employed to analyze the electromagnetic energy conversion. Inductance as well as resistance of a wire array during the Z-pinch process was also investigated. Experimental data indicate that the electromagnetic energy is mainly converted to magnetic energy and kinetic energy and ohmic heating energy can be neglected before the final stagnation. Themore » kinetic energy can be responsible for the x-ray radiation before the peak power. After the stagnation, the electromagnetic energy coupled by the load continues increasing and the resistance of the load achieves its maximum of 0.6–1.0 Ω in about 10–20 ns.« less

  10. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    NASA Astrophysics Data System (ADS)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  11. A kind of fast shutter for Z pinch diagnosis device.

    PubMed

    Wang, Liangping; Zhang, Xinjun; Sun, Tieping; Mao, Wentin

    2016-09-01

    A kind of fast shutter for protecting the diagnosis devices in Z pinch experiments is introduced in this paper. The shutter is composed of a pulling rod, a magnetic core, and a solenoid. Different from the traditional coils which were used at the voltage of 220 V, the solenoid we used must endure the high voltage of 5-10 kV and the deformation which maybe caused by the 5-10 T intense magnetic field. A creative configuration for the solenoid is developed including the winding guide, insulating sleeve, and stainless-steel sleeve. The experimental results show that the configuration of the solenoid is effective. The velocity of the valve is nearly 19 m/s and the time jitter of the shutdown is within 75 μs.

  12. A kind of fast shutter for Z pinch diagnosis device

    NASA Astrophysics Data System (ADS)

    Wang, Liangping; Zhang, Xinjun; Sun, Tieping; Mao, Wentin

    2016-09-01

    A kind of fast shutter for protecting the diagnosis devices in Z pinch experiments is introduced in this paper. The shutter is composed of a pulling rod, a magnetic core, and a solenoid. Different from the traditional coils which were used at the voltage of 220 V, the solenoid we used must endure the high voltage of 5-10 kV and the deformation which maybe caused by the 5-10 T intense magnetic field. A creative configuration for the solenoid is developed including the winding guide, insulating sleeve, and stainless-steel sleeve. The experimental results show that the configuration of the solenoid is effective. The velocity of the valve is nearly 19 m/s and the time jitter of the shutdown is within 75 μs.

  13. Electron temperature diagnostics of aluminium plasma in a z-pinch experiment at the “QiangGuang-1" facility

    NASA Astrophysics Data System (ADS)

    Li, Mo; Wu, Jian; Wang, Liang-Ping; Wu, Gang; Han, Juan-Juan; Guo, Ning; Qiu, Meng-Tong

    2012-12-01

    Two curved crystal spectrometers are set up on the “QiangGuang-1" generator to measure the z-pinch plasma spectra emitted from planar aluminum wire array loads. Kodak Biomax-MS film and an IRD AXUVHS5# array are employed to record time-integrated and time-resolved free-bound radiation, respectively. The photon energy recorded by each detector is ascertained by using the L-shell lines of molybdenum plasma. Based on the exponential relation between the continuum power and photon energies, the aluminum plasma electron temperatures are measured. For the time-integrated diagnosis, several “bright spots" indicate electron temperatures between (450 eV ~ 520 eV) ± 35%. And for the time-resolved ones, the result shows that the electron temperature reaches about 800 eV ± 30% at peak power. The system satisfies the demand of z-pinch plasma electron temperature diagnosis on a ~ 1 MA facility.

  14. Effect of Pressure Anisotropy on the m = 1 Small Wavelength Modes in Z-Pinches

    NASA Astrophysics Data System (ADS)

    Faghihi, M.

    1987-05-01

    A generalization of Freidberg's perpendicular MHD model is used to investigate the effect of pressure anisotropy on the small wavelength internal kink (m = 1) mode instability in a Z-Pinch. A normal mode analysis of perturbed motion of an incompressible, collisionless and cylindrical plasma is performed. The stability criterion is (rΣB2)' <= 0, where Σ = 1 - (P|| - P⊥)/B2. It cannot be fulfilled without violation of the fire hose stability condition Σ >= 0.

  15. Particle drift model for Z-pinch-driven magneto-Rayleigh-Taylor instability

    NASA Astrophysics Data System (ADS)

    Dan, Jia Kun; Xu, Qiang; Wang, Kun Lun; Ren, Xiao Dong; Huang, Xian Bin

    2016-09-01

    A theoretical model of Z-pinch driven magneto-Rayleigh-Taylor instability is proposed based on the particle drift point of view, which can explain the helical instability structure observed in premagnetized imploding liner experiments. It is demonstrated that all possible drift motions, including polarization drift, gradient drift, and curvature drift, which can lead to charge separations, each will attribute to an effective gravity acceleration. Theoretical predictions given by this model are dramatically different from those given by previous theories which have been readily recovered in the theory presented here as a limiting case. The theory shows qualitative agreement with available experimental data of the pitch angle and provides certain predictions to be verified.

  16. 3D MHD Simulations of Radial Wire Array Z-pinches

    NASA Astrophysics Data System (ADS)

    Niasse, N.; Chittenden, J. P.; Bland, S. N.; Suzuki-Vidal, F. A.; Hall, G. N.; Lebedev, S. V.; Calamy, H.; Zucchini, F.; Lassalle, F.; Bedoch, J. P.

    2009-01-01

    Recent experiments carried out on the MAGPIE (1 MA, 250 ns), OEDIPE (730 kA, 1.5 μs) and SPHINX (4 MA, 700 ns)[1] facilities have shown the relatively high level of scalability of the Radial Wire Array Z-pinches. These configurations where the wires stretch radially outwards from a central cathode offer numerous advantages over standard cylindrical arrays. In particular, imploding in a very stable and compact way, they seem suitable for coupling to small scale hohlraums. Making use of the 3D resistive magneto-hydrodynamic code GORGON[2] developed at Imperial College, the dynamic of the radial wire arrays is investigated. Influence of the cathode hotspots and wires angle on the x-ray emissions is also discussed. Comparison with experiments is offered to validate the numerical studies.

  17. Nanoplasmonic generation of ultrashort EUV pulses

    NASA Astrophysics Data System (ADS)

    Choi, Joonhee; Lee, Dong-Hyub; Han, Seunghwoi; Park, In-Yong; Kim, Seungchul; Kim, Seung-Woo

    2012-10-01

    Ultrashort extreme-ultraviolet (EUV) light pulses are an important tool for time-resolved pump-probe spectroscopy to investigate the ultrafast dynamics of electrons in atoms and molecules. Among several methods available to generate ultrashort EUV light pulses, the nonlinear frequency upconversion process of high-harmonic generation (HHG) draws attention as it is capable of producing coherent EUV pulses with precise control of burst timing with respect to the driving near-infrared (NIR) femtosecond laser. In this report, we present and discuss our recent experimental data obtained by the plasmon-driven HHG method that generate EUV radiation by means of plasmonic nano-focusing of NIR femtosecond pulses. For experiment, metallic waveguides having a tapered hole of funnel shape inside were fabricated by adopting the focused-ion-beam process on a micro-cantilever substrate. The plasmonic field formed within the funnelwaveguides being coupled with the incident femtosecond pulse permitted intensity enhancement by a factor of ~350, which creates a hot spot of sub-wavelength size with intensities strong enough for HHG. Experimental results showed that with injection of noble gases into the funnel-waveguides, EUV radiation is generated up to wavelengths of 32 nm and 29.6 nm from Ar and Ne gas atoms, respectively. Further, it was observed that lower-order EUV harmonics are cut off in the HHG spectra by the tiny exit aperture of the funnel-waveguide.

  18. Dynamics of current sheath in a hollow electrode Z-pinch discharge using slug model

    NASA Astrophysics Data System (ADS)

    Abd Al-Halim, Mohamed A.; Afify, M. S.

    2017-03-01

    The hollow electrode Z-pinch (HEZP) experiment is a new construction for the electromagnetic propulsion application in which the plasma is formed by the discharge between a plate and ring electrodes through which the plasma is propelled. The experimental results for 8 kV charging voltage shows that the peak discharge current is about 109 kA, which is in good agreement with the value obtained from the simulation in the slug model that simulates the sheath dynamics in the HEZP. The fitting of the discharge current from the slug model indicates that the total system inductance is 238 nH which is relatively a high static inductance accompanied with a deeper pinch depth indicating that the fitted anomalous resistance would be about 95 mΩ. The current and mass factors vary with the changing the gas pressure and the charging voltage. The current factor is between 0.4 and 0.5 on average which is relatively low value. The mass factor decreases by increasing the gas pressure indicating that the sheath is heavy to be driven by the magnetic pressure, which is also indicated from the decreases of the drive factor, hence the radial sheath velocity decreases. The plasma inductance and temperature increase with the increase of the drive factor while the minimum pinch radius decreases.

  19. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    PubMed

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  20. Small-amplitude magnetic Rayleigh-Taylor instability growth in cylindrical liners and Z-pinches imploded in an axial magnetic field

    NASA Astrophysics Data System (ADS)

    Velikovich, A. L.; Giuliani, J. L.; Clark, R. W.; Mikitchuk, D.; Kroupp, E.; Maron, Y.; Fisher, A.; Schmit, P. F.

    2014-10-01

    Recent progress in developing the MagLIF approach to pulsed-power driven inertial confinement fusion has stimulated the interest in observation and mitigation of the magnetic Rayleigh-Taylor instability (MRTI) of liners and Z-pinches imploded in an axial magnetic field. Theoretical analysis of these issues is particularly important because direct numerical simulation of the MRTI development is challenging due to intrinsically 3D helical structure of the fastest-growing modes. We review the analytical small-amplitude theory of the MRTI perturbation development and the weakly nonlinear theory of MRTI mode interaction, emphasizing basic physics, opportunity for 3D code verification against exact analytical solutions, and stabilization criteria. The theory is compared to the experimental results obtained at Weizmann Institute with gas-puff Z pinches and on the Z facility at Sandia with solid liners imploded in an axial magnetic field. Work supported by the US DOE/NNSA, and by the US-Israel Binational Science Foundation. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under Contract DE-AC04-94AL85000.

  1. Novel EUV mask black border and its impact on wafer imaging

    NASA Astrophysics Data System (ADS)

    Kodera, Yutaka; Fukugami, Norihito; Komizo, Toru; Watanabe, Genta; Ito, Shin; Yoshida, Itaru; Maruyama, Shingo; Kotani, Jun; Konishi, Toshio; Haraguchi, Takashi

    2016-03-01

    EUV lithography is the most promising technology for semiconductor device manufacturing of the 10nm node and beyond. The EUV mask is a key element in the lithographic scanner optical path. The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (REMA) blades and the die. When printing a die at dense spacing on an EUV scanner, the EUV light reflection from the image border overlaps edges of neighboring dies, affecting CD and contrast in this area. To reduce this effect an etched multilayer type black border was developed, and it was demonstrated that CD impact at the edge of a die is strongly reduced with this type of the black border (BB). However, wafer printing result still showed some CD change influenced by the black border reflection. It was proven that the CD shift was caused by DUV Out of Band (OOB) light which is emitted from EUV light source. New types of a multilayer etched BB were evaluated and showed a good potential for DUV light suppression. In this study, a novel black border called Hybrid Black Border has been developed which allows to eliminate EUV and DUV OOB light reflection. Direct measurements of OOB light from HBB and Normal BB are performed on NXE:3300B ASML EUV scanner; it is shown that HBB OOB reflection is 3x lower than that of Normal BB. Finally, we state that HBB is a promising technology allowing for CD control at die edges.

  2. Charger 1: A New Facility for Z-Pinch Research

    NASA Technical Reports Server (NTRS)

    Taylor, Brian; Cassibry, Jason; Cortez, Ross; Doughty, Glen; Adams, Robert; DeCicco, Anthony

    2017-01-01

    Charger 1 is a multipurpose pulsed power laboratory located on Redstone Arsenal, with a focus on fusion propulsion relevant experiments involving testing z-pinch diodes, pulsed magnetic nozzle and other related physics experiments. UAH and its team of pulsed power researchers are investigating ways to increase and optimize fusion production from Charger 1. Currently the team has reached high-power testing. Due to the unique safety issues related to high power operations the UAH/MSFC team has slowed repair efforts to develop safety and operations protocols. The facility is expected to be operational by the time DZP 2017 convenes. Charger 1 began life as the Decade Module 2, an experimental prototype built to prove the Decade Quad pinch configuration. The system was donated to UAH by the Defense Threat Reduction Agency (DRTA) in 2012. For the past 5 years a UAH/MSFC/Boeing team has worked to refurbish, assemble and test the system. With completion of high power testing in summer 2017 Charger 1 will become operational for experimentation. Charger 1 utilizes a Marx Bank of 72 100-kV capacitors that are charged in parallel and discharged in series. The Marx output is compressed to a pulse width of approximately 200 ns via a pulse forming network of 32 coaxial stainless steel tubes using water as a dielectric. After pulse compression a set of SF6 switches are triggered, allowing the wave front to propagate through the output line to the load. Charger 1 is capable of storing 572-kJ of energy and time compressing discharge to less than 250 ns discharge time producing a discharge of about 1 TW of discharge with 1 MV and 1 MA peak voltage and current, respectively. This capability will be used to study energy yield scaling and physics from solid density target as applied to advanced propulsion research.

  3. Diagnosing x-ray power and energy of tungsten wire array z-pinch with a flat spectral response x-ray diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Kun-lun; Ren, Xiao-dong; Huang, Xian-bin, E-mail: caephxb2003@aliyun.com

    2015-11-15

    Fast z-pinch is a very efficient way of converting electromagnetic energy to radiation. With an 8-10 MA current on primary test stand facility, about 1 MJ electromagnetic energy is delivered to vacuum chamber, which heats z-pinch plasma to radiate soft x-ray. To develop a pulsed high power x-ray source, we studied the applicability of diagnosing x-ray power from tungsten wire array z-pinch with a flat spectral response x-ray diode (FSR-XRD). The detector was originally developed to diagnose radiation of a hohlraum in SG-III prototype laser facility. It utilized a gold cathode XRD and a specially configured compound gold filter tomore » yield a nearly flat spectral response in photon energy range of 0.1-4 keV. In practice, it was critical to avoid surface contamination of gold cathode. It is illustrated that an exposure of an XRD to multiple shots caused a significant change of response. Thus, in diagnosing x-ray power and energy, we used each XRD in only one shot after calibration. In a shot serial, output of FSR-XRD was compared with output of a nickel bolometer. In these shots, the outputs agreed with each other within their uncertainties which were about 12% for FSR-XRD and about 15% for bolometer. Moreover, the ratios between the FSR-XRD and the bolometer among different shots were explored. In 8 shots, the standard deviation of the ratio was 6%. It is comparable to XRD response change of 7%.« less

  4. Experimental study of z-pinch driven radiative shocks in low density gases

    NASA Astrophysics Data System (ADS)

    Skidmore, Jonathan; Lebedev, S. V.; Suzuki-Vidal, F.; Swadling, G.; Bland, S. N.; Burdiak, G.; Chittenden, J. P.; de Grouchy, P.; Hall, G. N.; Pickworth, L.; Suttle, L.; Bennett, M.; Ciardi, A.

    2012-10-01

    Results of experiments performed on MAGPIE pulsed power facility (1.4MA, 250ns) will be presented. Shocks with velocities of 50-70km/s are driven in Ar, Xe and He gases at density ˜10-5g/cc using radial foil z-pinch configuration [1]. Measurements of the structure of the shocks obtained with laser probing will be presented and observations of the development of instabilities will be discussed. It was found that the structure of the shocks and the development of instabilities strongly depend on the rate of radiative cooling, increasing for gases with higher atomic numbers.[4pt] [1] F. Suzuki-Vidal et al., PoP 19, 022708 (2012)

  5. EUV wavefront metrology system in EUVA

    NASA Astrophysics Data System (ADS)

    Hasegawa, Takayuki; Ouchi, Chidane; Hasegawa, Masanobu; Kato, Seima; Suzuki, Akiyoshi; Sugisaki, Katsumi; Murakami, Katsuhiko; Saito, Jun; Niibe, Masahito

    2004-05-01

    An Experimental extreme ultraviolet (EUV) interferometer (EEI) using an undulator as a light source was installed in New SUBARU synchrotron facility at Himeji Institute of Technology (HIT). The EEI can evaluate the five metrology methods reported before. (1) A purpose of the EEI is to determine the most suitable method for measuring the projection optics of EUV lithography systems for mass production tools.

  6. Investigating plasma viscosity with fast framing photography in the ZaP-HD Flow Z-Pinch experiment

    NASA Astrophysics Data System (ADS)

    Weed, Jonathan Robert

    The ZaP-HD Flow Z-Pinch experiment investigates the stabilizing effect of sheared axial flows while scaling toward a high-energy-density laboratory plasma (HEDLP > 100 GPa). Stabilizing flows may persist until viscous forces dissipate a sheared flow profile. Plasma viscosity is investigated by measuring scale lengths in turbulence intentionally introduced in the plasma flow. A boron nitride turbulence-tripping probe excites small scale length turbulence in the plasma, and fast framing optical cameras are used to study time-evolved turbulent structures and viscous dissipation. A Hadland Imacon 790 fast framing camera is modified for digital image capture, but features insufficient resolution to study turbulent structures. A Shimadzu HPV-X camera captures the evolution of turbulent structures with great spatial and temporal resolution, but is unable to resolve the anticipated Kolmogorov scale in ZaP-HD as predicted by a simplified pinch model.

  7. A Compact Soft X-Ray Microscope using an Electrode-less Z-Pinch Source.

    PubMed

    Horne, S F; Silterra, J; Holber, W

    2009-01-01

    Soft X-rays (< 1Kev) are of medical interest both for imaging and microdosimetry applications. X-ray sources at this low energy present a technological challenge. Synchrotrons, while very powerful and flexible, are enormously expensive national research facilities. Conventional X-ray sources based on electron bombardment can be compact and inexpensive, but low x-ray production efficiencies at low electron energies restrict this approach to very low power applications. Laser-based sources tend to be expensive and unreliable. Energetiq Technology, Inc. (Woburn, MA, USA) markets a 92 eV, 10W(2pi sr) electrode-less Z-pinch source developed for advanced semiconductor lithography. A modified version of this commercial product has produced 400 mW at 430 eV (2pi sr), appropriate for water window soft X-ray microscopy. The US NIH has funded Energetiq to design and construct a demonstration microscope using this source, coupled to a condenser optic, as the illumination system. The design of the condenser optic matches the unique characteristics of the source to the illumination requirements of the microscope, which is otherwise a conventional design. A separate program is underway to develop a microbeam system, in conjunction with the RARAF facility at Columbia University, NY, USA. The objective is to develop a focused, sub-micron beam capable of delivering > 1 Gy/second to the nucleus of a living cell. While most facilities of this type are coupled to a large and expensive particle accelerator, the Z-pinch X-ray source enables a compact, stand-alone design suitable to a small laboratory. The major technical issues in this system involve development of suitable focusing X-ray optics. Current status of these programs will be reported.

  8. A Compact Soft X-Ray Microscope using an Electrode-less Z-Pinch Source

    PubMed Central

    Silterra, J; Holber, W

    2009-01-01

    Soft X-rays (< 1Kev) are of medical interest both for imaging and microdosimetry applications. X-ray sources at this low energy present a technological challenge. Synchrotrons, while very powerful and flexible, are enormously expensive national research facilities. Conventional X-ray sources based on electron bombardment can be compact and inexpensive, but low x-ray production efficiencies at low electron energies restrict this approach to very low power applications. Laser-based sources tend to be expensive and unreliable. Energetiq Technology, Inc. (Woburn, MA, USA) markets a 92 eV, 10W(2pi sr) electrode-less Z-pinch source developed for advanced semiconductor lithography. A modified version of this commercial product has produced 400 mW at 430 eV (2pi sr), appropriate for water window soft X-ray microscopy. The US NIH has funded Energetiq to design and construct a demonstration microscope using this source, coupled to a condenser optic, as the illumination system. The design of the condenser optic matches the unique characteristics of the source to the illumination requirements of the microscope, which is otherwise a conventional design. A separate program is underway to develop a microbeam system, in conjunction with the RARAF facility at Columbia University, NY, USA. The objective is to develop a focused, sub-micron beam capable of delivering > 1 Gy/second to the nucleus of a living cell. While most facilities of this type are coupled to a large and expensive particle accelerator, the Z-pinch X-ray source enables a compact, stand-alone design suitable to a small laboratory. The major technical issues in this system involve development of suitable focusing X-ray optics. Current status of these programs will be reported. PMID:20198115

  9. Staged Z-pinch Experiments at the 1MA Zebra pulsed-power generator: Neutron measurements

    NASA Astrophysics Data System (ADS)

    Ruskov, Emil; Darling, T.; Glebov, V.; Wessel, F. J.; Anderson, A.; Beg, F.; Conti, F.; Covington, A.; Dutra, E.; Narkis, J.; Rahman, H.; Ross, M.; Valenzuela, J.

    2017-10-01

    We report on neutron measurements from the latest Staged Z-pinch experiments at the 1MA Zebra pulsed-power generator. In these experiments a hollow shell of argon or krypton gas liner, injected between the 1 cm anode-cathode gap, compresses a deuterium plasma target of varying density. Axial magnetic field Bz <= 2 kGs, applied throughout the pinch region, stabilizes the Rayleigh-Taylor instability. The standard silver activation diagnostics and 4 plastic scintillator neutron Time of Flight (nTOF) detectors are augmented with a large area ( 1400 cm2) liquid scintillator detector to which fast gatedPhotek photomultipliers are attached. Sample data from these neutron diagnostics systems is presented. Consistently high neutron yields YDD >109 are measured, with highest yield of 2.6 ×109 . A pair of horizontally and vertically placed plastic scintillator nTOFs suggest isotropic i.e. thermonuclear origin of the neutrons produced. nTOF data from the liquid scintillator detector was cross-calibrated with the silver activation detector, and can be used for accurate calculation of the neutron yield. Funded by the Advanced Research Projects Agency - Energy, under Grant Number DE-AR0000569.

  10. Performance of 100-W HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  11. Effect of the axial magnetic field on a metallic gas-puff pinch implosion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rousskikh, A. G.; Zhigalin, A. S.; Frolova, V.

    2016-06-15

    The effect of an axial magnetic field B{sub z} on an imploding metallic gas-puff Z-pinch was studied using 2D time-gated visible self-emission imaging. Experiments were performed on the IMRI-5 generator (450 kA, 450 ns). The ambient field B{sub z} was varied from 0.15 to 1.35 T. It was found that the initial density profile of a metallic gas-puff Z-pinch can be approximated by a power law. Time-gated images showed that the magneto-Rayleigh–Taylor instabilities were suppressed during the run-in phase both without axial magnetic field and with axial magnetic field. Helical instability structures were detected during the stagnation phase for B{sub z} < 1.1 T. For B{submore » z} = 1.35 T, the pinch plasma boundary was observed to be stable in both run-in and stagnation phases. When a magnetic field of 0.3 T was applied to the pinch, the soft x-ray energy was about twice that generated without axial magnetic field, mostly due to longer dwell time at stagnation.« less

  12. Wire array Z-pinch insights for enhanced x-ray production

    NASA Astrophysics Data System (ADS)

    Sanford, T. W. L.; Mock, R. C.; Spielman, R. B.; Haines, M. G.; Chittenden, J. P.; Whitney, K. G.; Apruzese, J. P.; Peterson, D. L.; Greenly, J. B.; Sinars, D. B.; Reisman, D. B.; Mosher, D.

    1999-05-01

    Comparisons of measured total radiated x-ray power from annular wire-array z-pinches with a variety of models as a function of wire number, array mass, and load radius are reviewed. The data, which are comprehensive, have provided important insights into the features of wire-array dynamics that are critical for high x-ray power generation. Collectively, the comparisons of the data with the model calculations suggest that a number of underlying dynamical mechanisms involving cylindrical asymmetries and plasma instabilities contribute to the measured characteristics. For example, under the general assumption that the measured risetime of the total-radiated-power pulse is related to the thickness of the plasma shell formed on axis, the Heuristic Model [IEEE Trans. Plasma Sci. 26, 1275 (1998)] agrees with the measured risetime under a number of specific assumptions about the way the breakdown of the wires, the wire-plasma expansion, and the Rayleigh-Taylor instability in the r-z plane, develop. Likewise, in the high wire-number regime (where the wires are calculated to form a plasma shell prior to significant radial motion of the shell) the comparisons show that the variation in the power of the radiation generated as a function of load mass and array radius can be simulated by the two-dimensional Eulerian-radiation- magnetohydrodynamics code (E-RMHC) [Phys. Plasmas 3, 368 (1996)], using a single random-density perturbation that seeds the Rayleigh-Taylor instability in the r-z plane. For a given pulse-power generator, the comparisons suggest that (1) the smallest interwire gaps compatible with practical load construction and (2) the minimum implosion time consistent with the optimum required energy coupling of the generator to the load should produce the highest total-radiated-power levels.

  13. PINCHED PLASMA REACTOR

    DOEpatents

    Phillips, J.A.; Suydam, R.; Tuck, J.L.

    1961-07-01

    BS>A plasma confining and heating reactor is described which has the form of a torus with a B/sub 2/ producing winding on the outside of the torus and a helical winding of insulated overlapping tunns on the inside of the torus. The inner helical winding performs the double function of shielding the plasma from the vitreous container and generating a second B/sub z/ field in the opposite direction to the first B/sub z/ field after the pinch is established.

  14. Development and demonstration of a water-window soft x-ray microscope using a Z-pinching capillary discharge source

    NASA Astrophysics Data System (ADS)

    Nawaz, M. F.; Jancarek, Alexandr; Nevrkla, Michal; Duda, Martin Jakub; Pina, Ladislav

    2017-05-01

    The development and demonstration of a soft X-ray (SXR) microscope, based on a Z-pinching capillary discharge source has been realized. The Z-pinching plasma acts as a source of SXR radiation. A ceramic capacitor bank is pulsed charged up to 80 kV, and discharged through a pre- ionized nitrogen filled ceramic capillary. The discharge current has an amplitude of 25 kA. Working within the water-window spectral region (λ = 2.88 nm), corresponding to the 1s2-1s2p quantum transition of helium-like nitrogen (N5+), the microscope has a potential in exploiting the natural contrast existing between the K-absorption edges of carbon and oxygen as the main constituents of biological materials, and hence imaging them with high spatial resolution. The SXR microscope uses the grazing incidence ellipsoidal condenser mirror for the illumination, and the Fresnel zone plate optics for the imaging of samples onto a BI-CCD camera. The half- pitch spatial resolution of 100 nm [1] was achieved, as demonstrated by the knife-edge test. In order to enhance the photon-flux at the sample plane, a new scheme for focusing the radiation, from multiple capillary sources has been investigated. Details about the source, and the construction of the microscope are presented and discussed.

  15. MAIZE: a 1 MA LTD-Driven Z-Pinch at The University of Michigan

    NASA Astrophysics Data System (ADS)

    Gilgenbach, R. M.; Gomez, M. R.; Zier, J. C.; Tang, W. W.; French, D. M.; Lau, Y. Y.; Mazarakis, M. G.; Cuneo, M. E.; Johnston, M. D.; Oliver, B. V.; Mehlhorn, T. A.; Kim, A. A.; Sinebryukhov, V. A.

    2009-01-01

    Researchers at The University of Michigan have constructed and tested a 1-MA Linear Transformer Driver (LTD), the first of its type to reach the USA. The Michigan Accelerator for Inductive Z-pinch Experiments, (MAIZE), is based on the LTD developed at the Institute of High Current Electronics in collaboration with Sandia National Labs and UM. This LTD utilizes 80 capacitors and 40 spark gap switches, arranged in 40 "bricks," to deliver a 1 MA, 100 kV pulse with 100 ns risetime into a matched resistive load. Preliminary resistive-load test results are presented for the LTD facility. Planned experimental research programs at UM include: a) Studies of Magneto-Raleigh-Taylor instability of planar foils, and b) Vacuum convolute studies including cathode and anode plasma.

  16. Radial and Azimuthal Velocity Profiles in Gas-Puff Z-Pinches

    NASA Astrophysics Data System (ADS)

    Rocco, Sophia; Engelbrecht, Joseph; Banasek, Jacob; de Grouchy, Philip; Qi, Niansheng; Hammer, David

    2016-10-01

    The dynamics of neon, argon, and krypton (either singly or in combination) gas puff z-pinch plasmas are studied on Cornell's 1MA, 100-200ns rise-time COBRA pulsed power generator. The triple-nozzle gas puff valve, consisting of two annular gas puffs and a central jet, allows radial tailoring of the gas puff mass-density profile and the use of 1, 2 or 3 different gases at different pressures. Interferometry supplies information on sheath thickness and electron density, variously filtered PCDs and silicon diodes measure hard and soft x-ray production, and multi frame visible and extreme UV imaging systems allow tracking of the morphology of the plasma. A 527nm, 10J Thomson scattering diagnostic system is used to determine radial and azimuthal velocities. Implosion velocities of 170km/s (Kr) and 300km/s (Ne/Ar) are observed. We are investigating the correlations between instability growth, plasma density profile, velocity partitioning as a function of radius, and radiation production. Research supported by the NNSA Stewardship Sciences Academic Programs under DOE Cooperative Agreement No. DE-NA0001836.

  17. Optical element for full spectral purity from IR-generated EUV light sources

    NASA Astrophysics Data System (ADS)

    van den Boogaard, A. J. R.; Louis, E.; van Goor, F. A.; Bijkerk, F.

    2009-03-01

    Laser produced plasma (LLP) sources are generally considered attractive for high power EUV production in next generation lithography equipment. Such plasmas are most efficiently excited by the relatively long, infrared wavelengths of CO2-lasers, but a significant part of the rotational-vibrational excitation lines of the CO2 radiation will be backscattered by the plasma's critical density surface and consequently will be present as parasitic radiation in the spectrum of such sources. Since most optical elements in the EUV collecting and imaging train have a high reflection coefficient for IR radiation, undesirable heating phenomena at the resist level are likely to occur. In this study a completely new principle is employed to obtain full separation of EUV and IR radiation from the source by a single optical component. While the application of a transmission filter would come at the expense of EUV throughput, this technique potentially enables wavelength separation without loosing reflectance compared to a conventional Mo/Si multilayer coated element. As a result this method provides full spectral purity from the source without loss in EUV throughput. Detailed calculations on the principal of functioning are presented.

  18. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  19. Compression of an Applied Bz field by a z-pinch onto a Tamped DT Fiber for Inertial Confinement Fusion

    NASA Astrophysics Data System (ADS)

    Nash, Tom

    2009-11-01

    Simulations of a z-pinch compressing an applied 100 kG Bz field onto an on-axis DT fiber tamped with beryllium show the field reaching over 100 MG in the tamp, sufficient to confine DT alpha particles and to form a thermal barrier. The barrier allows the DT plasma to burn at a rho*r value as low as 0.045 g/cm^2, and at temperatures over 50 keV for a 63 MA drive current. Driving currents between 21 and 63 MA are considered with cryogenic DT fiber diameters between 600 μm and 1.6 mm. Pinch implosion times are 120 ns with a peak implosion velocity of 35 cm/μs. 1D simulations are of a foil pinch, but for improved stability we propose a nested wire-array. Simulated fusion yields with this system scale as the sixth power of the current, with burn fractions scaling as the fourth power of the current. At 63 MA the simulated yield is 521 MJ from 4.2 mg/cm of DT with a 37% burn fraction at a rho*r of only 0.18 g/cm^2.

  20. Use of vacuum arc plasma guns for a metal puff Z-pinch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rousskikh, A. G.; Zhigalin, A. S.; Oreshkin, V. I.

    The performance of a metal puff Z-pinch system has been studied experimentally. In this type of system, the initial cylindrical shell 4 cm in diameter was produced by ten plasma guns. Each gun initiates a vacuum arc operating between magnesium electrodes. The net current of the guns was 80 kA. The arc-produced plasma shell was compressed by using a 450-kA, 450-ns driver, and as a result, a plasma column 0.3 cm in diameter was formed. The electron temperature of the plasma reached 400 eV at an average ion concentration of 1.85 {center_dot} 10{sup 18} cm{sup -3}. The power of themore » Mg K-line radiation emitted by the plasma for 15-30 ns was 300 MW/cm.« less

  1. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    NASA Astrophysics Data System (ADS)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  2. Hard X-ray and Particle Beams Research on 1.7 MA Z-pinch and Laser Plasma Experiments

    NASA Astrophysics Data System (ADS)

    Shrestha, Ishor; Kantsyrev, Victor; Safronova, Alla; Esaulov, Andrey; Nishio, Mineyuki; Shlyaptseva, Veronica; Keim, Steven; Weller, Michael; Stafford, Austin; Petkov, Emil; Schultz, Kimberly; Cooper, Matthew; PPDL Team

    2013-10-01

    Studies of hard x-ray (HXR) emission, electron and ion beam generation in z-pinch and laser plasmas are important for Inertial Confinement Fusion (ICF) and development of HXR sources from K-shell and L-shell radiation. The characteristics of HXR and particle beams produced by implosions of planar wire arrays, nested and single cylindrical wire arrays, and X-pinches were analyzed on 100 ns UNR Zebra generator with current up to 1.7 MA. In addition, the comparison of characteristics of HXR and electron beams on Zebra and 350 fs UNR Leopard laser experiments with foils has been performed. The diagnostics include Faraday cups, HXR diodes, different x-ray spectrometers and imaging systems, and ion mass spectrometer using the technique of Thomson parabola. Future work on HXRs and particle beams in HED plasmas is discussed. This work was supported by the DOE/NNSA Cooperative agreement DE-NA0001984 and in part by DE-FC52-06NA27616. This work was also supported by the Defense Threat Reduction Agency, Basic Research Award # HDTRA1-13-1-0033, to University of Nevada, Reno.

  3. Neutron Activation Diagnostics in Deuterium Gas-Puff Experiments on the 3 MA GIT-12 Z-Pinch

    NASA Astrophysics Data System (ADS)

    Cikhardt, J.; Klir, D.; Rezac, K.; Cikhardtova, B.; Kravarik, J.; Kubes, P.; Sila, O.; Shishlov, A. V.; Cherdizov, R. K.; Fursov, F. I.; Kokshenev, V. A.; Kurmaev, N. E.; Labetsky, A. Yu; Ratakhin, N. A.; Dudkin, G. N.; Garapatsky, A. A.; Padalko, V. N.; Varlachev, V. A.; Turek, K.

    2016-10-01

    The experiments with a deuterium z-pinch on the GIT-12 generator at IHCE in Tomsk were performed in the frame of the Czech-Russian agreement. A set of neutron diagnostics included scintillation time-of-flight detectors, bubble detectors, and several kinds of threshold nuclear activation detectors in the order to obtain information about the yield, anisotropy, and spectrum of the neutrons produced by a deuterium gas-puff. The average neutron yield in these experiments was of the order of 1012 neutrons per a single shot. The energy spectrum of the produced neutrons was evaluated using neutron time-of-flight detectors and a set of neutron activation detectors. Because the deuterons in the pinch achieve multi-MeV energies, non-DD neutrons are produced by nuclear reactions of deuterons with a stainless steel vacuum chamber and aluminum components of diagnostics inside the chamber. An estimated number of the non-DD was of the order of 1011. GACR (Grant No. 16-07036S), CME (Grant Nos. LD14089, LG13029, and LH13283), MESRF (Grant No. RFMEFI59114X0001), IAEA (Grant No. RC17088), CTU (Grant No. SGS 16/223/OHK3/3T/13).

  4. Development of a EUV Test Facility at the Marshall Space Flight Center

    NASA Technical Reports Server (NTRS)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  5. Preconditioned wire array Z-pinches driven by a double pulse current generator

    NASA Astrophysics Data System (ADS)

    Wu, Jian; Lu, Yihan; Sun, Fengju; Li, Xingwen; Jiang, Xiaofeng; Wang, Zhiguo; Zhang, Daoyuan; Qiu, Aici; Lebedev, Sergey

    2018-07-01

    Suppression of the core-corona structure and wire ablation in wire array Z-pinches is investigated using a novel double pulse current generator ‘Qin-1’ facility. The ‘Qin-1’ facility allows coupling a ∼10 kA 20 ns prepulse generator with a ∼0.8 MA 160 ns main current generator. The tailored prepulse current preheats wires to a gaseous state and the time interval between the prepulse and the main current pulse allows formation of a more uniform mass distribution for the implosion. The implosion of a gasified two aluminum-wire array showed no ablation phase and allowed all array mass to participate in the implosion. The initial perturbations formed from the inhomogeneous ablation were suppressed, however, the magneto Rayleigh–Taylor (MRT) instability during the implosion was still significant and further researches on the generation and development of the MRT instabilities of this gasified wire array are needed.

  6. Efficient generation of fast neutrons by magnetized deuterons in an optimized deuterium gas-puff z-pinch

    NASA Astrophysics Data System (ADS)

    Klir, D.; Shishlov, A. V.; Kokshenev, V. A.; Kubes, P.; Labetsky, A. Yu; Rezac, K.; Cherdizov, R. K.; Cikhardt, J.; Cikhardtova, B.; Dudkin, G. N.; Fursov, F. I.; Garapatsky, A. A.; Kovalchuk, B. M.; Kravarik, J.; Kurmaev, N. E.; Orcikova, H.; Padalko, V. N.; Ratakhin, N. A.; Sila, O.; Turek, K.; Varlachev, V. A.

    2015-04-01

    Z-pinch experiments with deuterium gas puffs have been carried out on the GIT-12 generator at 3 MA currents. Recently, a novel configuration of a deuterium gas-puff z-pinch was used to accelerate deuterons and to generate fast neutrons. In order to form a homogeneous, uniformly conducting layer at a large initial radius, an inner deuterium gas puff was surrounded by an outer hollow cylindrical plasma shell. The plasma shell consisting of hydrogen and carbon ions was formed at the diameter of 350 mm by 48 plasma guns. A linear mass of the plasma shell was about 5 µg cm-1 whereas a total linear mass of deuterium gas in single or double shell gas puffs was about 100 µg cm-1. The implosion lasted 700 ns and seemed to be stable up to a 5 mm radius. During stagnation, m = 0 instabilities became more pronounced. When a disruption of necks occurred, the plasma impedance reached 0.4 Ω and high energy (>2 MeV) bremsstrahlung radiation together with high energy deuterons were produced. Maximum neutron energies of 33 MeV were observed by axial time-of-flight detectors. The observed neutron spectra could be explained by a suprathermal distribution of deuterons with a high energy tail f≤ft({{E}\\text{d}}\\right)\\propto E\\text{d}-(1.8+/- 0.2) . Neutron yields reached 3.6 × 1012 at a 2.7 MA current. A high neutron production efficiency of 6 × 107 neutrons per one joule of plasma energy resulted from the generation of high energy deuterons and from their magnetization inside plasmas.

  7. Cinematic Characterization of Convected Coherent Structures Within an Continuous Flow Z-Pinch

    NASA Astrophysics Data System (ADS)

    Underwood, Thomas; Rodriguez, Jesse; Loebner, Keith; Cappelli, Mark

    2017-10-01

    In this study, two separate diagnostics are applied to a plasma jet produced from a coaxial accelerator with characteristic velocities exceeding 105 m/s and timescales of 10 μs. In the first of these, an ultra-high frame rate CMOS camera coupled to a Z-type laser Schlieren apparatus is used to obtain flow-field refractometry data for the continuous flow Z-pinch formed within the plasma deflagration jet. The 10 MHz frame rate for 256 consecutive frames provides high temporal resolution, enabling turbulent fluctuations and plasma instabilities to be visualized over the course of a single pulse. The unique advantage of this diagnostic is its ability to simultaneously resolve both structural and temporal evolution of instabilities and density gradients within the flow. To allow for a more meaningful statistical analysis of the resulting wave motion, a multiple B-dot probe array was constructed and calibrated to operate over a broadband frequency range up to 100 MHz. The resulting probe measurements are incorporated into a wavelet analysis to uncover the dispersion relation of recorded wave motion and furthermore uncover instability growth rates. Finally these results are compared with theoretical growth rate estimates to identify underlying physics. This work is supported by the U.S. Department of Energy Stewardship Science Academic Program in addition to the National Defense Science Engineering Graduate Fellowship.

  8. Compression mechanisms in the plasma focus pinch

    NASA Astrophysics Data System (ADS)

    Lee, S.; Saw, S. H.; Ali, Jalil

    2017-03-01

    The compression of the plasma focus pinch is a dynamic process, governed by the electrodynamics of pinch elongation and opposed by the negative rate of change of current dI/dt associated with the current dip. The compressibility of the plasma is influenced by the thermodynamics primarily the specific heat ratio; with greater compressibility as the specific heat ratio γ reduces with increasing degree of freedom f of the plasma ensemble due to ionization energy for the higher Z (atomic number) gases. The most drastic compression occurs when the emitted radiation of a high-Z plasma dominates the dynamics leading in extreme cases to radiative collapse which is terminated only when the compressed density is sufficiently high for the inevitable self-absorption of radiation to occur. We discuss the central pinch equation which contains the basic electrodynamic terms with built-in thermodynamic factors and a dQ/dt term; with Q made up of a Joule heat component and absorption-corrected radiative terms. Deuterium is considered as a thermodynamic reference (fully ionized perfect gas with f = 3) as well as a zero-radiation reference (bremsstrahlung only; with radiation power negligible compared with electrodynamic power). Higher Z gases are then considered and regimes of thermodynamic enhancement of compression are systematically identified as are regimes of radiation-enhancement. The code which incorporates all these effects is used to compute pinch radius ratios in various gases as a measure of compression. Systematic numerical experiments reveal increasing severity in radiation-enhancement of compressions as atomic number increases. The work progresses towards a scaling law for radiative collapse and a generalized specific heat ratio incorporating radiation.

  9. Prospective EUV observations of hot DA white dwarfs with the EUV Explorer

    NASA Technical Reports Server (NTRS)

    Finley, David S.; Malina, Roger F.; Bowyer, Stuart

    1987-01-01

    The Extreme Ultraviolet Explorer (EUVE) will perform a high sensitivity EUV all-sky survey. A major category of sources which will be detected with the EUVE instruments consists of hot white dwarfs. Detailed preliminary studies of synthetic EUV observations of white dwarfs have been carried out using the predicted EUVE instrumental response functions. Using available information regarding space densities of white dwarfs and the distribution of neutral hydrogen in the interstellar medium, the numbers of DA white dwarfs which will be detectable in the different EUV bandpasses have been estimated.

  10. Investigation of magnetic flux transport and shock formation in a staged Z-pinch

    NASA Astrophysics Data System (ADS)

    Narkis, J.; Rahman, H. U.; Wessel, F. J.; Beg, F. N.

    2017-10-01

    Target preheating is an integral component of magnetized inertial fusion in reducing convergence ratio. In the staged Z-pinch concept, it is achieved via one or more shocks. Previous work [Narkis et al., Phys. Plasmas 23, 122706 (2016)] found that shock formation in the target occurred earlier in higher-Z liners due to faster flux transport to the target/liner interface. However, a corresponding increase in magnitude of magnetic pressure was not observed, and target implosion velocity (and therefore shock strength) remained unchanged. To investigate other means of increasing the magnitude of transported flux, a Korteweg-de Vries-Burgers equation from the 1-D single-fluid, resistive magnetohydrodynamic equations is obtained. Solutions to the nondispersive (i.e., Burgers) equation depend on nondimensional coefficients, whose dependence on liner density, temperature, etc., suggests an increase in target implosion velocity, and therefore shock strength, can be obtained by tailoring the mass of a single-liner gas puff to a double-liner configuration. In the selected test cases of 1-D simulated implosions of krypton on deuterium, the peak Mach number increased from ˜ 5 to ˜ 8 . While a notable increase was seen, Mach numbers exceeding 10 (implosion velocities exceeding ˜25 cm/μs) are necessary for adequate shock preheating.

  11. One- and two-dimensional modeling of argon K-shell emission from gas-puff Z-pinch plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thornhill, J. W.; Chong, Y. K.; Apruzese, J. P.

    2007-06-15

    In this paper, a theoretical model is described and demonstrated that serves as a useful tool for understanding K-shell radiating Z-pinch plasma behavior. Such understanding requires a self-consistent solution to the complete nonlocal thermodynamic equilibrium kinetics and radiation transport in order to realistically model opacity effects and the high-temperature state of the plasma. For this purpose, we have incorporated into the MACH2 two-dimensional magnetohydrodynamic (MHD) code [R. E. Peterkin et al., J. Comput. Phys. 140, 148 (1998)] an equation of state, called the tabular collisional radiative equilibrium (TCRE) model [J. W. Thornhill et al., Phys. Plasmas 8, 3480 (2001)], thatmore » provides reasonable approximations to the plasma's opacity state. MACH2 with TCRE is applied toward analyzing the multidimensional implosion behavior that occurred in Decade Quad (DQ) [D. Price et al., Proceedings of the 12th IEEE Pulsed Power Conference, Monterey, CA, edited by C. Stallings and H. Kirbie (IEEE, New York, 1999), p. 489] argon gas puff experiments that employed a 12 cm diameter nozzle with and without a central gas jet on axis. Typical peak drive currents and implosion times in these experiments were {approx}6 MA and {approx}230 ns. By using Planar Laser Induced Fluorescence measured initial density profiles as input to the calculations, the effect these profiles have on the ability of the pinch to efficiently produce K-shell emission can be analyzed with this combined radiation-MHD model. The calculated results are in agreement with the experimental result that the DQ central-jet configuration is superior to the no-central-jet experiment in terms of producing more K-shell emission. These theoretical results support the contention that the improved operation of the central-jet nozzle is due to the better suppression of instabilities and the higher-density K-shell radiating conditions that the central-jet configuration promotes. When we applied the model

  12. Spatial discrimination of persistent EUV oscillations in a hot waning light bridge

    NASA Astrophysics Data System (ADS)

    Walsh, D.; Yuan, R. W.

    2016-10-01

    A light bridge is usually formed as a lower atmospheric structure in nascent or decaying sunspots; it divides the umbra into separate regions. Convection, which is normally suppressed by a sunspot's strong magnetic field, is partially restored and upflows are usually observed at the spine of a bridge with downflows (or return flows) at the two flanks. This study outlines observations a light bridge unusually sustained at coronal temperatures. Viewed in AR11520 on 12th July 2012 by the High Resolution Coronal Imager (HiC) and AIA/SDO, the EUV emission intensity exhibits two persistent oscillations. The approximate 5-minute oscillations are distributed along the spine of the light bridge whereas sub-minute oscillations are distinctively co-spatial along each bridge flank (though there is a distinct time-lag between them). This indicates strongly that (i) the oscillatory driver at the flanks is connected with the collective interactions between magnetic fields of the bridge and the sunspot itself and (ii) the internal magnetic structure of the bridge is twisted. The presentation will outline the distinct oscillatory maps generated and provide insight into determining the magnetic morphology until the bridge wans several hours later.

  13. Studies of the Plasma Triggering Mechanism of Inverse Pinch Switch

    DTIC Science & Technology

    1993-11-10

    plasma - focus driven plasma-puff was also discussed in comparison with the hypocycloidal pinch plasma-puff triggering. The main discharge of inverse pinch switch with plasma - focus driven plasma-puff trigger is found to be more azimuthally uniform than that with hypocycloidal pinch plasma-puff trigger in a gas pressure region between 80 mTorr and 1 Torr. A comparative study of the INPIStron and a spark gap also reveals that the INPIStron with a low impedance Z = 9 ohms can transfer a high voltage pulse with a superior pulse-shape fidelity over that with

  14. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  15. Simulations of Ar gas-puff Z-pinch radiation sources with double shells and central jets on the Z generator

    NASA Astrophysics Data System (ADS)

    Tangri, V.; Harvey-Thompson, A. J.; Giuliani, J. L.; Thornhill, J. W.; Velikovich, A. L.; Apruzese, J. P.; Ouart, N. D.; Dasgupta, A.; Jones, B.; Jennings, C. A.

    2016-10-01

    Radiation-magnetohydrodynamic simulations using the non-local thermodynamic equilibrium Mach2-Tabular Collisional-Radiative Equilibrium code in (r, z) geometry are performed for two pairs of recent Ar gas-puff Z-pinch experiments on the refurbished Z generator with an 8 cm diameter nozzle. One pair of shots had an outer-to-inner shell mass ratio of 1:1.6 and a second pair had a ratio of 1:1. In each pair, one of the shots had a central jet. The experimental trends in the Ar K-shell yield and power are reproduced in the calculations. However, the K-shell yield and power are significantly lower than the other three shots for the case of a double-shell puff of 1:1 mass ratio and no central jet configuration. Further simulations of a hypothetical experiment with the same relative density profile of this configuration, but higher total mass, show that the coupled energy from the generator and the K-shell yield can be increased to levels achieved in the other three configurations, but not the K-shell power. Based on various measures of effective plasma radius, the compression in the 1:1 mass ratio and no central jet case is found to be less because the plasma inside the magnetic piston is hotter and of lower density. Because of the reduced density, and the reduced radiation cooling (which is proportional to the square of the density), the core plasma is hotter. Consequently, for the 1:1 outer-to-inner shell mass ratio, the load mass controls the yield and the center jet controls the power.

  16. Increasing EUV source efficiency via recycling of radiation power

    NASA Astrophysics Data System (ADS)

    Hassanein, Ahmed; Sizyuk, Valeryi; Sizyuk, Tatyana; Johnson, Kenneth C.

    2018-03-01

    EUV source power is critical for advanced lithography, for achieving economical throughput performance and also for minimizing stochastic patterning effects. Power conversion efficiency can be increased by recycling plasma-scattered laser radiation and other out-of-band radiation back to the plasma via retroreflective optics. Radiation both within and outside of the collector light path can potentially be recycled. For recycling within the collector path, the system uses a diffractive collection mirror that concomitantly filters all laser and out-of-band radiation out of the EUV output. In this paper we review the optical design concept for power recycling and present preliminary plasma-physics simulation results showing a potential gain of 60% in EUV conversion efficiency.

  17. Design requirements for a stand alone EUV interferometer

    NASA Astrophysics Data System (ADS)

    Michallon, Ph.; Constancias, C.; Lagrange, A.; Dalzotto, B.

    2008-03-01

    EUV lithography is expected to be inserted for the 32/22 nm nodes with possible extension below. EUV resist availability remains one of the main issues to be resolved. There is an urgent need to provide suitable tools to accelerate resist development and to achieve resolution, LER and sensitivity specifications simultaneously. An interferometer lithography tool offers advantages regarding conventional EUV exposure tool. It allows the evaluation of resists, free from the deficiencies of optics and mask which are limiting the achieved resolution. Traditionally, a dedicated beam line from a synchrotron, with limited access, is used as a light source in EUV interference lithography. This paper identifies the technology locks to develop a stand alone EUV interferometer using a compact EUV source. It will describe the theoretical solutions adopted and especially look at the feasibility according to available technologies. EUV sources available on the market have been evaluated in terms of power level, source size, spatial coherency, dose uniformity, accuracy, stability and reproducibility. According to the EUV source characteristics, several optic designs were studied (simple or double gratings). For each of these solutions, the source and collimation optic specifications have been determined. To reduce the exposure time, a new grating technology will also be presented allowing to significantly increasing the transmission system efficiency. The optical grating designs were studied to allow multi-pitch resolution print on the same exposure without any focus adjustment. Finally micro mechanical system supporting the gratings was studied integrating the issues due to vacuum environment, alignment capability, motion precision, automation and metrology to ensure the needed placement control between gratings and wafer. A similar study was carried out for the collimation-optics mechanical support which depends on the source characteristics.

  18. Influence of pinches on magnetic reconnection in turbulent space plasmas

    NASA Astrophysics Data System (ADS)

    Olshevsky, Vyacheslav; Lapenta, Giovanni; Markidis, Stefano; Divin, Andrey

    A generally accepted scenario of magnetic reconnection in space plasmas is the breakage of magnetic field lines in X-points. In laboratory, reconnection is widely studied in pinches, current channels embedded into twisted magnetic fields. No model of magnetic reconnection in space plasmas considers both null-points and pinches as peers. We have performed a particle-in-cell simulation of magnetic reconnection in a three-dimensional configuration where null-points are present nitially, and Z-pinches are formed during the simulation. The X-points are relatively stable, and no substantial energy dissipation is associated with them. On contrary, turbulent magnetic reconnection in the pinches causes the magnetic energy to decay at a rate of approximately 1.5 percent per ion gyro period. Current channels and twisted magnetic fields are ubiquitous in turbulent space plasmas, so pinches can be responsible for the observed high magnetic reconnection rates.

  19. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  20. Mechanism of soft x-ray continuum radiation from low-energy pinch discharges of hydrogen and ultra-low field ignition of solid fuels

    NASA Astrophysics Data System (ADS)

    Mills, R.; Lotoski, J.; Lu, Y.

    2017-09-01

    EUV continuum radiation (10-30 nm) arising only from very low energy pulsed pinch gas discharges comprising some hydrogen was first observed at BlackLight Power, Inc. and reproduced at the Harvard Center for Astrophysics (CfA). The source was determined to be due to the transition of H to the lower-energy hydrogen or hydrino state H(1/4) whose emission matches that observed wherein alternative sources were eliminated. The identity of the catalyst that accepts 3 · 27.2 eV from the H to cause the H to H(1/4) transition was determined to HOH versus 3H. The mechanism was elucidated using different oxide-coated electrodes that were selective in forming HOH versus plasma forming metal atoms as well as from the intensity profile that was a mismatch for the multi-body reaction required during 3H catalysis. The HOH catalyst was further shown to give EUV radiation of the same nature by igniting a solid fuel comprising a source of H and HOH catalyst by passing a low voltage, high current through the fuel to produce explosive plasma. No chemical reaction can release such high-energy light. No high field existed to form highly ionized ions that could give radiation in this EUV region that persisted even without power input. This plasma source serves as strong evidence for the existence of the transition of H to hydrino H(1/4) by HOH as the catalyst and a corresponding new power source wherein initial extraordinarily brilliant light-emitting prototypes are already producing photovoltaic generated electrical power. The hydrino product of a catalyst reaction of atomic hydrogen was analyzed by multiple spectroscopic techniques. Moreover, the mH catalyst was identified to be active in astronomical sources such as the Sun, stars and interstellar medium wherein the characteristics of hydrino match those of the dark matter of the Universe.

  1. Scaling of X pinches from 1 MA to 6 MA.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bland, Simon Nicholas; McBride, Ryan D.; Wenger, David Franklin

    This final report for Project 117863 summarizes progress made toward understanding how X-pinch load designs scale to high currents. The X-pinch load geometry was conceived in 1982 as a method to study the formation and properties of bright x-ray spots in z-pinch plasmas. X-pinch plasmas driven by 0.2 MA currents were found to have source sizes of 1 micron, temperatures >1 keV, lifetimes of 10-100 ps, and densities >0.1 times solid density. These conditions are believed to result from the direct magnetic compression of matter. Physical models that capture the behavior of 0.2 MA X pinches predict more extreme parametersmore » at currents >1 MA. This project developed load designs for up to 6 MA on the SATURN facility and attempted to measure the resulting plasma parameters. Source sizes of 5-8 microns were observed in some cases along with evidence for high temperatures (several keV) and short time durations (<500 ps).« less

  2. Temperature Evolution of a 1 MA Triple-Nozzle Gas-Puff Z-Pinch

    NASA Astrophysics Data System (ADS)

    de Grouchy, Philip; Banasek, Jacob; Engelbrecht, Joey; Qi, Niansheng; Atoyan, Levon; Byvank, Tom; Cahill, Adam; Moore, Hannah; Potter, William; Ransohoff, Lauren; Hammer, David; Kusse, Bruce; Laboratory of Plasma Studies Team

    2015-11-01

    Mitigation of the Rayleigh-Taylor instability (RTI) plays a critical role in optimizing x-ray output at high-energy ~ 13 keV using the triple-nozzle Krypton gas-puff at Sandia National Laboratory. RTI mitigation by gas-puff density profiling using a triple-nozzle gas-puff valve has recently been recently demonstrated on the COBRA 1MA z-pinch at Cornell University. In support of this work we investigate the role of shell cooling in the growth of RTI during gas-puff implosions. Temperature measurements within the imploding plasma shell are recorded using a 527 nm, 10 GW Thomson scattering diagnostic for Neon, Argon and Krypton puffs. The mass-density profile is held constant at 22 microgram per centimeter for all three puffs and the temperature evolution of the imploding material is recorded. In the case of Argon puffs we find that the shell ion and electron effective temperatures remain in equilibrium at around 1keV for the majority of the implosion phase. In contrast scattered spectra from Krypton are dominated by of order 10 keV effective ion temperatures. Supported by the NNSA Stewardship Sciences Academic Programs.

  3. Construction and Initial Tests of MAIZE: 1 MA LTD-Driven Z-Pinch *

    NASA Astrophysics Data System (ADS)

    Gilgenbach, R. M.; Gomez, M. R.; Zier, J. C.; Tang, W.; French, D. M.; Lau, Y. Y.; Mazarakis, M. G.; Cuneo, M. E.; Johnston, M. D.; Oliver, B. V.; Mehlhorn, T. A.; Kim, A. A.; Sinebryukhov, V. A.

    2008-11-01

    We report construction and initial testing of a 1-MA Linear Transformer Driver (LTD), The Michigan Accelerator for Inductive Z-pinch Experiments, (MAIZE). This machine, the first of its type to reach the USA, is based on the joint HCEI, Sandia Laboratories, and UM development effort. The compact LTD uses 80 capacitors and 40 spark gap switches, in 40 ``bricks'', to deliver 1 MA, 100 kV pulses with 70 ns risetime into a matched resistive load. Test results will be presented for a single brick and the full LTD. Design and construction will be presented of a low-inductance MITL. Experimental research programs under design and construction at UM include: a) Studies of Magneto-Raleigh-Taylor Instability of planar foils, and b) Vacuum convolute studies including cathode and anode plasma. Theory and simulation results will be presented for these planned experiments. Initial experimental designs and moderate-current feasibility experiments will be discussed. *Research supported by U. S. DoE through Sandia National Laboratories award document numbers 240985, 768225, 790791 and 805234 to the UM. MRG supported by NNSA Fellowship and JCZ supported by NPSC Fellowship / Sandia National Labs.

  4. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  5. Observation of EUVL mask using coherent EUV scatterometry microscope with high-harmonic-generation EUV source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-07-01

    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.

  6. DUV or EUV: that is the question

    NASA Astrophysics Data System (ADS)

    Williamson, David M.

    2000-11-01

    Lord Rayleigh's well-known equations for resolution and depth of focus indicate that resolution is better improved by reducing the wavelength of light rather than by increasing the numerical aperture (NA) of the projection optics, particularly when NA is approaching its physical limit of 1.0 in air (or vacuum). Vector aerial image simulations of diffraction-limited Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV) lithographic systems verify this simple view, even though Rayleigh's constants in Microlithography are not constant because of a variety of image enhancement techniques that attempt to compensate for the shortcomings of the aerial image when it is pushed to the limit. The aerial image is not the whole story, however. The competition between DUV and EUV systems will be decided more by economic and technological factors such as risk, time and cost of development and cost of ownership. These in turn depend on cost, availability and quality of light sources, refracting materials, photoresists and reticles.

  7. Neutron spectra from beam-target reactions in dense Z-pinches

    NASA Astrophysics Data System (ADS)

    Appelbe, B.; Chittenden, J.

    2015-10-01

    The energy spectrum of neutrons emitted by a range of deuterium and deuterium-tritium Z-pinch devices is investigated computationally using a hybrid kinetic-MHD model. 3D MHD simulations are used to model the implosion, stagnation, and break-up of dense plasma focus devices at currents of 70 kA, 500 kA, and 2 MA and also a 15 MA gas puff. Instabilities in the MHD simulations generate large electric and magnetic fields, which accelerate ions during the stagnation and break-up phases. A kinetic model is used to calculate the trajectories of these ions and the neutron spectra produced due to the interaction of these ions with the background plasma. It is found that these beam-target neutron spectra are sensitive to the electric and magnetic fields at stagnation resulting in significant differences in the spectra emitted by each device. Most notably, magnetization of the accelerated ions causes the beam-target spectra to be isotropic for the gas puff simulations. It is also shown that beam-target spectra can have a peak intensity located at a lower energy than the peak intensity of a thermonuclear spectrum. A number of other differences in the shapes of beam-target and thermonuclear spectra are also observed for each device. Finally, significant differences between the shapes of beam-target DD and DT neutron spectra, due to differences in the reaction cross-sections, are illustrated.

  8. Study of the formation, stability, and X-ray emission of the Z-pinch formed during implosion of fiber arrays at the Angara-5-1 facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleksandrov, V. V.; Volkov, G. S.; Grabovski, E. V.

    Results from experimental studies on the implosion of arrays made of kapron fibers coated with different metals (Al, In, Sn, and Bi) are presented. It is shown that the power, total energy, and spectrum of radiation emitted by the imploding array depend on the number of metallized fibers and the mass of the metal layer deposited on them but are independent of the metal characteristics (density, atomic number, etc.). Analysis of frame X-ray images shows that the Z-pinches formed in the implosion of metallized kapron fiber arrays are more stable than those formed in wire arrays and that MHD perturbationsmore » in them develop at a slower growth rate. Due to the lower rate of plasma production from kapron fibers, the plasma formed at the periphery of the array forms a layer that plays the role of a hohlraum wall partially trapping soft X-ray emission of the Z-pinch formed in the implosion of the material of the deposited metal layer. The closure of the anode aperture doubles the energy of radiation emitted in the radial direction.« less

  9. Quality control of EUVE databases

    NASA Technical Reports Server (NTRS)

    John, L. M.; Drake, J.

    1992-01-01

    The publicly accessible databases for the Extreme Ultraviolet Explorer include: the EUVE Archive mailserver; the CEA ftp site; the EUVE Guest Observer Mailserver; and the Astronomical Data System node. The EUVE Performance Assurance team is responsible for verifying that these public EUVE databases are working properly, and that the public availability of EUVE data contained therein does not infringe any data rights which may have been assigned. In this poster, we describe the Quality Assurance (QA) procedures we have developed from the approach of QA as a service organization, thus reflecting the overall EUVE philosophy of Quality Assurance integrated into normal operating procedures, rather than imposed as an external, post facto, control mechanism.

  10. Fusion neutron detector for time-of-flight measurements in z-pinch and plasma focus experiments.

    PubMed

    Klir, D; Kravarik, J; Kubes, P; Rezac, K; Litseva, E; Tomaszewski, K; Karpinski, L; Paduch, M; Scholz, M

    2011-03-01

    We have developed and tested sensitive neutron detectors for neutron time-of-flight measurements in z-pinch and plasma focus experiments with neutron emission times in tens of nanoseconds and with neutron yields between 10(6) and 10(12) per one shot. The neutron detectors are composed of a BC-408 fast plastic scintillator and Hamamatsu H1949-51 photomultiplier tube (PMT). During the calibration procedure, a PMT delay was determined for various operating voltages. The temporal resolution of the neutron detector was measured for the most commonly used PMT voltage of 1.4 kV. At the PF-1000 plasma focus, a novel method of the acquisition of a pulse height distribution has been used. This pulse height analysis enabled to determine the single neutron sensitivity for various neutron energies and to calibrate the neutron detector for absolute neutron yields at about 2.45 MeV.

  11. The effects of insulating coatings and current prepulse on tungsten planar wire array Z-pinches

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, M., E-mail: limo@nint.ac.cn; Li, Y.; State Key Laboratory of Intense Pulsed Radiation Simulation and Effect, Northwest Institute of Nuclear Technology, Xi'an 710024

    2015-12-15

    This paper presents experimental results on the effects of insulating coatings and current prepulse on tungsten planar wire array Z-pinches on ∼100 ns main current facility. Optical framing images indicated that without a current prepulse the wire ablation process was asymmetrical and the implosion was zippered. The x-ray peak power was ∼320 GW. By using insulating coatings on the wire surface the asymmetry remained, and the processes of ablation and implosion were delayed by ∼30 ns. The x-ray burst was narrow and decreased to ∼200 GW. When current prepulses were used on both standard and insulated wire arrays, implosion symmetry was improved and themore » x-ray burst was improved (to ∼520 GW peak power). In addition, there was a strong emitting precursor column for insulated loads with the current prepulse.« less

  12. A z-pinch photo-pumped pulsed atomic iodine laser

    NASA Astrophysics Data System (ADS)

    Stone, D. H.; Saunders, D. P.; Clark, M. C.

    1984-03-01

    A pulsed atomic iodine laser (CF3I) was designed and constructed using a coaxial xenon flash lamp as a pump source. The flash lamp was operated at low pressure to obtain pulse compression via xenon self-pinch. Electrical and optical diagnostics were performed for various xenon and CF3I pressures. Calorimeter data and burn patterns were obtained for the laser. Time-resolved spectroscopic data were taken throughout the CF3I pump band.

  13. Dynamics of a Z-pinch x-ray source for heating inertial-confinement-fusion relevant hohlraums to 120-160 eV

    NASA Astrophysics Data System (ADS)

    Sanford, T. W. L.; Olson, R. E.; Mock, R. C.; Chandler, G. A.; Leeper, R. J.; Nash, T. J.; Ruggles, L. E.; Simpson, W. W.; Struve, K. W.; Peterson, D. L.; Bowers, R. L.; Matuska, W.

    2000-11-01

    A Z-pinch radiation source has been developed that generates 60±20 kJ of x rays with a peak power of 13±4 TW through a 4-mm-diam axial aperture on the Z facility. The source has heated National Ignition Facility-scale (6-mm-diam by 7-mm-high) hohlraums to 122±6 eV and reduced-scale (4-mm-diam by 4-mm-high) hohlraums to 155±8 eV—providing environments suitable for indirect-drive inertial confinement fusion studies. Eulerian-RMHC (radiation-magnetohydrodynamics code) simulations that take into account the development of the Rayleigh-Taylor instability in the r-z plane provide integrated calculations of the implosion, x-ray generation, and hohlraum heating, as well as estimates of wall motion and plasma fill within the hohlraums. Lagrangian-RMHC simulations suggest that the addition of a 6 mg/cm3 CH2 fill in the reduced-scale hohlraum decreases hohlraum inner-wall velocity by ˜40% with only a 3%-5% decrease in peak temperature, in agreement with measurements.

  14. Staged Z-pinch experiments on the Mega-Ampere current driver COBRA

    NASA Astrophysics Data System (ADS)

    Valenzuela, Julio; Banasek, Jacob; Byvank, Thomas; Conti, Fabio; Greenly, John; Hammer, David; Potter, William; Rocco, Sophia; Ross, Michael; Wessel, Frank; Narkis, Jeff; Rahman, Hafiz; Ruskov, Emil; Beg, Farhat

    2017-10-01

    Experiments were conducted on the Cornell's 1 MA, 100 ns current driver COBRA with the goal of better understanding the Staged Z-pinch physics and validating MHD codes. We used a gas injector composed of an annular (1.2 cm radius) high atomic number (e.g., Ar or Kr) gas-puff and an on-axis plasma gun that delivers the ionized hydrogen target. Liner implosion velocity and stability were studied using laser shadowgraphy and interferometry as well as XUV imaging. From the data, the signature of the MRT instability and zippering effect can be seen, but time integrated X-ray imaging show a stable target plasma. A key component of the experiment was the use of optical Thomson scattering (TS) diagnostics to characterize the liner and target plasmas. By fitting the experimental scattered spectra with synthetic data, electron and ion temperature as well as density can be obtained. Preliminary analysis shows significant scattered line broadening from the plasma on-axis ( 0.5 mm diameter) which can be explained by either a low temperature H plasma with Te =Ti =75eV, or by a hot plasma with Ti =3keV, Te =350eV if an Ar-H mixture is present with an Ar fraction higher than 10%. Funded by the Advanced Research Projects Agency - Energy, DE-AR0000569.

  15. Symmetric aluminum-wire arrays generate high-quality Z pinches at large array radii

    NASA Astrophysics Data System (ADS)

    Sanford, T. W. L.; Mock, R. C.; Spielman, R. B.; Peterson, D. L.; Mosher, D.; Roderick, N. F.

    1998-10-01

    A Saturn-accelerator study of annular, aluminum-wire array, Z-pinch implosions, in the calculated high-wire-number plasma-shell regime [Phys. Rev. Lett. 77, 5063 (1996)], shows that the radiated x-ray pulse width increases from about 4 nsec to about 7 nsec, when the radius of the array is increased from 8.75 to 20 mm at a fixed array mass of 0.6 mg. Eulerian radiation- magnetohydrodynamic code (E-RMHC) simulations in the r-z plane suggest that this pulse-width increase with radius is due to the faster growth of the shell thickness (that arises from a two-stage development in the magnetic Rayleigh-Taylor instability) relative to the increase in the shell implosion velocity. Over the array radii explored, the measured peak total x-ray power of ˜40 TW and energy of ˜325 kJ show little change outside of a ±15% shot-to-shot fluctuation and are consistent with the E-RMHC simulations. Similarly, the measured peak K-shell (lines plus continuum) power of ˜8 TW and energy of ˜70 kJ show little change with radius. The minimal change in K-shell yield is in agreement with simple K-shell radiation scaling models that assume a fixed radial compression for all initial array radii. These results suggest that the improved uniformity provided by the large number of wires in the initial array reduces the disruptive effects of the Rayleigh-Taylor instability observed in small-wire-number imploding loads.

  16. EUVS Sounding Rocket Payload

    NASA Technical Reports Server (NTRS)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  17. X-ray absorption spectroscopy of aluminum z-pinch plasma with tungsten backlighter planar wire array source.

    PubMed

    Osborne, G C; Kantsyrev, V L; Safronova, A S; Esaulov, A A; Weller, M E; Shrestha, I; Shlyaptseva, V V; Ouart, N D

    2012-10-01

    Absorption features from K-shell aluminum z-pinch plasmas have recently been studied on Zebra, the 1.7 MA pulse power generator at the Nevada Terawatt Facility. In particular, tungsten plasma has been used as a semi-backlighter source in the generation of aluminum K-shell absorption spectra by placing a single Al wire at or near the end of a single planar W array. All spectroscopic experimental results were recorded using a time-integrated, spatially resolved convex potassium hydrogen phthalate (KAP) crystal spectrometer. Other diagnostics used to study these plasmas included x-ray detectors, optical imaging, laser shadowgraphy, and time-gated and time-integrated x-ray pinhole imagers. Through comparisons with previous publications, Al K-shell absorption lines are shown to be from much lower electron temperature (∼10-40 eV) plasmas than emission spectra (∼350-500 eV).

  18. Characteristics of extreme ultraviolet emission from high-Z plasmas

    NASA Astrophysics Data System (ADS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  19. EUV multilayer coatings for the Atmospheric Imaging Assembly instrument aboard the Solar Dynamics Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, R; Windt, D L; Robinson, J C

    2006-02-09

    Multilayer coatings for the 7 EUV channels of the AIA have been developed and completed successfully on all AIA flight mirrors. Mo/Si coatings (131, 171, 193.5, 211 {angstrom}) were deposited at Lawrence Livermore National Laboratory (LLNL). Mg/SiC (304, 335 {angstrom}) and Mo/Y (94 {angstrom}) coatings were deposited at Columbia University. EUV reflectance of the 131/335 {angstrom}, 171 {angstrom}, 193.5/211 {angstrom} primary and secondary flight mirrors and the 94/304 {angstrom} secondary flight mirror was measured at beamline 6.3.2. of the Advanced Light Source (ALS) at LBNL. EUV reflectance of the 94/304 {angstrom} primary and secondary flight mirrors was measured at beamlinemore » X24C of the National Synchrotron Light Source (NSLS) at Brookhaven National Lab. Preliminary EUV reflectance measurements of the 94, 304 and 335 {angstrom} coatings were performed with a laser plasma source reflectometer located at Columbia University. Prior to multilayer coating, Atomic Force Microscopy (AFM) characterization and cleaning of all flight substrates was performed at LLNL.« less

  20. Method and apparatus for inspecting an EUV mask blank

    DOEpatents

    Goldberg, Kenneth A.

    2005-11-08

    An apparatus and method for at-wavelength EUV mask-blank characterization for inspection of moderate and low spatial frequency coating uniformity using a synchrotron or other source of EUV light. The apparatus provides for rapid, non-destruction, non-contact, at-wavelength qualification of large mask areas, and can be self-calibrating or be calibrated to well-characterized reference samples. It can further check for spatial variation of mask reflectivity or for global differences among masks. The apparatus and method is particularly suited for inspection of coating uniformity and quality and can detect defects in the order of 50 .mu.m and above.

  1. Using synchrotron light to accelerate EUV resist and mask materials learning

    NASA Astrophysics Data System (ADS)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  2. [Contrast of Z-Pinch X-Ray Yield Measure Technique].

    PubMed

    Li, Mo; Wang, Liang-ping; Sheng, Liang; Lu, Yi

    2015-03-01

    Resistive bolometer and scintillant detection system are two mainly Z-pinch X-ray yield measure techniques which are based on different diagnostic principles. Contrasting the results from two methods can help with increasing precision of X-ray yield measurement. Experiments with different load material and shape were carried out on the "QiangGuang-I" facility. For Al wire arrays, X-ray yields measured by the two techniques were largely consistent. However, for insulating coating W wire arrays, X-ray yields taken from bolometer changed with load parameters while data from scintillant detection system hardly changed. Simulation and analysis draw conclusions as follows: (1) Scintillant detection system is much more sensitive to X-ray photons with low energy and its spectral response is wider than the resistive bolometer. Thus, results from the former method are always larger than the latter. (2) The responses of the two systems are both flat to Al plasma radiation. Thus, their results are consistent for Al wire array loads. (3) Radiation form planar W wire arrays is mainly composed of sub-keV soft X-ray. X-ray yields measured by the bolometer is supposed to be accurate because of the nickel foil can absorb almost all the soft X-ray. (4) By contrast, using planar W wire arrays, data from scintillant detection system hardly change with load parameters. A possible explanation is that while the distance between wires increases, plasma temperature at stagnation reduces and spectra moves toward the soft X-ray region. Scintillator is much more sensitive to the soft X-ray below 200 eV. Thus, although the total X-ray yield reduces with large diameter load, signal from the scintillant detection system is almost the same. (5) Both Techniques affected by electron beams produced by the loads.

  3. Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography

    NASA Astrophysics Data System (ADS)

    Popescu, Carmen; Frommhold, Andreas; McClelland, Alexandra; Roth, John; Ekinci, Yasin; Robinson, Alex P. G.

    2017-03-01

    Irresistible Materials is developing a new molecular resist system that demonstrates high-resolution capability based on the multi-trigger concept. A series of studies such as resist purification, developer choice,and enhanced resist crosslinking were conducted in order to optimize the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a line width roughness (LWR) of 2.7 nm at the XIL beamline of the Swiss Light source. Furthermore it was possible to pattern 14 nm hp features with dose of 14 mJ/cm2 with an LWR of 4.9 nm. We have also begun to investigate the addition of high-Z additives to EUV photoresist as a means to increase sensitivity and modify secondary electron blur.

  4. Performance of one hundred watt HVM LPP-EUV source

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  5. Designing a Small-Sized Engineering Model of Solar EUV Telescopr for a Korean Satellite

    NASA Astrophysics Data System (ADS)

    Han, Jung-Hoon; Jang, Min-Hwan; Kim, Sang-Joon

    2001-11-01

    For the research of solar EUV (extreme ultraviolet) radiation, we have designed a small-sized engineering model of solar EUV telescope, which is suitable for a Korean satellite. The EUV solar telescope was designed to observe the sun at 584.3Å (He¥°) and 629.7Å (O¥´). The optical system is an f/8 Ritchey-Chrètien, and the effective diameter and focal length are 80§® and 640§®, respectively. The He¥°and O¥´ filters are loaded in a filter wheel. In the detection part, the MCP (MicroChannel Plate) type is Z-stack, and the channel-to-diameter ratio is 40:1. MCP and CCD are connected by fiber optic taper. A commercial optical design software is used for the analysis of the optical system design.

  6. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    NASA Astrophysics Data System (ADS)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  7. Solar Demon: near real-time Flare, Dimming and EUV wave monitoring

    NASA Astrophysics Data System (ADS)

    Kraaikamp, Emil; Verbeeck, Cis

    Dimmings and EUV waves have been observed routinely in EUV images since 1996. They are closely associated with coronal mass ejections (CMEs), and therefore provide useful information for early space weather alerts. On the one hand, automatic detection and characterization of dimmings and EUV waves can be used to gain better understanding of the underlying physical mechanisms. On the other hand, every dimming and EUV wave provides extra information on the associated front side CME, and can improve estimates of the geo-effectiveness and arrival time of the CME. Solar Demon has been designed to detect and characterize dimmings, EUV waves, as well as solar flares in near real-time on Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) data. The detection modules are running continuously at the Royal Observatory of Belgium on both quick-look data, as well as synoptic science data. The output of Solar Demon can be accessed in near real-time on the Solar Demon website, and includes images, movies, light curves, and the numerical evolution of several parameters. Solar Demon is the result of collaboration between the FP7 projects AFFECTS and COMESEP. Flare detections of Solar Demon are integrated into the COMESEP alert system. Here we present the Solar Demon detection algorithms and their output. We will show several interesting flare, dimming and EUV wave events, and present general statistics of the detections made so far during solar cycle 24.

  8. A stand-alone compact EUV microscope based on gas-puff target source.

    PubMed

    Torrisi, Alfio; Wachulak, Przemyslaw; Węgrzyński, Łukasz; Fok, Tomasz; Bartnik, Andrzej; Parkman, Tomáš; Vondrová, Šárka; Turňová, Jana; Jankiewicz, Bartłomiej J; Bartosewicz, Bartosz; Fiedorowicz, Henryk

    2017-02-01

    We report on a very compact desk-top transmission extreme ultraviolet (EUV) microscope based on a laser-plasma source with a double stream gas-puff target, capable of acquiring magnified images of objects with a spatial (half-pitch) resolution of sub-50 nm. A multilayer ellipsoidal condenser is used to focus and spectrally narrow the radiation from the plasma, producing a quasi-monochromatic EUV radiation (λ = 13.8 nm) illuminating the object, whereas a Fresnel zone plate objective forms the image. Design details, development, characterization and optimization of the EUV source and the microscope are described and discussed. Test object and other samples were imaged to demonstrate superior resolution compared to visible light microscopy. © 2016 The Authors Journal of Microscopy © 2016 Royal Microscopical Society.

  9. An EUV Study of the Eclipsing M-Dwarf Binary System YY GEM

    NASA Technical Reports Server (NTRS)

    Drake, Jeremy

    2000-01-01

    EUVE, SW, MW and LW spectra have been reduced and line fluxes measured. The Deep Survey data has been analyzed and light curves have been derived. The spectra around the HE II 304 region show some evidence of emission from the bright A companion star, Castor. Preliminary results for the metallicity of the corona of YY Gem were derived from the EUVE spectra and photometry and were presented at the AAS HEAD meeting; results are being finalized for publication in a referred journal.

  10. Diagnostics of deuterium gas-puff z-pinch experiments on the GIT-12 generator

    NASA Astrophysics Data System (ADS)

    Cikhardt, J.; Klir, D.; Rezac, K.; Kubes, P.; Kravarik, J.; Batobolotova, B.; Sila, O.; Turek, K.; Shishlov, A.; Labetsky, A.; Kokshenev, V.; Chedizov, R.; Ratakhin, N.; Varlachev, V.; Garapatsky, A.; Dudkin, G.; Padalko, V.; GIT-12 Team

    2014-10-01

    Z-pinch experiments with a deuterium gas-puff and an outer plasma shell generated by plasma guns were carried out on the GIT-12 generator at the IHCE in Tomsk. Using this novel configuration of the load, the neutron yields from the DD reaction were significantly increased from 2×1011 up to 3×1012 neutrons per shot at the current level of about 3 MA. In addition to recent experiments, the threshold activation detectors were used in order to get the information about the energy spectrum of the generated neutrons. The copper, indium, and lead samples were irradiated by the pulse of the neutrons generated during the experimental shot. The decay radiation of the products from the reactions 63Cu(n,2n)62Cu, 115In(n, γ) 116 mIn and 206Pb (n,3n)204mPb was observed using gamma spectrometer. According to the used neutron ToF scintillation detectors, the energy of neutrons reaches up to 20 MeV. The work was supported by the MSMT of the Czech Republic research Programs No. ME090871, No. LG13029, by the GACR Grant No. P205/12/0454, Grant CRA IAEA No. 17088 and RFBR research Project No. 13-08-00479-a.

  11. The EUVE Proposal Database

    NASA Astrophysics Data System (ADS)

    Christian, C. A.; Olson, E. C.

    1993-01-01

    The proposal database and scheduling system for the Extreme Ultraviolet Explorer is described. The proposal database has been implemented to take input for approved observations selected by the EUVE Peer Review Panel and output target information suitable for the scheduling system to digest. The scheduling system is a hybrid of the SPIKE program and EUVE software which checks spacecraft constraints, produces a proposed schedule and selects spacecraft orientations with optimal configurations for acquiring star trackers, etc. This system is used to schedule the In Orbit Calibration activities that took place this summer, following the EUVE launch in early June 1992. The strategy we have implemented has implications for the selection of approved targets, which have impacted the Peer Review process. In addition, we will discuss how the proposal database, founded on Sybase, controls the processing of EUVE Guest Observer data.

  12. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A.

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUVmore » waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.« less

  13. Development And Characterization Of A Liner-On-Target Injector For Staged Z-Pinch Experiments

    NASA Astrophysics Data System (ADS)

    Valenzuela, J. C.; Conti, F.; Krasheninnikov, I.; Narkis, J.; Beg, F.; Wessel, F. J.; Rahman, H. U.

    2016-10-01

    We present the design and optimization of a liner-on-target injector for Staged Z-pinch experiments. The injector is composed of an annular high atomic number (e.g. Ar, Kr) gas-puff and an on-axis plasma gun that delivers the ionized deuterium target. The liner nozzle injector has been carefully studied using Computational Fluid Dynamics (CFD) simulations to produce a highly collimated 1 cm radius gas profile that satisfies the theoretical requirement for best performance on the 1 MA Zebra current driver. The CFD simulations produce density profiles as a function of the nozzle shape and gas. These profiles are initialized in the MHD MACH2 code to find the optimal liner density for a stable, uniform implosion. We use a simple Snowplow model to study the plasma sheath acceleration in a coaxial plasma gun to help us properly design the target injector. We have performed line-integrated density measurements using a CW He-Ne laser to characterize the liner gas and the plasma gun density as a function of time. The measurements are compared with models and calculations and benchmarked accordingly. Advanced Research Projects Agency - Energy, DE-AR0000569.

  14. X-ray power and yield measurements at the refurbished Z machine

    DOE PAGES

    Jones, M. C.; Ampleford, D. J.; Cuneo, M. E.; ...

    2014-08-04

    Advancements have been made in the diagnostic techniques to measure accurately the total radiated x-ray yield and power from z-pinch loads at the Z Machine with high accuracy. The Z-accelerator is capable of outputting 2MJ and 330 TW of x-ray yield and power, and accurately measuring these quantities is imperative. We will describe work over the past several years which include the development of new diagnostics, improvements to existing diagnostics, and implementation of automated data analysis routines. A set of experiments were conducted on the Z machine where the load and machine configuration were held constant. During this shot series,more » it was observed that total z-pinch x-ray emission power determined from the two common techniques for inferring the x-ray power, Kimfol filtered x-ray diode diagnostic and the Total Power and Energy diagnostic gave 450 TW and 327 TW respectively. Our analysis shows the latter to be the more accurate interpretation. More broadly, the comparison demonstrates the necessity to consider spectral response and field of view when inferring xray powers from z-pinch sources.« less

  15. On the Absence of EUV Emission from Comet C/2012 S1 (ISON)

    NASA Technical Reports Server (NTRS)

    Bryans, Paul; Pesnell, W. Dean

    2016-01-01

    When the sungrazing comet C2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun's surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This null result is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. By comparing these properties with those of sungrazing comet C2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C2012 S1 (ISON) was at least a factor of four less than that of C2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.

  16. Scaling in two-fluid pinch-off

    NASA Astrophysics Data System (ADS)

    Pommer, Chris; Suryo, Ronald; Subramani, Hariprasad; Harris, Michael; Basaran, Osman

    2009-11-01

    Two-fluid pinch-off is encountered when drops or bubbles of one fluid are ejected from a nozzle into another fluid or when a compound jet breaks. While the breakup of a drop in a passive environment and that of a passive bubble in a liquid are well understood, the physics of pinch-off when both the inner and outer fluids are dynamically active is inadequately understood. In this talk, the breakup of a compound jet whose core and shell are both incompressible Newtonian fluids is analyzed computationally by a method of lines ALE algorithm which uses finite elements with elliptic mesh generation for spatial discretization and adaptive finite differences for time integration. Pinch-off dynamics are investigated well beyond the limit of experiments set by the wavelength of visible light and that of various algorithms used in the literature. Simulations show that the minimum neck radius r initially scales with time τ before breakup as &αcirc; where α varies over a certain range. However, depending on the values of the governing dimensionless groups, this initial scaling regime may be transitory and, closer to pinch-off, the dynamics may transition to a final asymptotic regime for which r ˜&βcirc;, where β!=α.

  17. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  18. X-ray Spectropolarimetry of Z-pinch Plasmas with a Single-Crystal Technique

    NASA Astrophysics Data System (ADS)

    Wallace, Matt; Haque, Showera; Neill, Paul; Pereira, Nino; Presura, Radu

    2017-10-01

    When directed beams of energetic electrons exist in a plasma the resulting x-rays emitted by the plasma can be partially polarized. This makes plasma x-ray polarization spectroscopy, spectropolarimetry, useful for revealing information about the anisotropy of the electron velocity distribution. X-ray spectropolarimetry has indeed been used for this in both space and laboratory plasmas. X-ray polarization measurements are typically performed employing two crystals, both at a 45° Bragg angle. A single-crystal spectropolarimeter can replace two crystal schemes by utilizing two matching sets of internal planes for polarization-splitting. The polarization-splitting planes diffract the incident x-rays into two directions that are perpendicular to each other and the incident beam as well, so the two sets of diffracted x-rays are linearly polarized perpendicularly to each other. An X-cut quartz crystal with surface along the [11-20] planes and a paired set of [10-10] planes in polarization-splitting orientation is now being used on aluminum z-pinches at the University of Nevada, Reno. Past x-ray polarization measurements have been reserved for point-like sources. Recently a slotted collimating aperture has been used to maintain the required geometry for polarization-splitting enabling the spectropolarimetry of extended sources. The design of a single-crystal x-ray spectropolarimeter and experimental results will be presented. Work was supported by U.S. DOE, NNSA Grant DE-NA0001834 and cooperative agreement DE-FC52-06NA27616.

  19. Mars Thermospheric Temperature Sensitivity to Solar EUV Forcing from the MAVEN EUV Monitor

    NASA Astrophysics Data System (ADS)

    Thiemann, Ed; Eparvier, Francis; Andersson, Laila; Pilinski, Marcin; Chamberlin, Phillip; Fowler, Christopher; MAVEN Extreme Ultraviolet Monitor Team, MAVEN Langmuir Probe and Waves Team

    2017-10-01

    Solar extreme ultraviolet (EUV) radiation is the primary heat source for the Mars thermosphere, and the primary source of long-term temperature variability. The Mars obliquity, dust cycle, tides and waves also drive thermospheric temperature variability; and it is important to quantify the role of each in order to understand processes in the upper atmosphere today and, ultimately, the evolution of Mars climate over time. Although EUV radiation is the dominant heating mechanism, accurately measuring the thermospheric temperature sensitivity to EUV forcing has remained elusive, in part, because Mars thermospheric temperature varies dramatically with latitude and local time (LT), ranging from 150K on the nightside to 300K on the dayside. It follows that studies of thermospheric variability must control for location.Instruments onboard the Mars Atmosphere and Volatile EvolutioN (MAVEN) orbiter have begun to characterize thermospheric temperature sensitivity to EUV forcing. Bougher et al. [2017] used measurements from the Imaging Ultraviolet Spectrograph (IUVS) and the Neutral Gas and Ion Mass Spectrometer (NGIMS) to characterize solar activity trends in the thermosphere with some success. However, aside from restricting measurements to solar zenith angles (SZAs) below 75 degrees, they were unable to control for latitude and LT because repeat-track observations from either instrument were limited or unavailable.The MAVEN EUV Monitor (EUVM) has recently demonstrated the capability to measure thermospheric density from 100 to 200 km with solar occultations of its 17-22 nm channel. These new density measurements are ideal for tracking the long-term thermospheric temperature variability because they are inherently constrained to either 06:00 or 18:00 LT, and the orbit has precessed to include a range of ecliptic latitudes, a number of which have been revisited multiple times over 2.5 years. In this study we present, for the first-time, measurements of thermospheric

  20. Neutron production in deuterium gas-puff z-pinch with outer plasma shell at current of 3 MA

    NASA Astrophysics Data System (ADS)

    Cikhardt, J.; Klir, D.; Rezac, K.; Cikhardtova, B.; Kravarik, J.; Kubes, P.; Sila, O.; Shishlov, A. V.; Cherdizov, R. K.; Frusov, F. I.; Kokshenev, V. A.; Kurmaev, N. E.; Labetsky, A. Yu.; Ratakhin, N. A.; Dudkin, G. N.; Garapatsky, A. A.; Padalko, V. N.; Varlachev, V. A.; Turek, K.; Krasa, J.

    2015-11-01

    Z-pinch experiments at the current of about 3 MA were carried out on the GIT-12 generator. The outer plasma shell of deuterium gas-puff was generated by the system of 48 plasma guns. This configuration exhibits a high efficiency of the production of DD fusion neutrons with the yield of above 1012 neutrons produced in a single shot with the duration of about 20 ns. The maximum energy of the neutrons produced in this pulse exceeded 30 MeV. The neutron radiation was measured using scintillation TOF detectors, CR-39 nuclear track detectors, bubble detectors BD-PND and BDS-10000 and by several types of nuclear activation detectors. These diagnostic tools were used to measure the anisotropy of neutron fluence and neutron energy spectra. It allows us to estimate the total number of DD neutrons, the contribution of other nuclear reactions, the amount of scattered neutrons, and other parameters of neutron production. This work was supported by the MSMT grants LH13283, LD14089.

  1. New compact hohlraum configuration research at the 1.7 MA Z-pinch generator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kantsyrev, V. L., E-mail: victor@unr.edu; Shrestha, I. K.; Esaulov, A. A.

    A new compact Z-pinch x-ray hohlraum design with parallel-driven x-ray sources was experimentally demonstrated in a full configuration with a central target and tailored shine shields (to provide a symmetric temperature distribution on the target) at the 1.7 MA Zebra generator. This presentation reports on the joint success of two independent lines of research. One of these was the development of new sources – planar wire arrays (PWAs). PWAs turned out to be a prolific radiator. Another success was the drastic improvement in energy efficiency of pulsed-power systems, such as the Load Current Multiplier (LCM). The Zebra/LCM generator almost doubledmore » the plasma load current to 1.7 MA. The two above-mentioned innovative approaches were used in combination to produce a new compact hohlraum design for ICF, as jointly proposed by SNL and UNR. Good agreement between simulated and measured radiation temperature of the central target is shown. Experimental comparison of PWAs with planar foil liners (PFL) - another viable alternative to wire array loads at multi-MA generators show promising data. Results of research at the University of Nevada Reno allowed for the study of hohlraum coupling physics at University-scale generators. The advantages of new hohlraum design applications for multi-MA facilities with W or Au double PWAs or PFL x-ray sources are discussed.« less

  2. Numerical simulations of Z-Pinch experiments to create supersonic differentially-rotating plasma flows

    NASA Astrophysics Data System (ADS)

    Bochi, Matteo; Ummels, Sebastiaan; Chittenden, Jeremy; Lebedev, Sergey

    2011-10-01

    Recently, it was proposed that a small number of plasma jets produced by lasers could be used to generate a plasma configuration relevant to some features of astrophysical accretion disc physics. We propose complementary experimental configurations which employ converging flows generated in a cylindrical wire array Z-pinch modified to produce a rotating plasma. In this paper we present 3D MHD simulations using the code GORGON which show how this approach can be implemented at the MAGPIE facility at Imperial College, London. We will present the general scenario and the results of a parametric study relating the parameters of the array with the features of the resulting plasma. In particular, we will show how a rotating plasma cylinder or ring, with typical rotation velocity 30 Km/s and Mach number 8 is formed, and how, after about 1-2 revolutions, the material of the plasma ring is ejected in a pair of thermally driven, conical outflows propagating along the rotation axis. We will discuss to what aspects of the physics of accretion discs, the results of such experiments could be relevant. We will also consider the effects of different magnetic configurations, which further expand the possibility to relate the experiments with the astrophysical discs. Experimental implementation of some of these setups is currently in progress on MAGPIE.

  3. X-ray Power Increase from Symmetrized Wire-Array z-Pinch Implosions on Saturn.*

    NASA Astrophysics Data System (ADS)

    Sanford, T. W. L.; Allshouse, G. O.; Marder, B. M.; Nash, T. J.; Mock, R. C.; Douglas, M. R.; Spielman, R. B.; Seaman, J. F.; McGurn, J. S.; Jobe, D.; Gilliland, T. L.; Vargas, M.; Struve, K. W.; Stygar, W. A.; Hammer, J. H.; Degroot, J. S.; Eddleman, J. L.; Peterson, D. L.; Whitney, K. G.; Thornhill, J. W.; Pulsifer, P. E.; Apruzese, J. P.; Mosher, D.; Maron, Y.

    1996-11-01

    A systematic experimental study of annular aluminum wire z-pinches on the Saturn accelerator at Sandia National Laboratories shows that, for the first time, many of the measured spatial characteristics and x-ray powers can be correlated to 1D and 2D, radiation-magneto-hydrodynamic code (RMHC) simulations when large numbers of wires are used. Calculations show that the implosion begins to transition from that of individual wire plasmas to that of a continuous plasma shell when the circumferential gap between wires in the array is reduced below 1.4 +1.3/-0.7 mm. This calculated gap coincides with the measured transition of 1.4±0.4 mm between the observed regimes of slow and rapid improvement in power output with decreasing gap. In the plasma-shell regime, x-ray power has been more than tripled over that generated in the wire-plasma regime. In the full paper, measured characteristics in the plasma-shell regime are compared with 2D, 1- and 20-mm axial length simulations of the implosion using a multi-photon-group Lagrangian RMHC^1 and a three-temperature Eulerian RMHC,^2 respectively. ^1J.H. Hammer, et al., Phys. Plasmas 3, 2063 (1996). ^2D.L. Peterson, et al., Phys. Plasmas 3, 368 (1996). Work supported by U.S. DOE Contract No. DE-AC04-94AL85000.

  4. High-efficiency spectral purity filter for EUV lithography

    DOEpatents

    Chapman, Henry N [Livermore, CA

    2006-05-23

    An asymmetric-cut multilayer diffracts EUV light. A multilayer cut at an angle has the same properties as a blazed grating, and has been demonstrated to have near-perfect performance. Instead of having to nano-fabricate a grating structure with imperfections no greater than several tens of nanometers, a thick multilayer is grown on a substrate and then cut at an inclined angle using coarse and inexpensive methods. Effective grating periods can be produced this way that are 10 to 100 times smaller than those produced today, and the diffraction efficiency of these asymmetric multilayers is higher than conventional gratings. Besides their ease of manufacture, the use of an asymmetric multilayer as a spectral purity filter does not require that the design of an EUV optical system be modified in any way, unlike the proposed use of blazed gratings for such systems.

  5. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  6. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  7. Use of microsecond current prepulse for dramatic improvements of wire array Z-pinch implosion

    NASA Astrophysics Data System (ADS)

    Calamy, H.; Lassalle, F.; Loyen, A.; Zucchini, F.; Chittenden, J. P.; Hamann, F.; Maury, P.; Georges, A.; Bedoch, J. P.; Morell, A.

    2008-01-01

    The Sphinx machine [F. Lassalle et al., "Status on the SPHINX machine based on the 1microsecond LTD technology"] based on microsecond linear transformer driver (LTD) technology is used to implode an aluminium wire array with an outer diameter up to 140mm and maximum current from 3.5to5MA. 700to800ns implosion Z-pinch experiments are performed on this driver essentially with aluminium. Best results obtained before the improvement described in this paper were 1-3TW radial total power, 100-300kJ total yield, and 20-30kJ energy above 1keV. An auxiliary generator was added to the Sphinx machine in order to allow a multi microsecond current to be injected through the wire array load before the start of the main current. Amplitude and duration of this current prepulse are adjustable, with maxima ˜10kA and 50μs. This prepulse dramatically changes the ablation phase leading to an improvement of the axial homogeneity of both the implosion and the final radiating column. Total power was multiplied by a factor of 6, total yield by a factor of 2.5 with a reproducible behavior. This paper presents experimental results, magnetohydrodynamic simulations, and analysis of the effect of such a long current prepulse.

  8. ON THE ABSENCE OF EUV EMISSION FROM COMET C/2012 S1 (ISON)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bryans, Paul; Pesnell, W. Dean

    2016-05-10

    When the sungrazing comet C/2012 S1 (ISON) made its perihelion passage within two solar radii of the Sun’s surface, it was expected to be a bright emitter at extreme ultraviolet (EUV) wavelengths. However, despite solar EUV telescopes repointing to track the orbit of the comet, no emission was detected. This “null result” is interesting in its own right, offering the possibility of placing limits on the size and composition of the nucleus. We explain the lack of detection by considering the properties of the comet and the solar atmosphere that determine the intensity of EUV emission from sungrazing comets. Bymore » comparing these properties with those of sungrazing comet C/2011 W3 (Lovejoy), which did emit in the EUV, we conclude that the primary factor resulting in non-detectable EUV emission from C/2012 S1 (ISON) was an insufficiently large nucleus. We conclude that the radius of C/2012 S1 (ISON) was at least a factor of four less than that of C/2011 W3 (Lovejoy). This is consistent with white-light observations in the days before perihelion that suggested the comet was dramatically reducing in size on approach.« less

  9. Variation of high-power aluminum-wire array Z-pinch dynamics with wire number, load mass, and array radius

    NASA Astrophysics Data System (ADS)

    Sanford, T. W. L.; Mock, R. C.; Marder, B. M.; Nash, T. J.; Spielman, R. B.; Peterson, D. L.; Roderick, N. F.; Hammer, J. H.; De Groot, J. S.; Mosher, D.; Whitney, K. G.; Apruzese, J. P.

    1997-05-01

    A systematic study of annular aluminum-wire z-pinches on the Saturn accelerator shows that the quality of the implosion, (as measured by the radial convergence, the radiated energy, pulse width, and power), increases with wire number. Radiation magnetohydrodynamic (RMHC) xy simulations suggest that the implosion transitions from that of individual wire plasmas to that of a continuous plasma shell when the interwire spacing is reduced below ˜1.4 mm. In this "plasma-shell regime," many of the global radiation and plasma characteristics are in agreement with those simulated by 2D-RMHC rz simulations. In this regime, measured changes in the radiation pulse width with variations in load mass and array radius are consistent with the simulations and are explained by the development of 2D fluid motion in the rz plane. Associated variations in the K-shell yield are qualitatively explained by simple radiation-scaling models.

  10. Molecular organometallic resists for EUV (MORE): Reactivity as a function of metal center (Bi, Sb, Te and Sn)

    NASA Astrophysics Data System (ADS)

    Sitterly, Jacob; Murphy, Michael; Grzeskowiak, Steven; Denbeaux, Greg; Brainard, Robert L.

    2018-03-01

    This paper describes the photoreactivity of six organometallic complexes of the type PhnMX2 containing bismuth, antimony and tellurium, where n = 3 for bismuth and antimony and n = 2 for tellurium, and where X = acetate (O2CCH3) or pivalate (O2CC(CH3)3). These compounds were exposed to EUV light to monitor photodecomposition via in situ mass spectral analysis of the primary outgassing products of CO2, benzene and phenol. This paper explores the effect of metal center and carboxylate ligand on the EUV reactivity of these EUV photoresists.

  11. Interferometric at-wavelength flare characterization of EUV optical systems

    DOEpatents

    Naulleau, Patrick P.; Goldberg, Kenneth Alan

    2001-01-01

    The extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) provides the high-accuracy wavefront characterization critical to the development of EUV lithography systems. Enhancing the implementation of the PS/PDI can significantly extend its spatial-frequency measurement bandwidth. The enhanced PS/PDI is capable of simultaneously characterizing both wavefront and flare. The enhanced technique employs a hybrid spatial/temporal-domain point diffraction interferometer (referred to as the dual-domain PS/PDI) that is capable of suppressing the scattered-reference-light noise that hinders the conventional PS/PDI. Using the dual-domain technique in combination with a flare-measurement-optimized mask and an iterative calculation process for removing flare contribution caused by higher order grating diffraction terms, the enhanced PS/PDI can be used to simultaneously measure both figure and flare in optical systems.

  12. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  13. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Ê/hr for sputtered carbon and 40 Ê/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  14. Atomic hydrogen cleaning of EUV multilayer optics

    NASA Astrophysics Data System (ADS)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  15. Update on EUV radiometry at PTB

    NASA Astrophysics Data System (ADS)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  16. Mechanisms of EUV exposure: electrons and holes

    NASA Astrophysics Data System (ADS)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ackerman, Christian; Flynn, Tracy; Denbeaux, Greg; Brainard, Robert L.

    2017-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Current EUV photoresists are composed of photoacid generators (PAGs) in polymer matrices. Secondary electrons (2 - 80 eV) created in resists during EUV exposure play large role in acid-production. There are several proposed mechanisms for electron-resist interactions: internal excitation, electron trapping, and hole-initiated chemistry. Here, we will address two central questions in EUV resist research: (1) How many electrons are generated per EUV photon absorption? (2) By which mechanisms do these electrons interact and react with molecules in the resist? We will use this framework to evaluate the contributions of electron trapping and hole initiated chemistry to acid production in chemically amplified photoresists, with specific emphasis on the interdependence of these mechanisms. We will show measurements of acid yield from direct bulk electrolysis of PAGs and EUV exposures of PAGs in phenolic and nonphenolic polymers to narrow down the mechanistic possibilities in chemically amplified resists.

  17. EUV focus sensor: design and modeling

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    2005-05-01

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using a single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wave-lengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput opti-mizing the signal-to-noise ratio in the measured intensity contrast.

  18. EUV Focus Sensor: Design and Modeling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldberg, Kenneth A.; Teyssier, Maureen E.; Liddle, J. Alexander

    We describe performance modeling and design optimization of a prototype EUV focus sensor (FS) designed for use with existing 0.3-NA EUV projection-lithography tools. At 0.3-NA and 13.5-nm wavelength, the depth of focus shrinks to 150 nm increasing the importance of high-sensitivity focal-plane detection tools. The FS is a free-standing Ni grating structure that works in concert with a simple mask pattern of regular lines and spaces at constant pitch. The FS pitch matches that of the image-plane aerial-image intensity: it transmits the light with high efficiency when the grating is aligned with the aerial image laterally and longitudinally. Using amore » single-element photodetector, to detect the transmitted flux, the FS is scanned laterally and longitudinally so the plane of peak aerial-image contrast can be found. The design under consideration has a fixed image-plane pitch of 80-nm, with aperture widths of 12-40-nm (1-3 wavelengths), and aspect ratios of 2-8. TEMPEST-3D is used to model the light transmission. Careful attention is paid to the annular, partially coherent, unpolarized illumination and to the annular pupil of the Micro-Exposure Tool (MET) optics for which the FS is designed. The system design balances the opposing needs of high sensitivity and high throughput optimizing the signal-to-noise ratio in the measured intensity contrast.« less

  19. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  20. Exploring the readiness of EUV photo materials for patterning advanced technology nodes

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Vesters, Yannick; Shehzad, Atif; Vandenberghe, Geert; Foubert, Philippe; Beral, Christophe; Van Den Heuvel, Dieter; Mao, Ming; Lazzarino, Fred

    2017-03-01

    Imec is currently driving the extreme ultraviolet (EUV) photo material development within the imec material and equipment supplier hub. EUV baseline processes using the ASML NXE3300 full field scanner have been setup for the critical layers of the imec N7 (iN7) BEOL process modules with a resist sensitivity of 35mJ/cm2, 40mJ/cm2 and 60mJ/cm2 for metal, block and vias layer, respectively. A feasibility study on higher sensitivity resists for HVM has been recently conducted looking at 16nm dense line-space at a targeted exposure dose of 20mJ/cm2. Such a study reveals that photoresist formulations with a cost-effective resist sensitivity are feasible today. Moreover, recent advances in enhanced underlayers are further offering novel development opportunities to increase the resist sensitivity. However, line width roughness (LWR) and pattern defectivity at nano scale are the major limiting factors of the lithographic process window and further efforts are needed to reach a HVM maturity level. We will present the results of the photo material screening and we examine in detail the lithography patterning results for the best performing photoresists. We further discuss the fundamental aspects of photo materials from a light-matter interaction standpoint looking at the photo emission yield at the EUV light for different photo materials towards a better understanding of the relation between photon efficiency and patterning performance. Finally, as metal containing resists are becoming part of the EUV material landscape, we also review the manufacturing aspects of a such class of resists looking at metal cross contamination pattern and defectivity on the process equipment.

  1. Ionospheric Change and Solar EUV Irradiance

    NASA Astrophysics Data System (ADS)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  2. STABILIZED PINCH MACHINE

    DOEpatents

    Anderson, O.A.

    1962-04-24

    A device for heating and confining a high temperature gas or plasma utilizing the linear pinch effect is described. The pinch discharge produced is the form of an elongated cylinder. The electrical discharge current is returned in parallel along an axial and a concentric conductor whereby the magnetic field of the conductors compresses and stabilizes the pinch discharge against lateral instability. (AEC)

  3. Analytical techniques for mechanistic characterization of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  4. Characterisation of the current switch mechanism in two-stage wire array Z-pinches

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burdiak, G. C.; Lebedev, S. V.; Harvey-Thompson, A. J.

    2015-11-15

    In this paper, we describe the operation of a two-stage wire array z-pinch driven by the 1.4 MA, 240 ns rise-time Magpie pulsed-power device at Imperial College London. In this setup, an inverse wire array acts as a fast current switch, delivering a current pre-pulse into a cylindrical load wire array, before rapidly switching the majority of the generator current into the load after a 100–150 ns dwell time. A detailed analysis of the evolution of the load array during the pre-pulse is presented. Measurements of the load resistivity and energy deposition suggest significant bulk heating of the array mass occurs. Themore » ∼5 kA pre-pulse delivers ∼0.8 J of energy to the load, leaving it in a mixed, predominantly liquid-vapour state. The main current switch occurs as the inverse array begins to explode and plasma expands into the load region. Electrical and imaging diagnostics indicate that the main current switch may evolve in part as a plasma flow switch, driven by the expansion of a magnetic cavity and plasma bubble along the length of the load array. Analysis of implosion trajectories suggests that approximately 1 MA switches into the load in 100 ns, corresponding to a doubling of the generator dI/dt. Potential scaling of the device to higher current machines is discussed.« less

  5. Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers

    NASA Astrophysics Data System (ADS)

    Larivière, Stéphane; Wilson, Christopher J.; Kutrzeba Kotowska, Bogumila; Versluijs, Janko; Decoster, Stefan; Mao, Ming; van der Veen, Marleen H.; Jourdan, Nicolas; El-Mekki, Zaid; Heylen, Nancy; Kesters, Els; Verdonck, Patrick; Béral, Christophe; Van den Heuvel, Dieter; De Bisschop, Peter; Bekaert, Joost; Blanco, Victor; Ciofi, Ivan; Wan, Danny; Briggs, Basoene; Mallik, Arindam; Hendrickx, Eric; Kim, Ryoung-han; McIntyre, Greg; Ronse, Kurt; Bömmels, Jürgen; Tőkei, Zsolt; Mocuta, Dan

    2018-03-01

    The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore's law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations. Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers. In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.

  6. Solar EUV irradiance for space weather applications

    NASA Astrophysics Data System (ADS)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  7. Plasma diagnostics for x-ray driven foils at Z

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heeter, R F; Bailey, J E; Cuneo, M E

    We report the development of techniques to diagnose plasmas produced by X-ray photoionization of thin foils placed near the Z-pinch on the Sandia Z Machine. The development of 100+ TW X-ray sources enables access to novel plasma regimes, such as the photoionization equilibrium. To diagnose these plasmas one must simultaneously characterize both the foil and the driving pinch. The desired photoionized plasma equilibrium is only reached transiently for a 2-ns window, placing stringent requirements on diagnostic synchronization. We have adapted existing Sandia diagnostics and fielded an additional gated 3-crystal Johann spectrometer with dual lines of sight to meet these requirements.more » We present sample data from experiments in which 1 cm, 180 eV tungsten pinches photoionized foils composed of 200{angstrom} Fe and 300{angstrom} NaF co-mixed and sandwiched between 1000{angstrom} layers of Lexan (CHO), and discuss the application of this work to benchmarking astrophysical models.« less

  8. Plasma diagnostics for x-ray driven foils at Z

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heeter, R. F.; Bailey, J. E.; Cuneo, M. E.

    We report the development of techniques to diagnose plasmas produced by x-ray photoionization of thin foils placed near the Z-pinch on the Sandia Z Machine. The development of 100+ TW x-ray sources enables access to novel plasma regimes, such as the photoionization equilibrium. To diagnose these plasmas one must simultaneously characterize both the foil and the driving pinch. The desired photoionized plasma equilibrium is only reached transiently for a 2-ns window, placing stringent requirements on diagnostic synchronization. We have adapted existing Sandia diagnostics and fielded an additional gated three-crystal Johann spectrometer with dual lines of sight to meet these requirements.more » We present sample data from experiments using 1-cm, 180-eV tungsten pinches to photoionize foils made of 200 Aa Fe and 300 Aa NaF co-mixed and sandwiched between 1000 Aa layers of Lexan (C16H14O3), and discuss the application of this work to benchmarking astrophysical models.« less

  9. Surface roughness control by extreme ultraviolet (EUV) radiation

    NASA Astrophysics Data System (ADS)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  10. Coordinated XTE/EUVE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, ASCA, and XTE observed the eclipsing binary Algol (Beta Per) from 1-7 Feb. 96. The coordinated observation covered approximately 2 binary orbits of the system, with a net exposure of approximately 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointing), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the Fe abundance in the Algol system.

  11. EUVE/XTE orbit decay study

    NASA Technical Reports Server (NTRS)

    Richon, K.; Hashmall, J.; Lambertson, M.; Phillips, T.

    1988-01-01

    The Explorer Platform (EP) program currently comprises two missions, the Extreme Ultraviolet Explorer (EUVE) and the X-ray Timing Explorer (XTE), each of which consists of a scientific payload mounted to the EP. The EP has no orbit maintenance capability. The EP with the EUVE payload will be launched first. At the end of the EUVE mission, the spacecraft will be serviced by the Space Transportation System (STS), and the EUVE instrument will be exchanged for the XTE. The XTE mission will continue until reentry or reservicing by the STS. Because the missions will be using the EP sequentially, the orbit requirements are unusually constrained by orbit decay rates. The initial altitude must be selected so that, by the end of the EUVE mission (2.5 years), the spacecraft will have decayed to an altitude within the STS capabilities. In addition, the payload exchange must occur at an altitude that ensures meeting the minimum XTE mission lifetime (3 years) because no STS reboost will be available. Studies were performed using the Goddard Mission Analysis System to estimate the effects of mass, cross-sectional area, and solar flux on the fulfillment of mission requirements. In addition to results from these studies, conclusions are presented as to the accuracy of the Marshall Space Flight Center solar flux predictions.

  12. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  13. EUVE observations of the Moon

    NASA Technical Reports Server (NTRS)

    Gladstone, G. R.; Mcdonald, J. S.; Boyd, W. T.

    1993-01-01

    During its all-sky survey, the Extreme Ultraviolet Explorer (EUVE) satellite observed the Moon several times at first and last quarters, and once near the Dec. 10, 1992 lunar eclipse. We present a preliminary reduction and analysis of this data, in the form of EUV images of the Moon and derived albedos.

  14. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  15. EUVE GO Survey: High Levels of User Satisfaction

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.

    2000-12-01

    This paper describes the results of a detailed customer survey of Guest Observers (GOs) for NASA's Extreme Ultraviolet Explorer (EUVE) astronomy satellite observatory. The purpose of the research survey was to (1) measure the levels of GO customer satisfaction with respect to EUVE observing services, and (2) compare the observing experiences of EUVE GOs with their experiences using other satellite observatories. This survey was conducted as a business research project -- part of the author's graduate work as an MBA candidate. A total sample of 38 respondents, from a working population of 101 "active" EUVE GOs, participated in this survey. The results, which provided a profile of the "typical" EUVE GO, showed in a statistically significant fashion that these GOs were more than satisfied with the available EUVE observing services. In fact, the sample GOs generally rated their EUVE observing experiences to be better than average as compared to their experiences as GOs on other missions. These relatively high satisfaction results are particularly pleasing to the EUVE Project which, given its significantly reduced staffing environment at U.C. Berkeley, has continued to do more with less. This paper outlines the overall survey process: the relevant background and previous research, the survey design and methodology, and the final results and their interpretation. The paper also points out some general limitations and weaknesses of the study, along with some recommended actions for the EUVE Project and for NASA in general. This work was funded by NASA/UCB Cooperative Agreement NCC5-138.

  16. Pin-Ching Maness | NREL

    Science.gov Websites

    Pin-Ching Maness Photo of Pin-Ching Maness Pin-Ching Maness Group Research Manager III-Molecular University, 1974 Professional Experience Principal Group Manager, Photobiology Group, National Renewable in Rubrivivax gelatinosus," PLOS ONE (2014) Illustration of a model of carbon monoxide and

  17. Optimization of K-shell emission in aluminum z-pinch implosions: Theory versus experiment

    NASA Astrophysics Data System (ADS)

    Whitney, K. G.; Thornhill, J. W.; Giuliani, J. L.; Davis, J.; Miles, L. A.; Nolting, E. E.; Kenyon, V. L.; Speicer, W. A.; Draper, J. A.; Parsons, C. R.; Dang, P.; Spielman, R. B.; Nash, T. J.; McGurn, J. S.; Ruggles, L. E.; Deeney, C.; Prasad, R. R.; Warren, L.

    1994-09-01

    Two sets of z-pinch experiments were recently completed at the Saturn and Phoenix facilities of Sandia National Laboratories and the Naval Surface Warfare Center, respectively, using aluminum wire arrays of different wire and array diameters. Measurements of the total x-ray yield from the K shell of aluminum were made. In this paper, a comparison of these measurements is made to both theoretical predictions and to a similar set of earlier measurements that were made at the Double Eagle facility of Physics International Company. These three sets of yield measurements have points of agreement with predicted yields and with each other, but they also show points of mutual disagreement, whose significance is discussed. The data are analyzed using a slightly revised version of a previously published K-shell yield scaling law, and they support the existence of a reasonably well defined region in (load mass)-(implosion velocity) space in which plasma kinetic energy is efficiently converted into K-shell x rays. Furthermore, a correlation is observed between the inferred conversion efficiencies and the times in which the implosions occur relative to the times when each generator's short-circuit current reaches its peak value. Finally, unlike the Double Eagle experiments, the largest measured yields in the new experiments were observed to occur at the upper velocity boundary of the efficient emission region. Moreover, the observed yields are in fairly good quantitative agreement with an earlier scaling law prediction of the maximum K-shell x-ray yield from aluminum as a function of load mass assuming kinetic energy conversion alone.

  18. Evaluation of Turner relaxed state as a model of long-lived ion-trapping structures in plasma focus and Z-pinches

    NASA Astrophysics Data System (ADS)

    Auluck, S. K. H.

    2011-03-01

    Relatively long-lived spheroidal structures coincident with the neutron emission phase have been observed in frozen deuterium fiber Z-pinch and some plasma focus devices. Existence of energetic ion-trapping mechanism in plasma focus has also been inferred from experimental data. It has been conjectured that these are related phenomena. This paper applies Turner's theory [L. Turner, IEEE Trans. Plasma Sci. 14, 849 (1986)] of relaxation of a Hall magnetofluid to construct a model of these structures and ion-trapping mechanism. Turner's solution modified for a finite-length plasma is used to obtain expressions for the magnetic field, velocity, and equilibrium pressure fields and is shown to represent an entity which is simultaneously a fluid vortex, a force-free magnetic field, a confined finite-pressure plasma, a charged object, and a trapped energetic ion beam. Characteristic features expected from diagnostic experiments are evaluated and shown to resemble experimental observations.

  19. EUV laser produced and induced plasmas for nanolithography

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  20. The Geminga Pulsar: Soft X-Ray Variability and an EUVE Observation

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Martin, Christopher; Marshall, Herman L.; Oliversen, Ronald (Technical Monitor)

    2001-01-01

    We observed the Geminga pulsar with the EUVE satellite, detecting pulsed emission in the Deep Survey imager. Joint spectral fits of the EUVE flux with ROSAT PSPC data are consistent with thermal plus power-law models in which the thermal component makes the dominant contribution to the soft X-ray flux seen by EUVE and ROSAT. The data are consistent with blackbody emission of T = (4 - 6) x 10(exp 5) K over most of the surface of the star at the measured parallax distance of 160 pc. Although model atmospheres are more realistic, and can fit the data with effective temperatures a factor of 2 lower, current data would not discriminate between these and blackbody models. We also find evidence for variability of Geminga's soft X-ray pulse shape. Narrow dips in the light curve that were present in 1991 had largely disappeared in 1993/1994, causing the pulsed fraction to decline from 32% to 18%. If the dips are attributed to cyclotron resonance scattering by an e1 plasma on closed magnetic field lines, then the process that resupplies that plasma must be variable.

  1. The Geminga Pulsar: Soft X-Ray Variability and an EUVE Observation

    NASA Technical Reports Server (NTRS)

    Halpern, Jules P.; Martin, Christopher; Marshall, Herman L.

    1996-01-01

    We observed the Geminga pulsar with the EUVE satellite, detecting pulsed emission in the Deep Survey imager. Joint spectral fits of the EUVE flux with ROSAT PSPC data are consistent with thermal plus power-law models in which the thermal component makes the dominant contribution to the soft X-ray flux seen by EUVE and ROSAT. The data are consistent with blackbody emission of T = (4-6) x 10(exp 5) K over most of the surface of the star at the measured parallax distance of 160 pc. Although model atmospheres are more realistic, and can fit the data with effective temperatures a factor of 2 lower, current data would not discriminate between these and blackbody models. We also find evidence for variability of Geminga's soft X-ray pulse shape. Narrow dips in the light curve that were present in 1991 had largely disappeared in 1993/1994, causing the pulsed fraction to decline from 32% to 18%. If the dips are attributed to cyclotron resonance scattering by an e(+/-) plasma on closed magnetic field lines, then the process that resupplies that plasma must be variable.

  2. Theoretical modeling of PEB procedure on EUV resist using FDM formulation

    NASA Astrophysics Data System (ADS)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2018-03-01

    Semiconductor manufacturing industry has reduced the size of wafer for enhanced productivity and performance, and Extreme Ultraviolet (EUV) light source is considered as a promising solution for downsizing. A series of EUV lithography procedures contain complex photo-chemical reaction on photoresist, and it causes technical difficulties on constructing theoretical framework which facilitates rigorous investigation of underlying mechanism. Thus, we formulated finite difference method (FDM) model of post exposure bake (PEB) process on positive chemically amplified resist (CAR), and it involved acid diffusion coupled-deprotection reaction. The model is based on Fick's second law and first-order chemical reaction rate law for diffusion and deprotection, respectively. Two kinetic parameters, diffusion coefficient of acid and rate constant of deprotection, which were obtained by experiment and atomic scale simulation were applied to the model. As a result, we obtained time evolutional protecting ratio of each functional group in resist monomer which can be used to predict resulting polymer morphology after overall chemical reactions. This achievement will be the cornerstone of multiscale modeling which provides fundamental understanding on important factors for EUV performance and rational design of the next-generation photoresist.

  3. Axial plasma jet characterization on a microsecond x-pinch

    NASA Astrophysics Data System (ADS)

    Jaar, G. S.; Appartaim, R. K.

    2018-06-01

    The jets produced on a microsecond x-pinch (quarter period T1/4 ˜ 1 μs, dI/dt ˜ 0.35 kA/ns) have been studied through light-field schlieren imaging and optical framing photographs across 4 different materials: Al, Ti, Mo, and W. The axial velocity of the jets was measured and exhibited no dependence on atomic number (Z) of the wire material. There may be a dependence on another factor(s), namely, the current rise rate. The average axial jet velocity across all four materials was measured to be 2.9 ± 0.5 × 106 cm/s. The average jet diameter and the average radial jet expansion rate displayed inverse relationships with Z, which may be attributed to radiative cooling and inertia. Asymmetry between the anode and cathode jet behavior was observed and is thought to be caused by electron beam activity. The mean divergence angle of the jet was found to vary with wire material and correlated inversely with the thermal conductivity of the cold wire. Optical images indicated a two-layer structure in Al jets which may be caused by standing shocks and resemble phenomena observed in astrophysical jet formation and collimation. Kinks in the jets have also been observed which may be caused by m = 1 MHD instability modes or by the interaction of the jet with the electrode plasma.

  4. Particle protection capability of SEMI-compliant EUV-pod carriers

    NASA Astrophysics Data System (ADS)

    Huang, George; He, Long; Lystad, John; Kielbaso, Tom; Montgomery, Cecilia; Goodwin, Frank

    2010-04-01

    With the projected rollout of pre-production extreme ultraviolet lithography (EUVL) scanners in 2010, EUVL pilot line production will become a reality in wafer fabrication companies. Among EUVL infrastructure items that must be ready, EUV mask carriers remain critical. To keep non-pellicle EUV masks free from particle contamination, an EUV pod concept has been extensively studied. Early prototypes demonstrated nearly particle-free results at a 53 nm PSL equivalent inspection sensitivity during EUVL mask robotic handling, shipment, vacuum pump-purge, and storage. After the passage of SEMI E152, which specifies the EUV pod mechanical interfaces, standards-compliant EUV pod prototypes, including a production version inner pod and prototype outer pod, were built and tested. Their particle protection capability results are reported in this paper. A state-of-the-art blank defect inspection tool was used to quantify their defect protection capability during mask robotic handling, shipment, and storage tests. To ensure the availability of an EUV pod for 2010 pilot production, the progress and preliminary test results of pre-production EUV outer pods are reported as well.

  5. Availability of underlayer application to EUV process

    NASA Astrophysics Data System (ADS)

    Kosugi, Hitoshi; Fonseca, Carlos; Iwao, Fumiko; Marumoto, Hiroshi; Kim, Hyun-Woo; Cho, Kyoungyong; Park, Cheol-Hong; Park, Chang-Min; Na, Hai-Sub; Koh, Cha-Won; Cho, Hanku

    2011-04-01

    EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.

  6. Continued Analysis of EUVE Solar System Observations

    NASA Technical Reports Server (NTRS)

    Gladstone, G. Randall

    2001-01-01

    This is the final report for this project. We proposed to continue our work on extracting important results from the EUVE (Extreme UltraViolet Explorer) archive of lunar and jovian system observations. In particular, we planned to: (1) produce several monochromatic images of the Moon at the wavelengths of the brightest solar EUV emission lines; (2) search for evidence of soft X-ray emissions from the Moon and/or X-ray fluorescence at specific EUV wavelengths; (3) search for localized EUV and soft X-ray emissions associated with each of the Galilean satellites; (4) search for correlations between localized Io Plasma Torus (IPT) brightness and volcanic activity on Io; (5) search for soft X-ray emissions from Jupiter; and (6) determine the long term variability of He 58.4 nm emissions from Jupiter, and relate these to solar variability. However, the ADP review panel suggested that the work concentrate on the Jupiter/IPT observations, and provided half the requested funding. Thus we have performed no work on the first two tasks, and instead concentrated on the last three. In addition we used funds from this project to support reduction and analysis of EUVE observations of Venus. While this was not part of the original statement of work, it is entirely in keeping with extracting important results from EUVE solar system observations.

  7. Time resolved EUV spectra from Zpinching capillary discharge plasma

    NASA Astrophysics Data System (ADS)

    Jancarek, Alexandr; Nevrkla, Michal; Nawaz, Fahad

    2015-09-01

    We developed symmetrically charged driver to obtain high voltage, high current Z-pinching capillary discharge. Plasma is created by up to 70 kA, 29 ns risetime current pulse passing through a 5 mm inner diameter, 224 mm long capillary filled with gas to initial pressure in the range of 1 kPa. Due to the low inductance design of the driver, the pinch is observable directly from the measured current curve. Time-integrated and time-resolved spectra of discharge plasma radiation are recorded together with the capillary current and analyzed. The most encouraging spectra were captured in the wavelength range 8.3 ÷ 14 nm. This spectral region contains nitrogen Balmer series lines including potentially lasing NVII 2 - 3 transition. Spectral lines are identified in the NIST database using the FLY kinetic code. The line of 13.38 nm wavelength, transition NVII 2 - 3, was observed in gated, and also in time-integrated spectra for currents >60 kA. This work has been supported by the Ministry of Education, Youth and Sports of the Czech Republic grants LG13029.

  8. EUV near normal incidence collector development at SAGEM

    NASA Astrophysics Data System (ADS)

    Mercier Ythier, R.; Bozec, X.; Geyl, R.; Rinchet, A.; Hecquet, Christophe; Ravet-Krill, Marie-Françoise; Delmotte, Franck; Sassolas, Benoît; Flaminio, Raffaele; Mackowski, Jean-Marie; Michel, Christophe; Montorio, Jean-Luc; Morgado, Nazario; Pinard, Laurent; Roméo, Elodie

    2008-03-01

    Through its participation to European programs, SAGEM has worked on the design and manufacturing of normal incidence collectors for EUV sources. By opposition to grazing incidence, normal incidence collectors are expected to collect more light with a simpler and cheaper design. Designs are presented for the two current types of existing sources: Discharge Produced Plasma (DPP) and Laser Produced Plasma (LPP). Collection efficiency is calculated in both cases. It is shown that these collectors can achieve about 10 % efficiency for DPP sources and 40 % for LPP sources. SAGEM works on the collectors manufacturability are also presented, including polishing, coating and cooling. The feasibility of polishing has been demonstrated with a roughness better than 2 angstroms obtained on several materials (glass, silicon, Silicon Carbide, metals...). SAGEM is currently working with the Institut d'Optique and the Laboratoire des Materiaux Avancés on the design and the process of EUV coatings for large mirrors. Lastly, SAGEM has studied the design and feasibility of an efficient thermal control, based on a liquid cooling through slim channels machined close to the optical surface.

  9. Quantitative Evaluation of Hard X-ray Damage to Biological Samples using EUV Ptychography

    NASA Astrophysics Data System (ADS)

    Baksh, Peter; Odstrcil, Michal; Parsons, Aaron; Bailey, Jo; Deinhardt, Katrin; Chad, John E.; Brocklesby, William S.; Frey, Jeremy G.

    2017-06-01

    Coherent diffractive imaging (CDI) has become a standard method on a variety of synchrotron beam lines. The high brilliance short wavelength radiation from these sources can be used to reconstruct attenuation and relative phase of a sample with nanometre resolution via CDI methods. However, the interaction between the sample and high energy ionising radiation can cause degradation to sample structure. We demonstrate, using a laboratory based high harmonic generation (HHG) based extreme ultraviolet (EUV) source, imaging a sample of hippocampal neurons using the ptychography method. The significant increase in contrast of the sample in the EUV light allows identification of damage induced from exposure to 7.3 keV photons, without causing any damage to the sample itself.

  10. Simulation of K-α Emission from Highly Charged Cu ions for Pinches on ZR

    NASA Astrophysics Data System (ADS)

    Dasgupta, A.; Giuliani, J. L.; Clark, R. W.; Ouart, N. D.; Jones, B.; Ampleford, D. J.

    2012-10-01

    Recent spectral data of Cu shots Z1975 and Z2122 from Sandia's ZR machine are believed to show strong K-α emissions. As these K-α lines provide good diagnostics, a detailed spectral model will be developed to investigate these line emissions for analyzing the data. In a Z pinch plasma, K-α emission can occur due to e-beams, hot electrons at the tail of a Maxwellian and also pumping from hot photons emitted near the axis. K-α emission that originates from collisional processes involving hot electrons in the final phase of the pinching plasmas are associated with radiationless electron capture, inner-shell electron collisional excitation and ionization. K-α lines from various ionization stages of various materials such as Fe, Cr, Ni, and Mn were also observed in the ZR data. Contributions from ions with strong K-α transitions will be included for this study which is a preliminary attempt to investigate Cu K-α lines due to hot electrons and photons. Photo-pumped K-α emission from an outer shell is spatially distinguishable from that produced by e-beam on axis.

  11. EUV Cross-Calibration Strategies for the GOES-R SUVI

    NASA Astrophysics Data System (ADS)

    Darnel, Jonathan; Seaton, Daniel

    2016-10-01

    The challenges of maintaining calibration for solar EUV instrumentation is well-known. The lack of standard calibration sources and the fact that most solar EUV telescopes are incapable of utilizing bright astronomical EUV sources for calibration make knowledge of instrument performance quite difficult. In the recent past, calibration rocket underflights have helped establish a calibration baseline. The EVE instrument on SDO for a time provided well-calibrated, high spectral resolution solar spectra for a broad range of the EUV, but has suffered a loss of coverage at the shorter wavelengths. NOAA's Solar UltraViolet Imager (SUVI), a solar EUV imager with similarities to SDO/AIA, will provide solar imagery over nearly an entire solar cycle. In order to maintain the scientific value of the SUVI's dataset, novel approaches to calibration are necessary. Here we demonstrate a suite of methods to cross-calibrate SUVI against other solar EUV instruments through the use of proxy solar spectra.

  12. First environmental data from the EUV engineering test stand

    NASA Astrophysics Data System (ADS)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  13. It's Time For A New EUV Mission

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael Paul; Wood, K. S.; Barstow, M. A.; Cruddace, R. G.

    2010-01-01

    The J-PEX high-resolution EUV spectrometer has made a breakthrough in capability with an effective area of 7 cm2 (220-245 Å) and resolving power of 4000, which exceed EUVE by factors of 7 and 20 respectively, and cover a range beyond the 170-Å cutoff of the Chandra LETG. The EUV includes critical spectral features containing diagnostic information often not available at other wavelengths (e.g., He II Ly series), and the bulk of radiation from million degree plasmas is emitted in the EUV. Such plasmas are ubiquitous, and examples include the atmospheres of white dwarfs; accretion phenomena in young stars, CVs and AGN; stellar coronae; and the ISM of our own galaxy and of others. However, sensitive EUV spectroscopy of high resolving power is required to resolve source spectral lines and edges unambiguously, to identify features produced by the intervening ISM, and to measure line profiles and Doppler shifts. This allows exploitation of the full range of plasma diagnostic techniques developed in laboratory and solar physics. J-PEX has flown twice on NASA sounding rockets. In 2001 we observed the isolated white dwarf G191-B2B and detected both ISM and photospheric lines. In 2008 we successfully observed the binary white dwarf Feige 24, but observation time is severely limited with sounding rockets. NASA has approved no new EUV mission, but it is time for one. Here we describe the scientific case for high-resolution EUV spectroscopy, summarize the technology that makes such measurements practical, and present a concept for a 3-month orbital mission, in which J-PEX is modified for a low-cost orbital mission to acquire sensitive high-resolution spectra for 30 white dwarfs, making an important contribution to the study of white dwarf evolution and hence the chemical balance of the Galaxy, and to the understanding of structure in the LISM.

  14. Coordinated ASCA/EUVE/XTE Observations of Algol

    NASA Technical Reports Server (NTRS)

    Stern, Robert A.

    1997-01-01

    EUVE, Advanced Satellite for Cosmology and Astrophysics (ASCA), and X-ray Timing Explorer (XTE) observed the eclipsing binary Algol (Beta Per) from 1-7 Feb 1996. The coordinated observation covered approx. 2 binary orbits of the system, with a net exposure of approx. 160 ksec for EUVE, 40 ksec for ASCA (in 4 pointings), and 90 ksec for XTE (in 45 pointings). We discuss results of modeling the combined EUVE, ASCA, and XTE data using continuous differential emission measure distributions, and provide constraints on the abundance in the Algol system.

  15. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  16. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  17. Impedance Dynamics in the Self-Magnetic Pinch (SMP) Diode on the RITS-6 Accelerator

    NASA Astrophysics Data System (ADS)

    Renk, Timothy; Johnston, Mark; Leckbee, Joshua; Webb, Timothy; Mazarakis, Michael; Kiefer, Mark; Bennett, Nichelle

    2014-10-01

    The RITS-6 inductive voltage adder (IVA) accelerator (3.5-8.5 MeV) at Sandia National Laboratories produces high-power (TW) focused electron beams (<3 mm diameter) for flash x-ray radiography applications. The Self-Magnetic Pinch (SMP) diode utilizes a hollowed metal cathode to produce a pinched focus onto a high Z metal converter. The electron flow from the IVA driver into the load region complicates understanding of diode evolution. There is growing evidence that reducing cathode size below some ``optimum'' value in order to achieve desired spot size reduction results in pinch instabilities leading to either reduced dose-rate, early radiation power termination, or both. We are studying evolving pinch dynamics with current and x-ray monitors, optical diagnostics, and spectroscopy, as well as with LSP [1] code simulations. We are also planning changes to anode-cathode materials as well as changes to the diode aspect ratio in an attempt to mitigate the above trends and improve pinch stability while achieving simultaneous spot size reduction. Experiments are ongoing, and latest results will be reported [1]. LSP is a software product of ATK Mission Research, Albuquerque, NM. Sandia National Laboratories is a multiprogram laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Adminis-tration under Contract DE-AC04-94AL85000.

  18. Oblique shock structures formed during the ablation phase of aluminium wire array z-pinches

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swadling, G. F.; Lebedev, S. V.; Niasse, N.

    A series of experiments has been conducted in order to investigate the azimuthal structures formed by the interactions of cylindrically converging plasma flows during the ablation phase of aluminium wire array Z pinch implosions. These experiments were carried out using the 1.4 MA, 240 ns MAGPIE generator at Imperial College London. The main diagnostic used in this study was a two-colour, end-on, Mach-Zehnder imaging interferometer, sensitive to the axially integrated electron density of the plasma. The data collected in these experiments reveal the strongly collisional dynamics of the aluminium ablation streams. The structure of the flows is dominated by amore » dense network of oblique shock fronts, formed by supersonic collisions between adjacent ablation streams. An estimate for the range of the flow Mach number (M = 6.2-9.2) has been made based on an analysis of the observed shock geometry. Combining this measurement with previously published Thomson Scattering measurements of the plasma flow velocity by Harvey-Thompson et al.[Physics of Plasmas 19, 056303 (2012)] allowed us to place limits on the range of the ZT{sub e} of the plasma. The detailed and quantitative nature of the dataset lends itself well as a source for model validation and code verification exercises, as the exact shock geometry is sensitive to many of the plasma parameters. Comparison of electron density data produced through numerical modelling with the Gorgon 3D MHD code demonstrates that the code is able to reproduce the collisional dynamics observed in aluminium arrays reasonably well.« less

  19. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  20. Reconstruction of Solar EUV Flux 1740-2015

    NASA Astrophysics Data System (ADS)

    Svalgaard, L.

    2015-12-01

    Solar Extreme Ultraviolet (EUV) radiation creates the conducting E-layer of the ionosphere, mainly by photo ionization of molecular Oxygen. Solar heating of the ionosphere creates thermal winds which by dynamo action induce an electric field driving an electric current having a magnetic effect observable on the ground, as was discovered by G. Graham in 1722. The current rises and sets with the Sun and thus causes a readily observable diurnal variation of the geomagnetic field, allowing us the deduce the conductivity and thus the EUV flux as far back as reliable magnetic data reach. High-quality data go back to the 'Magnetic Crusade' of the 1830s and less reliable, but still usable, data are available for portions of the hundred years before that. J.R. Wolf and, independently, J.-A. Gautier discovered the dependence of the diurnal variation on solar activity, and today we understand and can invert that relationship to construct a reliable record of the EUV flux from the geomagnetic record. We compare that to the F10.7 flux and the sunspot number, and find that the reconstructed EUV flux reproduces the F10.7 flux with great accuracy. On the other hand, it appears that the Relative Sunspot Number as currently defined is beginning to no longer be a faithful representation of solar magnetic activity, at least as measured by the EUV and related indices. The reconstruction suggests that the EUV flux reaches the same low (but non-zero) value at every sunspot minimum (possibly including Grand Minima), representing an invariant 'solar magnetic ground state'.

  1. Improvements in resist performance towards EUV HVM

    NASA Astrophysics Data System (ADS)

    Yildirim, Oktay; Buitrago, Elizabeth; Hoefnagels, Rik; Meeuwissen, Marieke; Wuister, Sander; Rispens, Gijsbert; van Oosten, Anton; Derks, Paul; Finders, Jo; Vockenhuber, Michaela; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

  2. Diagnostics for Magnetically Driven Implosions on the 1-MA MAIZE Facility

    NASA Astrophysics Data System (ADS)

    Campbell, Paul; Yager-Elorriaga, David; Miller, Stephanie; Woolstrum, Jeff; Jones, Michael; Jordan, Nicholas; Lau, Y. Y.; Gilgenbach, Ronald; McBride, Ryan

    2017-10-01

    The Michigan Accelerator for Inductive Z-pinch Experiments (MAIZE) is a 3-m-diameter Linear Transformer Driver (LTD) at the University of Michigan which supplies a fast electrical pulse (0-1 MA in 100 ns, for matched loads) to various experimental configurations. In order to better investigate these loads, new diagnostics are being developed. First, an EUV/XUV micro-channel plate pinhole camera and a UV laser imaging system are being implemented to better observe the instability structures that form during implosions. Second, an x-pinch radiography diagnostic is being developed to probe deeper into the plasma loads. Third, Rogowski coils are being developed for enhanced load current measurements. Finally, a bolometry system and photo-conducting diamond (PCD) detectors will be implemented to measure x-ray power and energy. These new systems, combined with the existing twelve-frame laser shadowgraphy, and b-dot current monitors, will be powerful tools for the investigation of imploding z-pinch experiments. This research was supported by the DOE through award DE-SC0012328, Sandia National Laboratories contract DE-NA0003525, the National Science Foundation, and a Nuclear Regulatory Commission new-faculty development Grant. D.Y.E. was supported by an NSF fello.

  3. Discovery of Strong EUV-induced Balmer Emission in the New WD+dM Binary EUVE J2013+40.0 (RE 2013+400)

    NASA Astrophysics Data System (ADS)

    Thorstensen, J. R.; Vennes, S.

    1993-12-01

    The binary system EUVE J2013+40.0 (= RE 2013+400) was discovered in the EUV-selected sample of white dwarfs identified in the course of the ROSAT Wide Field Camera (WFC) all-sky survey (Pounds et al. 1993, MNRAS, 260, 77). The intense extreme ultraviolet (EUV) emission from the hot white dwarf (DAO type) was also detected in the course of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (Bowyer et al. 1993, ApJ, submitted), and the subsequent optical identification campaign suggested the association of EUVE J2013+40.0 with the Feige 24 class of binary systems (see Vennes & Thorstensen, these proceedings). Such systems consist of a hot H-rich white dwarf (DA/DAO) and a red dwarf companion (dM) and are characterized by strong, narrow, variable Balmer emission. We obtained spectroscopy with 4 Angstroms resolution at the Michigan-Dartmouth-MIT Hiltner 2.4 m, covering the Hα and Hβ range. The Hα emission line velocity and equivalent widths varied with a period of 0.708 +/- 0.003 d; the velocity semiamplitude is 89 +/- 3 km s(-1) . The emission equivalent width reaches maximum strength 0.251 +/- 0.007 cycle after maximum emission-line velocity, that is, when the emission source reaches superior conjunction. This is just as expected if the emission arises from reprocessing of the EUV radiation incident upon the face of the dM star facing the white dwarf, as proposed for Feige 24 by Thorstensen et al. (1978, ApJ, 223, 260). EUVE J2013+40.0 is one of a handful of WD+dM binary systems in which the illumination effect is observed with unambiguous clarity. By comparing Feige 24 and EUVE J2013+40.0, and modelling the white dwarf EUV emission and red dwarf Balmer emission, we constrain the orbital inclinations. Additional spectroscopy of EUVE J2013+40.0 is being scheduled to determine the component masses. These are important input data for the study of the close binary systems which arise from common envelope evolution. This work is supported by a forthcoming NASA

  4. Carbon contamination topography analysis of EUV masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Y.-J.; Yankulin, L.; Thomas, P.

    2010-03-12

    The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine possible contamination topography. Lithographic simulations were also performed and the results compared with the experimental data.

  5. CUSP-PINCH DEVICE

    DOEpatents

    Baker, W.R.; Watteau, J.P.H.

    1962-06-01

    An ion-electron plasma heating device of the pinch tube class is designed with novel means for counteracting the instabilities of an ordinary linear pinch discharge. A plasma-forming discharge is created between two spacedapart coaxial electiodes through a gas such as deuterium. A pair of spaced coaxial magnetic field coils encircle the discharge and carry opposing currents so that a magnetic field having a cuspate configuration is created around the plasma, the field being formed after the plasma has been established but before significant instability arises. Thus, containment time is increased and intensified heating is obtained. In addition to the pinch compression heating additional heating is obtained by high-frequency magnetic field modulation. (AEC)

  6. Evolution of sausage and helical modes in magnetized thin-foil cylindrical liners driven by a Z-pinch

    NASA Astrophysics Data System (ADS)

    Yager-Elorriaga, D. A.; Lau, Y. Y.; Zhang, P.; Campbell, P. C.; Steiner, A. M.; Jordan, N. M.; McBride, R. D.; Gilgenbach, R. M.

    2018-05-01

    In this paper, we present experimental results on axially magnetized (Bz = 0.5 - 2.0 T), thin-foil (400 nm-thick) cylindrical liner-plasmas driven with ˜600 kA by the Michigan Accelerator for Inductive Z-Pinch Experiments, which is a linear transformer driver at the University of Michigan. We show that: (1) the applied axial magnetic field, irrespective of its direction (e.g., parallel or anti-parallel to the flow of current), reduces the instability amplitude for pure magnetohydrodynamic (MHD) modes [defined as modes devoid of the acceleration-driven magneto-Rayleigh-Taylor (MRT) instability]; (2) axially magnetized, imploding liners (where MHD modes couple to MRT) generate m = 1 or m = 2 helical modes that persist from the implosion to the subsequent explosion stage; (3) the merging of instability structures is a mechanism that enables the appearance of an exponential instability growth rate for a longer than expected time-period; and (4) an inverse cascade in both the axial and azimuthal wavenumbers, k and m, may be responsible for the final m = 2 helical structure observed in our experiments. These experiments are particularly relevant to the magnetized liner inertial fusion program pursued at Sandia National Laboratories, where helical instabilities have been observed.

  7. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    NASA Astrophysics Data System (ADS)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  8. Experimental research of neutron yield and spectrum from deuterium gas-puff z-pinch on the GIT-12 generator at current above 2 MA

    NASA Astrophysics Data System (ADS)

    Cherdizov, R. K.; Fursov, F. I.; Kokshenev, V. A.; Kurmaev, N. E.; Labetsky, A. Yu; Ratakhin, N. A.; Shishlov, A. V.; Cikhardt, J.; Cikhardtova, B.; Klir, D.; Kravarik, J.; Kubes, P.; Rezac, K.; Dudkin, G. N.; Garapatsky, A. A.; Padalko, V. N.; Varlachev, V. A.

    2017-05-01

    The Z-pinch experiments with deuterium gas-puff surrounded by an outer plasma shell were carried out on the GIT-12 generator (Tomsk, Russia) at currents of 2 MA. The plasma shell consisting of hydrogen and carbon ions was formed by 48 plasma guns. The deuterium gas-puff was created by a fast electromagnetic valve. This configuration provides an efficient mode of the neutron production in DD reaction, and the neutron yield reaches a value above 1012 neutrons per shot. Neutron diagnostics included scintillation TOF detectors for determination of the neutron energy spectrum, bubble detectors BD-PND, a silver activation detector, and several activation samples for determination of the neutron yield analysed by a Sodium Iodide (NaI) and a high-purity Germanium (HPGe) detectors. Using this neutron diagnostic complex, we measured the total neutron yield and amount of high-energy neutrons.

  9. Development status of EUV sources for use in beta-tools and high-volume chip manufacturing tools

    NASA Astrophysics Data System (ADS)

    Stamm, U.; Kleinschmidt, J.; Bolshukhin, D.; Brudermann, J.; Hergenhan, G.; Korobotchko, V.; Nikolaus, B.; Schürmann, M. C.; Schriever, G.; Ziener, C.; Borisov, V. M.

    2006-03-01

    In the paper we give an update about the development status of gas discharge produced plasma (GDPP) EUV sources at XTREME technologies. Already in 2003 first commercial prototypes of xenon GDPP sources of the type XTS 13-35 based on the Z-pinch with 35 W power in 2π sr have been delivered and integrated into micro-exposure tools from Exitech, UK. The micro-exposure tools with these sources have been installed in industry in 2004. The first tool has made more than 100 million pulses without visible degradation of the source collector optics. For the next generation of full-field exposure tools (we call it Beta-tools) we develop GDPP sources with power of > 10 W in intermediate focus. Also these sources use xenon as fuel which has the advantage of not introducing additional contaminations. Here we describe basic performance of these sources as well as aspects of collector integration and debris mitigation and optics lifetime. To achieve source performance data required for high volume chip manufacturing we consider tin as fuel for the source because of its higher conversion efficiency compared to xenon. While we had earlier reported an output power of 400 W in 2π sr from a tin source we could reach meanwhile 800 W in 2π sr from the source in burst operation. Provided a high power collector is available with a realistic collector module efficiency of between 9% and 15 % these data would support 70-120 W power in intermediate focus. However, we do not expect that the required duty cycle and the required electrode lifetimes can be met with this standing electrode design Z-pinch approach. To overcome lifetime and duty cycle limitations we have investigated GDPP sources with tin fuel and rotating disk electrodes. Currently we can generate more than 200 W in 2π sr with these sources at 4 kHz repetition rate. To achieve 180 W power in intermediate focus which is the recent requirement of some exposure tool manufacturers this type of source needs to operate at 21-28 k

  10. EUV tools: hydrogen gas purification and recovery strategies

    NASA Astrophysics Data System (ADS)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  11. AWARE - The Automated EUV Wave Analysis and REduction algorithm

    NASA Astrophysics Data System (ADS)

    Ireland, J.; Inglis; A. R.; Shih, A. Y.; Christe, S.; Mumford, S.; Hayes, L. A.; Thompson, B. J.

    2016-10-01

    Extreme ultraviolet (EUV) waves are large-scale propagating disturbances observed in the solar corona, frequently associated with coronal mass ejections and flares. Since their discovery over two hundred papers discussing their properties, causes and physics have been published. However, their fundamental nature and the physics of their interactions with other solar phenomena are still not understood. To further the understanding of EUV waves, and their relation to other solar phenomena, we have constructed the Automated Wave Analysis and REduction (AWARE) algorithm for the detection of EUV waves over the full Sun. The AWARE algorithm is based on a novel image processing approach to isolating the bright wavefront of the EUV as it propagates across the corona. AWARE detects the presence of a wavefront, and measures the distance, velocity and acceleration of that wavefront across the Sun. Results from AWARE are compared to results from other algorithms for some well known EUV wave events. Suggestions are also give for further refinements to the basic algorithm presented here.

  12. An investigation of transient pressures and plasma properties in a pinched plasma column. M.S. Thesis

    NASA Technical Reports Server (NTRS)

    Stover, E. K.; York, T. M.

    1971-01-01

    The transient pinched plasma column generated in a linear Z-pinch was studied experimentally and analytically. The plasma column was investigated experimentally with several plasma diagnostics; they were: a rapid response pressure transducer, a magnetic field probe, a voltage probe, and discharge luminosity. Axial pressure profiles on the discharge chamber axis were used to identify three characteristic regions of plasma column behavior: (1) strong axial pressure asymmetry noted early in plasma column lifetime, (2) followed by plasma heating in which there is a rapid rise in static pressure, and (3) a slight decrease static pressure before plasma column breakup. Plasma column lifetime was approximately 5 microseconds. The axial pressure asymmetry was attributed to nonsimultaneous pinching of the imploding current sheet along the discharge chamber axis. The rapid heating could be attributed in part to viscous effects introduced by radial gradients in the axial streaming velocity.

  13. Temporal variations of solar EUV, UV, and 10,830-A radiations

    NASA Technical Reports Server (NTRS)

    Donnelly, R. F.; Hinteregger, H. E.; Heath, D. F.

    1986-01-01

    The temporal characteristics of the full-disk chromospheric EUV fluxes agree well with those of the ground-based measurements of the chromospheric He I absorption line at 10,830 A and differ systematically from those of the coronal EUV and 10.7-cm flux. The ratio of the flux increase during the rise of solar cycle 21 to that during solar rotation variations is uniformly high for the chromospheric EUV and corroborating 10,830-A fluxes, highest for the transition region and 'cool' coronal EUV fluxes (T less than 2 x 10 to the 6th K), and lowest for the 'hot' coronal EUV and 10.7-cm flux. The rise and decay rates of episodes of major activity progress from those for the hot coronal EUV lines and the 10.7-cm flux to slower values for the chromospheric H Lyman alpha line, 10,830-A line, and photospheric 2050-A UV flux. It is suggested that active region remnants contribute significantly to the solar cycle increase and during the decay of episodes of major activity. The ratio of power in 13-day periodicity to that for 27 days in high (1/3) for the photospheric UV flux, medium (1/6) for the chromospheric EUV and 10,830-A fluxes, and small to negligible for the hot coronal EUV fluxes. These ratios are used to estimate the dependence of active region emission on the solar central meridian distance for chromospheric and coronal EUV flux.

  14. EUV mirror based absolute incident flux detector

    DOEpatents

    Berger, Kurt W.

    2004-03-23

    A device for the in-situ monitoring of EUV radiation flux includes an integrated reflective multilayer stack. This device operates on the principle that a finite amount of in-band EUV radiation is transmitted through the entire multilayer stack. This device offers improvements over existing vacuum photo-detector devices since its calibration does not change with surface contamination.

  15. Latest developments on EUV reticle and pellicle research and technology at TNO

    NASA Astrophysics Data System (ADS)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  16. Characterization of laser-cut copper foil X-pinches

    NASA Astrophysics Data System (ADS)

    Collins, G. W.; Valenzuela, J. C.; Hansen, S. B.; Wei, M. S.; Reed, C. T.; Forsman, A. C.; Beg, F. N.

    2016-10-01

    Quantitative data analyses of laser-cut Cu foil X-pinch experiments on the 150 ns quarter-period, ˜250 kA GenASIS driver are presented. Three different foil designs are tested to determine the effects of initial structure on pinch outcome. Foil X-pinch data are also presented alongside the results from wire X-pinches with comparable mass. The X-ray flux and temporal profile of the emission from foil X-pinches differed significantly from that of wire X-pinches, with all emission from the foil X-pinches confined to a ˜3 ns period as opposed to the delayed, long-lasting electron beam emission common in wire X-pinches. Spectroscopic data show K-shell as well as significant L-shell emission from both foil and wire X-pinches. Fits to synthetic spectra using the SCRAM code suggest that pinching foil X's produced a ˜1 keV, ne ≥ 1023 cm-3 plasma. The spectral data combined with the improved reliability of the source timing, flux, and location indicate that foil X-pinches generate a reproducible, K-shell point-projection radiography source that can be easily modified and tailored to suit backlighting needs across a variety of applications.

  17. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    NASA Astrophysics Data System (ADS)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (<10pm vertical) resolution, including the smallest heat sources probed (20nm) and thinnest film (10.9nm) fully mechanically characterized to date. By probing

  18. Implosion dynamics of a megampere wire-array Z-pinch with an inner low-density foam shell at the Angara-5-1 facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleksandrov, V. V.; Bolkhovitinov, E. A.; Volkov, G. S., E-mail: volkov@triniti.ru

    The implosion dynamics of a pinch with a highly inhomogeneous initial axial distribution of the load mass was studied experimentally. A cascade array consisting of a double nested tungsten wire array and a coaxial inner cylindrical shell located symmetrically with respect to the high-voltage electrodes was used as a load of the Angara-5-1 high-current generator. The cylindrical foam shell was half as long as the cathode− anode gap, and its diameter was equal to the diameter of the inner wire array. It is shown experimentally that two stages are typical of the implosion dynamics of such a load: the formationmore » of two separate pinches formed as a result of implosion of the wire array near the cathode and anode and the subsequent implosion of the central part of the load containing the cylindrical foam shell. The conditions are determined at which the implosion of the central part of the pinch with the foam cylinder is preceded by intense irradiation of the foam with the soft X-ray (SXR) emission generated by the near-electrode pinches and converting it into the plasma state. Using such a load, which models the main elements of the scheme of a dynamic hohlraum for inertial confinement fusion, it is possible to increase the efficiency of interaction between the outer accelerated plasma sheath and the inner foam shell by preionizing the foam with the SXR emission of the near-electrode pinches.« less

  19. EUV and X-ray spectroheliograph study

    NASA Technical Reports Server (NTRS)

    Knox, E. D.; Pastor, R. A.; Salamon, A. L.; Sterk, A. A.

    1975-01-01

    The results of a program directed toward the definition of an EUV and X-ray spectroheliograph which has significant performance and operational improvements over the OSO-7 instrument are documented. The program investigated methods of implementing selected changes and incorporated the results of the study into a set of drawings which defines the new instrument. The EUV detector performance degradation observed during the OSO-7 mission was investigated and the most probable cause of the degradation identified.

  20. Classification and printability of EUV mask defects from SEM images

    NASA Astrophysics Data System (ADS)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  1. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  2. Line analysis of EUV Spectra from Molybdenum and Tungsten Injected with Impurity Pellets in LHD

    NASA Astrophysics Data System (ADS)

    Chowdhuri, Malay Bikas; Morita, Shigeru; Goto, Motoshi; Nishimura, Hiroaki; Nagai, Keiji; Fujioka, Shinsuke

    Spectroscopic data on high-Z materials for impurity diagnostics are important due to its possible use as a plasma facing component in the next generation fusion device. For this purpose molybdenum and tungsten are injected by an impurity pellet injector into the large helical device (LHD) plasmas. Emissions from such highly ionized elements mostly fall in extreme ultraviolet (EUV) and soft X-ray ranges. The EUV spectra in a range of 20-500 Å are recorded using a flat-field EUV spectrometer. The observed emissions are identified with the help of its temporal evolution and detailed analysis is done with electron temperature profiles. At high central electron temperature (˜2.2 keV) molybdenum appears as an Al-, Mg- and Na-like ionization stages. Typical examples of identified transitions are Mo XXXI 190.46 Å (3 s2 1S-3s3p 3P) and Mo XXXII 176.63 Å (3s 2S-3p 2P). For tungsten, on the other hand, three well-separated bands appear in wavelength range of 24-80 Å. The transitions around 33 Å have been tentatively identified with the help of calculated values. Most of the isolated lines on the top of pseudo-continuum bands around 50 and 60 Å are identified, and the wavelengths are compared with previous experimental studies and also with calculated values.

  3. Microfabrication of through holes in polydimethylsiloxane (PDMS) sheets using a laser plasma EUV source (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Makimura, Tetsuya; Urai, Hikari; Niino, Hiroyuki

    2017-03-01

    Polydimethylsiloxane (PDMS) is a material used for cell culture substrates / bio-chips and micro total analysis systems / lab-on-chips due to its flexibility, chemical / thermo-dynamic stability, bio-compatibility, transparency and moldability. For further development, it is inevitable to develop a technique to fabricate precise three dimensional structures on micrometer-scale at high aspect ratio. In the previous works, we reported a technique for high-quality micromachining of PDMS without chemical modification, by means of photo direct machining using laser plasma EUV sources. In the present work, we have investigated fabrication of through holes. The EUV radiations around 10 nm were generated by irradiation of Ta targets with Nd:YAG laser light (10 ns, 500 mJ/pulse). The generated EUV radiations were focused using an ellipsoidal mirror. It has a narrower incident angle than those in the previous works in order to form a EUV beam with higher directivity, so that higher aspect structures can be fabricated. The focused EUV beam was incident on PDMS sheets with a thickness of 15 micrometers, through holes in a contact mask placed on top of them. Using a contact mask with holes with a diameter of three micrometers, complete through holes with a diameter of two micrometers are fabricated in the PDMS sheet. Using a contact mask with two micrometer holes, however, ablation holes almost reaches to the back side of the PDMS sheet. The fabricated structures can be explained in terms of geometrical optics. Thus, we have developed a technique for micromachining of PDMS sheets at high aspect ratios.

  4. Method of fabricating reflection-mode EUV diffraction elements

    DOEpatents

    Naulleau, Patrick P.

    2002-01-01

    Techniques for fabricating a well-controlled, quantized-level, engineered surface that serves as substrates for EUV reflection multilayer overcomes problems associated with the fabrication of reflective EUV diffraction elements. The technique when employed to fabricate an EUV diffraction element that includes the steps of: (a) forming an etch stack comprising alternating layers of first and second materials on a substrate surface where the two material can provide relative etch selectivity; (b) creating a relief profile in the etch stack wherein the relief profile has a defined contour; and (c) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. For a typical EUV multilayer, if the features on the substrate are larger than 50 nm, the multilayer will be conformal to the substrate. Thus, the phase imparted to the reflected wavefront will closely match that geometrically set by the surface height profile.

  5. Ride-along data LOS 130, 170 & LO330 shots z3139, 3140 and 3141

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Loisel, Guillaume Pascal

    Each instrument records the x-ray emission from the Z-pinch dynamic hohlraum (ZPDH); LOS 130 TIXTLs instruments record the absorption of the pinch backlighter through an expanding NaF/Mg foil; LOS 170 MLM instruments record monochromatic images at 276 and 528 eV energies near and before ZPDH stagnation time; LOS 330 TREX 6A & B: recoded time resolved absorption spectra from a radiatively heated Ne gas.

  6. An investigation of transient pressure and plasma properties in a pinched plasma column. M.S. Thesis

    NASA Technical Reports Server (NTRS)

    Stover, E. K.; York, T. M.

    1971-01-01

    The transient pinched plasma column generated in a linear Z-pinch was studied experimentally and analytically. The plasma column was investigated experimentally with the following plasma diagnostics: a special rapid response pressure transducer, a magnetic field probe, a voltage probe and discharge luminosity. Axial pressure profiles on the discharge chamber axis were used to identify three characteristic regions of plasma column behavior; they were in temporal sequence: strong axial pressure asymmetry noted early in plasma column lifetime followed by plasma heating in which there is a rapid rise in static pressure and a slight decrease static pressure before plasma column breakup. Plasma column lifetime was approximately 5 microseconds. The axial pressure asymmetry was attributed to nonsimultaneous pinching of the imploding current sheet along the discharge chamber axis. The rapid heating is attributed in part to viscous effects introduced by radial gradients in the axial streaming velocity. Turbulent heating arising from discharge current excitation of the ion acoustic wave instability is also considered a possible heating mechanism.

  7. PECULIAR STATIONARY EUV WAVE FRONTS IN THE ERUPTION ON 2011 MAY 11

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra, R.; Fulara, A.; Chen, P. F.

    We present and interpret the observations of extreme ultraviolet (EUV) waves associated with a filament eruption on 2011 May 11. The filament eruption also produces a small B-class two ribbon flare and a coronal mass ejection. The event is observed by the Solar Dynamic Observatory with high spatio-temporal resolution data recorded by the Atmospheric Imaging Assembly. As the filament erupts, we observe two types of EUV waves (slow and fast) propagating outwards. The faster EUV wave has a propagation velocity of ∼500 km s{sup −1} and the slower EUV wave has an initial velocity of ∼120 km s{sup −1}. Wemore » report, for the first time, that not only does the slower EUV wave stop at a magnetic separatrix to form bright stationary fronts, but also the faster EUV wave transits a magnetic separatrix, leaving another stationary EUV front behind.« less

  8. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  9. High reflectance coatings for space applications in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Gum, Jeffrey S.; Osantowski, John F.; Fleetwood, Charles M.

    1993-01-01

    Advances in optical coating and materials technology have made possible the development of instruments with substantially improved efficiency and made possible to consider more complex optical designs in the EUV. The importance of recent developments in chemical vapor deposited silicon carbide (CVD-SiC), SiC films and multilayer coatings is discussed in the context of EUV instrumentation design. The EUV performance of these coatings as well as some strengths and problem areas for their use in space will be addressed.

  10. EUV spectroscopy in astrophysics: The role of compact objects

    NASA Astrophysics Data System (ADS)

    Wood, K. S.; Kowalski, M. P.; Cruddace, R. G.; Barstow, M. A.

    2006-01-01

    The bulk of radiation from million-degree plasmas is emitted at EUV wavelengths. Such plasmas are ubiquitous in astrophysics, and examples include the atmospheres of white dwarfs, accretion phenomena in cataclysmic variables (CVs) and some active galactic nuclei (AGN), the coronae of active stars, and the interstellar medium (ISM) of our own galaxy as well as of others. Internally, white dwarfs are formally analogous to neutron stars, being stellar configurations where the thermal contribution to support is secondary. Both stellar types have various intrinsic and environmental parameters. Comparison of such analogous systems using scaled parameters can be fruitful. Source class characterization is mature enough that such analogies can be used to compare theoretical ideas across a wide dynamic range in parameters, one example being theories of quasiperiodic oscillations. However, the white dwarf side of this program is limited by the available photometry and spectroscopy at EUV wavelengths, where there exist critical spectral features that contain diagnostic information often not available at other wavelengths. Moreover, interstellar absorption makes EUV observations challenging. Results from an observation of the hot white dwarf G191-B2B are presented to demonstrate the promise of high-resolution EUV spectroscopy. Two types of CVs, exemplified by AM Her and EX Hya, are used to illustrate blending of spectroscopy and timing measurements. Dynamical timescales and envisioned performance parameters of next-generation EUV satellites (effective area >20 cm 2, spectral resolution >10,000) make possible a new level of source modeling. The importance of the EUV cannot be overlooked given that observations are continually being pushed to cosmological distances, where the spectral energy distributions of X-ray bright AGNs, for example, will have their maxima redshifted into the EUV. Sometimes wrongly dismissed for limitations of small bandwidth or local view from optical

  11. Cleaning process for EUV optical substrates

    DOEpatents

    Weber, Frank J.; Spiller, Eberhard A.

    1999-01-01

    A cleaning process for surfaces with very demanding cleanliness requirements, such as extreme-ultraviolet (EUV) optical substrates. Proper cleaning of optical substrates prior to applying reflective coatings thereon is very critical in the fabrication of the reflective optics used in EUV lithographic systems, for example. The cleaning process involves ultrasonic cleaning in acetone, methanol, and a pH neutral soap, such as FL-70, followed by rinsing in de-ionized water and drying with dry filtered nitrogen in conjunction with a spin-rinse.

  12. EUV Solar Instrument Development at the Marshall Space Flight Center

    NASA Astrophysics Data System (ADS)

    Kobayashi, K.; Cirtain, J. W.; Davis, J. M.; West, E.; Golub, L.; Korreck, K. E.; Tsuneta, S.; Bando, T.

    2009-12-01

    The three sounding rocket instrument programs currently underway at the NASA Marshall Space Flight Center represent major advances in solar observations, made possible by improvements in EUV optics and detector technology. The Solar Ultraviolet Magnetograph Instrument (SUMI) is an EUV spectropolarimeter designed to measure the Zeeman splitting of two chromospheric EUV lines, the 280 nm MgII and 155 nm CIV lines. SUMI directly observes the magnetic field in the low-beta region where most energetic phenomena are though to originate. In conjunction with visible-light magnetographs, this observation allows us to track the evolution of the magnetic field as it evolves from the photosphere to the upper chromosphere. SUMI incorporates a normal incidence Cassegrain telescope, a MgF2 double-Wollaston polarizing beam splitter and two TVLS (toroidal varied line space) gratings, and is capable of observing two orthogonal polarizations in two wavelength bands simultaneously. SUMI has been fully assembled and tested, and currently scheduled for launch in summer of 2010. The High-resolution Coronal Imager is a normal-incidence EUV imaging telescope designed to achieve 0.2 arcsecond resolution, with a pixel size of 0.1 arcsecond. This is a factor of 25 improvement in aerial resolution over the Transition Region And Coronal Explorer (TRACE). Images obtained by TRACE indicate presence of unresolved structures; higher resolution images will reveal the scale and topology of structures that make up the corona. The telescope mirrors are currently being fabricated, and the instrument has been funded for flight. In addition, a Lyman alpha spectropolarimeter is under development in collaboration with the National Astronomical Observatory of Japan. This aims to detect the linear polarization in the chromosphere caused by the Hanle effect. Horizontal magnetic fields in the chromosphere are expected to be detectable as polarization near disk center, and off-limb observations will reveal the

  13. Degradation-Free Spectrometers for Solar EUV Measurements: A Progress Report

    NASA Astrophysics Data System (ADS)

    Wieman, S. R.; Judge, D. L.; Didkovsky, L. V.

    2009-12-01

    Solar EUV observations will be made using two new degradation-free EUV spectrometers on a sounding rocket flight scheduled for Summer 2010. The two instruments, a rare gas photoionization-based Optics-Free Spectrometer (OFS) and a Dual Grating Spectrometer (DGS), are filter-free and optics-free. OFS can measure the solar EUV spectrum with a spectral resolution comparable to that of grating-based EUV spectrometers. The DGS is designed to provide solar irradiance at Lyman-alpha and He II to overlap EUV observations from SOHO/SEM and SDO/EVE. Electronic and mechanical designs for the flight prototype instruments and results of tests performed with the instruments in the laboratory are reported. The spectrometers are being developed and demonstrated as part of the Degradation Free Spectrometers (DFS) project under NASA’s Low Cost Access to Space (LCAS) program and are supported by NASA Grant NNX08BA12G.

  14. Spherical EUV and Plasma Spectrometer (seps) -a Monitor to Measure the Plasma and EUV Environment in Space

    NASA Astrophysics Data System (ADS)

    Brunner, Raimund; Schmidtke, Gerhard; Konz, Werner; Pfeffer, Wilfried

    A low-cost monitor to measure the EUV and plasma environment in space is presented. The device consists of three (or more) isolated spheres, a metallic sphere, one or more highly trans-parent Inner Grids and Outer Grids. Each one is being connected to a sensitive floating elec-trometer. By setting different potentials to the grids as well as to the sphere and varying one or more of their voltages, measurements of spectral solar EUV irradiance (15-200 nm), of local plasma parameters such as electron and ion densities, electron energies and temperatures as well as ion compositions and debris events can be derived from the current recordings. This detector does not require any (solar) pointing device. The primary goal is to study the impact of solar activity events (e.g. CMEs) as well as subsequent reactions of the ionospheric/thermospheric systems (including space weather occurences). The capability of SEPS for measuring EUV pho-ton fluxes as well as plasma parameters in the energy range from 0 to +/-70 eV is demonstrated by laboratory measurements as performed in the IPM laboratory, at BESSY-PTB electron syn-chrotron in Berlin and at ESA/ESTEC plasma chamber. Based on the laboratory recording of plasma recombination EUV emission the sensor is suitable to detect also auroral and airglow radiations. -The state of the art in the development of this device is reported.

  15. Simultaneous ASCA and EUVE Observations of Capella

    NASA Astrophysics Data System (ADS)

    Brickhouse, N. S.; Dupree, A. K.; Edgar, R. J.; Drake, S. A.; White, N. E.; Liedahl, D. A.; Singh, K. P.

    1997-05-01

    We present simultaneous observations taken in Mar 1996 of the bright stellar coronal source Capella (HD 34029) with the ASCA and EUVE satellites. Previous EUVE observations of Fe emission lines (Fe VIII --- XXIV, excluding XVII) revealed a narrow emission measure feature at 6 x 10(6) K, which has proven to be remarkably stable over several years (flux from Fe XVIII and XIX has not varied by more than 30%), while lines formed at higher temperatures have shown intensity variations up to factors of 4. Furthermore, extremely high signal-to-noise spectra obtained by summing all EUVE measurements show that the Fe/H abundance ratio is consistent with solar photospheric. (See Dupree et al. 1993, ApJ, 418, L41; Brickhouse, Raymond, & Smith 1995, ApJSupp, 97, 551; Brickhouse 1996, IAU Coll. 152, Astrophysics in the Extreme Ultraviolet, Bowyer & Malina, eds (Kluwer), 141.) Meanwhile, the ASCA data of Capella have proven notoriously difficult to analyze. The performance verification (PV) phase data suggested a somewhat subsolar Fe abundance, but models were in poor agreement with the data (chi (2red) ~ 6). (See Drake 1996, Conf. on Cosmic Abundances, U. Maryland). Since the emission lines observed by EUVE are formed at the same emitting temperatures as the X-ray spectrum (Capella is ``soft'' such that very little flux is observed above 2 keV), the emission measure distribution derived from EUVE lines should provide a direct prediction of the X-ray spectrum, with only the relative abundances of species other than Fe as free parameters. Like the PV data, the new ASCA spectrum is not well fit by any of the standard models. Applying the constraints imposed by EUVE does not make a major improvement in the fit --- multi-thermal, variable abundance models such as Raymond-Smith and MEKAL do not provide any acceptable fit (chi (2red) > 5). We discuss our efforts to understand the X-ray spectrum, including studies of the uncertainties in the atomic data and of the underlying assumptions

  16. Sensitizers in EUV chemically amplified resist: mechanism of sensitivity improvement

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; Jiang, Jing; Yamamoto, Hiroki; De Simone, Danilo; Kozawa, Takahiro; De Gendt, Stefan; Vandenberghe, Geert

    2018-03-01

    EUV lithography utilizes photons with 91.6 eV energy to ionize resists, generate secondary electrons, and enable electron driven reactions that produce acid in chemically amplified photoresist. Efficiently using the available photons is of key importance. Unlike DUV lithography, where photons are selectively utilized by photoactive compounds, photons at 13.5nm wavelength ionize almost all materials. Nevertheless, specific elements have a significantly higher atomic photon-absorption cross section at 91.6 eV. To increase photon absorption, sensitizer molecules, containing highly absorbing elements, can be added to photoresist formulations. These sensitizers have gained growing attention in recent years, showing significant sensitivity improvement. But there are few experimental evidences that the sensitivity improvement is due to the higher absorption only, as adding metals salts into the resist formulation can induce other mechanisms, like modification of the dissolution rate, potentially affecting patterning performance. In this work, we used different sensitizers in chemically amplified resist. We measured experimentally the absorption of EUV light, the acid yield, the dissolution rate and the patterning performance of the resists. Surprisingly, the absorption of EUV resist was decreased with addition of metal salt sensitizers. Nevertheless, the resist with sensitizer showed a higher acid yield. Sensitizer helps achieving higher PAG conversion to acid, notably due to an increase of the secondary electron generation. Patterning data confirm a significant sensitivity improvement, but at the cost of roughness degradation at high sensitizer loading. This can be explained by the chemical distribution of the sensitizer in the resist combined with a modification of the dissolution contrast, as observed by Dissolution Rate Monitor.

  17. Effects of smartphone overuse on hand function, pinch strength, and the median nerve.

    PubMed

    İnal, Esra Erkol; Demİrcİ, kadİr; Çetİntürk, Azİze; Akgönül, Mehmet; Savaş, Serpİl

    2015-08-01

    In this study we investigated the flexor pollicis longus (FPL) tendon and median nerve in smartphone users by ultrasonography to assess the effects of smartphone addiction on the clinical and functional status of the hands. One hundred two students were divided into 3 groups: non-users, and high or low smartphone users. Smartphone Addiction Scale (SAS) scores and grip and pinch strengths were recorded. Pain in thumb movement and rest and hand function were evaluated on the visual analog scale (VAS) and the Duruöz Hand Index (DHI), respectively. The cross-sectional areas (CSAs) of the median nerve and the FPL tendon were calculated bilaterally using ultrasonography. Significantly higher median nerve CSAs were observed in the dominant hands of the high smartphone users than in the non-dominant hands (P<0.001). SAS scores correlated with VAS pain for movement and rest, DHI scores, and pinch strength (P<0.05; r=0.345, 0.272, 0.245, and 0.281, respectively). Smartphone overuse enlarges the median nerve, causes pain in the thumb, and decreases pinch strength and hand functions. © 2015 Wiley Periodicals, Inc.

  18. State-of-the-art EUV materials and processes for the 7nm node and beyond

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Vockenhuber, Michaela; Mochi, Iacopo; Fallica, Roberto; Tasdemir, Zuhal; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the

  19. Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Kim, Jinsu; Lowe, Jeff; Dattilo, Davide; Koh, Soowan; Choi, Jun Yeol; Dietze, Uwe; Shoki, Tsutomu; Kim, Byung Gook; Jeon, Chan-Uk

    2015-10-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation.

  20. EUVE and IR observations of the Polars HU Aqr and AR UMa

    NASA Astrophysics Data System (ADS)

    Howell, S.; Ciardi, D.

    1999-12-01

    Simultaneous EUVE and ground-based near-infrared J and K observations of the magnetic CV HU Aqr were performed. The observations occurred during a super-high state never before observed in HU Aqr. The average EUVE count-rate was 30-60 times higher than had been measured previously, allowing us to present the first ever EUV spectra of HU Aqr. The near-infrared observations show a corresponding flux increase of 2-3 times over previous J and K observations. However, the near-infrared eclipse minimum during this super-high state are the same as seen in previous observations, indicating that the eclipse in the near-infrared is total. We present a detailed comparison of the EUV and near-infrared emission of HU Aqr as a function of orbital phase and discuss the geometry and physical properties of the high energy and infrared emitting regions. AR UMa is the brightest EUV source yet observed with the EUVE satellite and is also the polar with the largest magnetic field, 250 MG. EUVE observations of the polar AR UMa have allowed, for the first time, EUV time-resolved spectral analysis and radial velocity measurements. We present EUV phase-resolved photometry and spectroscopy and show that the He 304 emission line is not produced on the heated face of the secondary star, but emanates from the inner illuminated regions of the coupling region and accretion stream. We comment on the overall structure of the accretion geometry as well. The authors acknowledge partial support of the research by NASA cooperative agreement NCC5-138 via an EUVE guest Observer mini-grant.

  1. Protection efficiency of a standard compliant EUV reticle handling solution

    NASA Astrophysics Data System (ADS)

    He, Long; Lystad, John; Wurm, Stefan; Orvek, Kevin; Sohn, Jaewoong; Ma, Andy; Kearney, Patrick; Kolbow, Steve; Halbmaier, David

    2009-03-01

    For successful implementation of extreme ultraviolet lithography (EUVL) technology for late cycle insertion at 32 nm half-pitch (hp) and full introduction for 22 nm hp high volume production, the mask development infrastructure must be in place by 2010. The central element of the mask infrastructure is contamination-free reticle handling and protection. Today, the industry has already developed and balloted an EUV pod standard for shipping, transporting, transferring, and storing EUV masks. We have previously demonstrated that the EUV pod reticle handling method represents the best approach in meeting EUVL high volume production requirements, based on then state-of-the-art inspection capability at ~53nm polystyrene latex (PSL) equivalent sensitivity. In this paper, we will present our latest data to show defect-free reticle handling is achievable down to 40 nm particle sizes, using the same EUV pod carriers as in the previous study and the recently established world's most advanced defect inspection capability of ~40 nm SiO2 equivalent sensitivity. The EUV pod is a worthy solution to meet EUVL pilot line and pre-production exposure tool development requirements. We will also discuss the technical challenges facing the industry in refining the EUV pod solution to meet 22 nm hp EUVL production requirements and beyond.

  2. Solar EUV Irradiance Measurements by the Auto-Calibrating EUV Spectrometers (SolACES) Aboard the International Space Station (ISS)

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Nikutowski, B.; Jacobi, C.; Brunner, R.; Erhardt, C.; Knecht, S.; Scherle, J.; Schlagenhauf, J.

    2014-05-01

    SolACES is part of the ESA SOLAR ISS mission that started aboard the shuttle mission STS-122 on 7 February 2008. The instrument has recorded solar extreme ultraviolet (EUV) irradiance from 16 to 150 nm during the extended solar activity minimum and the beginning solar cycle 24 with rising solar activity and increasingly changing spectral composition. The SOLAR mission has been extended from a period of 18 months to > 8 years until the end of 2016. SolACES is operating three grazing incidence planar grating spectrometers and two three-current ionization chambers. The latter ones are considered as primary radiometric detector standards. Re-filling the ionization chambers with three different gases repeatedly and using overlapping band-pass filters, the absolute EUV fluxes are derived in these spectral intervals. This way the serious problem of continuing efficiency changes in space-borne instrumentation is overcome during the mission. Evaluating the three currents of the ionization chambers, the overlapping spectral ranges of the spectrometers and of the filters plus inter-comparing the results from the EUV photon absorption in the gases with different absorption cross sections, there are manifold instrumental possibilities to cross-check the results providing a high degree of reliability to the spectral irradiance derived. During the mission a very strong up-and-down variability of the spectrometric efficiency by orders of magnitude is observed. One of the effects involved is channeltron degradation. However, there are still open questions on other effects contributing to these changes. A survey of the measurements carried out and first results of the solar spectral irradiance (SSI) data are presented. Inter-comparison with EUV data from other space missions shows good agreement such that the international effort has started to elaborate a complete set of EUV-SSI data taking into account all data available from 2008 to 2013.

  3. Optical Pumping of High Power Lasers with an Array of Plasma Pinches.

    DTIC Science & Technology

    1986-04-01

    Two dense plasma focus systems, the hypocycloidal pinch and the Mather type were investigated as the potential excitation light sources for high...was also performed for the first time using the Mather type dense plasma focus (MDPF) sucsessfully. Results thus fare indicate that both HCP and MDPF

  4. Pinch-off Scaling Law of Soap Bubbles

    NASA Astrophysics Data System (ADS)

    Davidson, John; Ryu, Sangjin

    2014-11-01

    Three common interfacial phenomena that occur daily are liquid drops in gas, gas bubbles in liquid and thin-film bubbles. One aspect that has been studied for these phenomena is the formation or pinch-off of the drop/bubble from the liquid/gas threads. In contrast to the formation of liquid drops in gas and gas bubbles in liquid, thin-film bubble pinch-off has not been well documented. Having thin-film interfaces may alter the pinch-off process due to the limiting factor of the film thickness. We observed the pinch-off of one common thin-film bubble, soap bubbles, in order to characterize its pinch-off behavior. We achieved this by constructing an experimental model replicating the process of a human producing soap bubbles. Using high-speed videography and image processing, we determined that the minimal neck radius scaled with the time left till pinch-off, and that the scaling law exponent was 2/3, similar to that of liquid drops in gas.

  5. A Search for EUV Emission from the O4f Star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1996-01-01

    We obtained a 140 ks EUVE observation of the O4f star, zeta Puppis. Because of its low ISM column density and highly ionized stellar wind, a unique EUV window is accessible for viewing between 128 to 140 A, suggesting that this star may he the only O star observable with the EUVE. Although no SW spectrometer wavelength bin had a signal to noise greater than 3, a bin at 136 A had a signal to noise of 2.4. This bin is where models predict the brightest line due to OV emission should occur. We present several EUV line emission models. These models were constrained by fitting the ROSAT PSPC X-ray data and our EUVE data. If the OV emission is real, the best fits to the data suggest that there are discrepancies in our current understanding of EUV/X-ray production mechanisms. In particular, the emission measure of the EUV source is found to be much greater than the total wind emission measure, suggesting that the EUV shock must produce a very large density enhancement. In addition, the location of the EUV and X-ray shocks are found to be separated by approx. 0.3 stellar radii, but the EUV emission region is found to be approx. 400 times larger than the X-ray emission region. We also discuss the implications of a null detection and present relevant upper limits.

  6. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    PubMed Central

    Makhotkin, Igor A.; Sobierajski, Ryszard; Chalupský, Jaromir; Tiedtke, Kai; de Vries, Gosse; Störmer, Michael; Scholze, Frank; Siewert, Frank; van de Kruijs, Robbert W. E.; Milov, Igor; Louis, Eric; Jacyna, Iwanna; Jurek, Marek; Klinger, Dorota; Syryanyy, Yevgen; Juha, Libor; Hájková, Věra; Saksl, Karel; Faatz, Bart; Keitel, Barbara; Plönjes, Elke; Toleikis, Sven; Loch, Rolf; Hermann, Martin; Strobel, Sebastian; Nienhuys, Han-Kwang; Gwalt, Grzegorz; Mey, Tobias; Enkisch, Hartmut

    2018-01-01

    The durability of grazing- and normal-incidence optical coatings has been experimentally assessed under free-electron laser irradiation at various numbers of pulses up to 16 million shots and various fluence levels below 10% of the single-shot damage threshold. The experiment was performed at FLASH, the Free-electron LASer in Hamburg, using 13.5 nm extreme UV (EUV) radiation with 100 fs pulse duration. Polycrystalline ruthenium and amorphous carbon 50 nm thin films on silicon substrates were tested at total external reflection angles of 20° and 10° grazing incidence, respectively. Mo/Si periodical multilayer structures were tested in the Bragg reflection condition at 16° off-normal angle of incidence. The exposed areas were analysed post-mortem using differential contrast visible light microscopy, EUV reflectivity mapping and scanning X-ray photoelectron spectroscopy. The analysis revealed that Ru and Mo/Si coatings exposed to the highest dose and fluence level show a few per cent drop in their EUV reflectivity, which is explained by EUV-induced oxidation of the surface. PMID:29271755

  7. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold.

    PubMed

    Makhotkin, Igor A; Sobierajski, Ryszard; Chalupský, Jaromir; Tiedtke, Kai; de Vries, Gosse; Störmer, Michael; Scholze, Frank; Siewert, Frank; van de Kruijs, Robbert W E; Milov, Igor; Louis, Eric; Jacyna, Iwanna; Jurek, Marek; Klinger, Dorota; Nittler, Laurent; Syryanyy, Yevgen; Juha, Libor; Hájková, Věra; Vozda, Vojtěch; Burian, Tomáš; Saksl, Karel; Faatz, Bart; Keitel, Barbara; Plönjes, Elke; Schreiber, Siegfried; Toleikis, Sven; Loch, Rolf; Hermann, Martin; Strobel, Sebastian; Nienhuys, Han Kwang; Gwalt, Grzegorz; Mey, Tobias; Enkisch, Hartmut

    2018-01-01

    The durability of grazing- and normal-incidence optical coatings has been experimentally assessed under free-electron laser irradiation at various numbers of pulses up to 16 million shots and various fluence levels below 10% of the single-shot damage threshold. The experiment was performed at FLASH, the Free-electron LASer in Hamburg, using 13.5 nm extreme UV (EUV) radiation with 100 fs pulse duration. Polycrystalline ruthenium and amorphous carbon 50 nm thin films on silicon substrates were tested at total external reflection angles of 20° and 10° grazing incidence, respectively. Mo/Si periodical multilayer structures were tested in the Bragg reflection condition at 16° off-normal angle of incidence. The exposed areas were analysed post-mortem using differential contrast visible light microscopy, EUV reflectivity mapping and scanning X-ray photoelectron spectroscopy. The analysis revealed that Ru and Mo/Si coatings exposed to the highest dose and fluence level show a few per cent drop in their EUV reflectivity, which is explained by EUV-induced oxidation of the surface.

  8. Effect of thermal treatment and light irradiation on the stability of lycopene with high Z-isomers content.

    PubMed

    Murakami, Kazuya; Honda, Masaki; Takemura, Ryota; Fukaya, Tetsuya; Wahyudiono; Kanda, Hideki; Goto, Motonobu

    2018-06-01

    The stability of lycopene with high Z-isomers content during thermal treatment and light irradiation was investigated. Purified (all-E)-lycopene was thermally isomerized to the Z-isomers in dichloromethane (CH 2 Cl 2 ) at 50 °C for 24 h. The total content of the Z-isomers of lycopene reached 56.1%. Then, the mixture of lycopene isomers was stored in the dark at 4, 25, and 40 °C for 30 days, and under light irradiation using a fluorescent light at 4 °C for 336 h. The degradation rate of lycopene during thermal treatment rose with increasing temperature and the activation energy for decomposition of the mixture of lycopene isomers was calculated to be 71.0 kJ mol -1 . The degradation rate of lycopene isomers was almost the same under thermal treatment. On the other hand, during light irradiation, isomerization was promoted rather than decomposition, i.e. (9Z)- and (13Z)-lycopene converted to the (all-E)-isomer. Copyright © 2018 Elsevier Ltd. All rights reserved.

  9. Design and pitch scaling for affordable node transition and EUV insertion scenario

    NASA Astrophysics Data System (ADS)

    Kim, Ryoung-han; Ryckaert, Julien; Raghavan, Praveen; Sherazi, Yasser; Debacker, Peter; Trivkovic, Darko; Gillijns, Werner; Tan, Ling Ee; Drissi, Youssef; Blanco, Victor; Bekaert, Joost; Mao, Ming; Larivière, Stephane; McIntyre, Greg

    2017-04-01

    imec's DTCO and EUV achievement toward imec 7nm (iN7) technology node which is industry 5nm node equivalent is reported with a focus on cost and scaling. Patterning-aware design methodology supports both iArF multiple patterning and EUV under one compliant design rule. FinFET device with contacted poly pitch of 42nm and metal pitch of 32nm with 7.5-track, 6.5-track, and 6-track standard cell library are explored. Scaling boosters are used to provide additional scaling and die cost benefit while lessening pitch shrink burden, and it makes EUV insertion more affordable. EUV pattern fidelity is optimized through OPC, SMO, M3D, mask sizing and SRAF. Processed wafers were characterized and edge-placement-error (EPE) variability is validated for EUV insertion. Scale-ability and cost of ownership of EUV patterning in aligned with iN7 standard cell design, integration and patterning specification are discussed.

  10. Multilayer deposition and EUV reflectance characterization of 131 ? flight mirrors for AIA at LLNL

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soufli, R; Robinson, J C; Spiller, E

    2006-02-22

    Mo/Si multilayer coatings reflecting at 131 {angstrom} were deposited successfully on the AIA primary and secondary flight mirrors and on two coating witness Si wafers, on November 16, 2005, at LLNL. All coatings were characterized by means of EUV reflectance measurements at beamline 6.3.2 of the Advanced Light Source (ALS) synchrotron at LBNL, and were found to be well within specifications.

  11. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  12. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  13. Pinch current limitation effect in plasma focus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, S.; Saw, S. H.; INTI International University College, 71800 Nilai

    The Lee model couples the electrical circuit with plasma focus dynamics, thermodynamics, and radiation. It is used to design and simulate experiments. A beam-target mechanism is incorporated, resulting in realistic neutron yield scaling with pinch current and increasing its versatility for investigating all Mather-type machines. Recent runs indicate a previously unsuspected 'pinch current limitation' effect. The pinch current does not increase beyond a certain value however low the static inductance is reduced to. The results indicate that decreasing the present static inductance of the PF1000 machine will neither increase the pinch current nor the neutron yield, contrary to expectations.

  14. Pulsed Flow Pinch

    NASA Astrophysics Data System (ADS)

    Hartman, Charles

    2005-10-01

    Formation of a Pulsed Flow Pinch is discussed, based on 2-D, MHD numerical calculations. The PFP utilizes the observed stable, Btheta magnetic ``bubble'' which propagates from breach to muzzle during the run-down phase of the coaxial Marshall gun. We consider two ways of launching a PFP onto a fiber or cylindrical gas cloud: 1) by propagating the bubble to small radius along an exponentially-decreasing-radius center conductor and, 2) by a radial launch to form reflex PFP's propagating in opposite directions along a fiber. We show that the bubble velocity increases to high values as the radius is decreased making the rise time of Btheta at an axial point very short. A bubble, launched into uniform gas is found to undergo unstable pinching of the front. Results will be presented of calculations of a PFP driven, neutron-producing, snow-plow pinch. This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under contract No. W-7405-Eng-48.

  15. Low temperature plasmas induced in SF6 by extreme ultraviolet (EUV) pulses

    NASA Astrophysics Data System (ADS)

    Bartnik, A.; Skrzeczanowski, W.; Czwartos, J.; Kostecki, J.; Fiedorowicz, H.; Wachulak, P.; Fok, T.

    2018-06-01

    In this work, a comparative study of extreme ultraviolet (EUV) induced low temperature SF6-based plasmas, created using two different irradiation systems, was performed. Both systems utilized laser-produced plasma (LPP) EUV sources. The essential difference between the systems concerned the formation of the driving EUV beam. The first one contained an efficient ellipsoidal EUV collector allowing for focusing of the EUV radiation at a large distance from the LPP source. The spectrum of focused radiation was limited to the long-wavelength part of the total LPP emission, λ > 8 nm, due to the reflective properties of the collector. The second system did not contain any EUV collector. The gas to be ionized was injected in the vicinity of the LPP, at a distance of the order of 10 mm. In both systems, energies of the driving photons were high enough for dissociative ionization of the SF6 molecules and ionization of atoms or even singly charged ions. Plasmas, created due to these processes, were investigated by spectral measurements in the EUV, ultraviolet (UV), and visible (VIS) spectral ranges. These low temperature plasmas were employed for preliminary experiments concerning surface treatment. The formation of pronounced nanostructures on the silicon surface after plasma treatment was demonstrated.

  16. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    NASA Astrophysics Data System (ADS)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  17. EUV Coronal Waves: Atmospheric and Heliospheric Connections and Energetics

    NASA Astrophysics Data System (ADS)

    Patsourakos, S.

    2015-12-01

    Since their discovery in late 90's by EIT on SOHO, the study EUV coronal waves has been a fascinating andfrequently strongly debated research area. While it seems as ifan overall consensus has been reached about the nurture and nature of this phenomenon,there are still several important questions regarding EUV waves. By focusing on the most recentobservations, we will hereby present our current understanding about the nurture and nature of EUV waves,discuss their connections with other atmospheric and heliospheric phenomena (e.g.,flares and CMEs, Moreton waves, coronal shocks, coronal oscillations, SEP events) and finallyassess their possible energetic contribution to the overall budget of relatederuptive phenomena.

  18. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  19. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    NASA Astrophysics Data System (ADS)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  20. The Nature of the Flaring EUVE Companion to HD 43162

    NASA Technical Reports Server (NTRS)

    Kulkarni, Shrinivas R.

    2005-01-01

    The purpose of our program was to observe and characterize the companion to HD 43162, EUVE J0614-2354, which (serendipitously) experienced an enormous flare event during our EUVE observation of HD 43162, one of the nearby solar analogs that we observed during our survey of this population. Our observation was carried out and the data have been received and reduced. We are able to identify EUVE J0614-2354 in both the X-ray (EPIC MOS + PN) and the UV (OM) data, which provides a sub-arcsecond position for this source. Our findings are consistent with the analysis of Christian et al. (2003a,b), who identify EUVE J0614-2354 with a coronally-active M-dwarf star at distance d = 15 plus or minus 5pc. The X-ray spectrum from the EPIC data are also consistent with this identification.

  1. On the Evolution From Micrometer-Scale Inhomogeneity to Global Overheated Structure During the Intense Joule Heating of a z-Pinch Rod

    DOE PAGES

    Awe, T. J.; Yu, E. P.; Yates, K. C.; ...

    2017-02-21

    Ultrafast optical microscopy of metal z-pinch rods pulsed with megaampere current is contributing new data and critical insight into what provides the fundamental seed for the magneto-Rayleigh-Taylor (MRT) instability. A two-frame near infrared/visible intensified-charge-coupled device gated imager with 2-ns temporal resolution and 3-μm spatial resolution captured emissions from the nonuniformly Joule heated surfaces of ultrasmooth aluminum (Al) rods. Nonuniform surface emissions are consistently first observed from discrete, 10-μm scale, subelectronvolt spots. Aluminum 6061 alloy, with micrometer-scale nonmetallic resistive inclusions, forms several times more spots than 99.999% pure Al 5N; 5-10 ns later, azimuthally stretched elliptical spots and distinct strata (40-100more » μm wide by 10 μm tall) are observed on Al 6061, but not on Al 5N. In such overheat strata, aligned parallel to the magnetic field, we find that they are highly effective seeds for MRT instability growth. Our data give credence to the hypothesis that early nonuniform Joule heating, such as the electrothermal instability, may provide the dominant seed for MRT.« less

  2. Investigation on the pinch point position in heat exchangers

    NASA Astrophysics Data System (ADS)

    Pan, Lisheng; Shi, Weixiu

    2016-06-01

    The pinch point is important for analyzing heat transfer in thermodynamic cycles. With the aim to reveal the importance of determining the accurate pinch point, the research on the pinch point position is carried out by theoretical method. The results show that the pinch point position depends on the parameters of the heat transfer fluids and the major fluid properties. In most cases, the pinch point locates at the bubble point for the evaporator and the dew point for the condenser. However, the pinch point shifts to the supercooled liquid state in the near critical conditions for the evaporator. Similarly, it shifts to the superheated vapor state with the condensing temperature approaching the critical temperature for the condenser. It even can shift to the working fluid entrance of the evaporator or the supercritical heater when the heat source fluid temperature is very high compared with the absorbing heat temperature. A wrong position for the pinch point may generate serious mistake. In brief, the pinch point should be founded by the iterative method in all conditions rather than taking for granted.

  3. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  4. Self-pinched lithium beam transport experiments on SABRE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanson, D.L.; Olson, C.L.; Poukey, J.W.

    Self-pinched transport of ion beams has many advantages for ion-driven ICF applications involving high yield and energy production. The authors are currently preparing for a self-pinched lithium beam transport experiment on the SABRE accelerator. There are three transport elements that must eventually be demonstrated: (1) efficient lithium beam generation and ballistic transport to a focus at the self-pinched transport channel entrance; (2) self-pinched transport in the channel, requiring optimized injection conditions and gas breakdown; and (3) self-pinched transport of the equilibrated beam from the channel into free space, with associated aiming and stability considerations. In the present experiment, a hollowmore » annular lithium beam from an applied-B extraction ion diode will be focused to small radius (r {le} 2 cm) in a 60 cm long ballistic focus section containing argon gas at a pressure of a few Torr. The self-pinched transport channel will contain a low pressure background gas of 10--40 mTorr argon to allow sufficient net current to confine the beam for long distance transport. IPROP simulations are in progress to optimize the design of the ballistic and self-pinched transport sections. Progress on preparation of this lithium self-pinched transport experiment, including a discussion of transport system design, important gas breakdown issues, and diagnostics, will be presented.« less

  5. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  6. Topside Ionospheric Response to Solar EUV Variability

    NASA Astrophysics Data System (ADS)

    Anderson, P. C.; Hawkins, J.

    2015-12-01

    We present an analysis of 23 years of thermal plasma measurements in the topside ionosphere from several DMSP spacecraft at ~800 km. The solar cycle variations of the daily averaged densities, temperatures, and H+/O+ ratios show a strong relationship to the solar EUV as described by the E10.7 solar EUV proxy with cross-correlation coefficients (CCCs) with the density greater than 0.85. The H+/O+ varies dramatically from solar maximum when it is O+ dominated to solar minimum when it is H+ dominated. These ionospheric parameters also vary strongly with season, particularly at latitudes well away from the equator where the solar zenith angle (SZA) varies greatly with season. There are strong 27-day solar rotation periodicities in the density, associated with the periodicities in the solar EUV as measured by the TIMED SEE and SDO EVE instruments, with CCCs at times greater than 0.9 at selected wavelengths. Empirical Orthogonal Function (EOF) analysis captures over 95% of the variation in the density over the 23 years in the first two principle components. The first principle component (PC1) is clearly associated with the solar EUV showing a 0.91 CCC with the E10.7 proxy while the PC1 EOFs remain relatively constant with latitude indicating that the solar EUV effects are relatively independent of latitude. The second principle component (PC2) is clearly associated with the SZA variation, showing strong correlations with the SZA and the concomitant density variations at latitudes away from the equator and with the PC2 EOFs having magnitudes near zero at the equator and maximum at high latitude. The magnitude of the variation of the response of the topside ionosphere to solar EUV variability is shown to be closely related to the composition. This is interpreted as the result of the effect of composition on the scale height in the topside ionosphere and the "pivot effect" in which the variation in density near the F2 peak is expected to be amplified by a factor of e at an

  7. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2004-12-01

    issue of available EUV power from electrical discharge pinch plasmas and laser produced plasmas, including the roots of these requirements, the relevant plasma and radiation physics, and current state-of-the-art commercial technology. In the first paper of the cluster, Vadim Banine and Roel Moors of ASML in the Netherlands provide a detailed review of the required EUV power based on an economically viable throughput of one hundred 300 mm diameter wafers per hour, projected resist sensitivity, number of finite reflectivity multilayer coated surfaces and their collective spectral bandwidth, and a collection solid angle set by optical phase-space constraints and plasma source size. Thomas Krücken and his colleagues from Philips and the Fraunhofer Institute in Aachen present a theoretical model of radiation generation and transport based on model density and temperature profiles in an electrical discharge plasma, providing valuable insights into radiation physics and the limits to achievable power. Kenneth Fahy and his colleagues at UCD in Dublin and NIST in the US, in their paper, describe in detail atomic physics calculations of emission from relevant lines and unresolved transition arrays (UTAs) of candidate xenon and tin ions, each of which radiate strongly within the acceptance bandwidth of the multilayer coatings. The different elements, Xe and Sn, however, raise significantly different implications for source debris production and thus of requisite debris mitigation requirements. Björn Hannson and Hans Hertz of KTH University in Stockholm present a substantial review of laser produced plasmas for the EUV, including those based on liquid jet technologies, leading to a path of mass limited target material, and significant stand-off distance from the solid nozzle, which maximize EUV power generation while minimizing debris production. In addition to an extensive review of EUV source related literature, they describe experiments with laser irradiated droplets and

  8. Light Z' in heterotic string standardlike models

    NASA Astrophysics Data System (ADS)

    Athanasopoulos, P.; Faraggi, A. E.; Mehta, V. M.

    2014-05-01

    The discovery of the Higgs boson at the LHC supports the hypothesis that the Standard Model provides an effective parametrization of all subatomic experimental data up to the Planck scale. String theory, which provides a viable perturbative approach to quantum gravity, requires for its consistency the existence of additional gauge symmetries beyond the Standard Model. The construction of heterotic string models with a viable light Z' is, however, highly constrained. We outline the construction of standardlike heterotic string models that allow for an additional Abelian gauge symmetry that may remain unbroken down to low scales. We present a string inspired model, consistent with the string constraints.

  9. Serendipitous EUV sources detected during the first year of the Extreme Ultraviolet Explorer right angle program

    NASA Technical Reports Server (NTRS)

    Mcdonald, K.; Craig, N.; Sirk, M. M.; Drake, J. J.; Fruscione, A.; Vallerga, J. V.; Malina, R. F.

    1994-01-01

    We report the detection of 114 extreme ultraviolet (EUV; 58 - 740 A) sources, of which 99 are new serendipitous sources, based on observations made with the imaging telescopes on board the Extreme Ultraviolet Explorer (EUVE) during the Right Angle Program (RAP). These data were obtained using the survey scanners and the Deep Survey instrument during the first year of the spectroscopic guest observer phase of the mission, from January 1993 to January 1994. The data set consists of 162 discrete pointings whose exposure times are typically two orders of magnitude longer than the average exposure times during the EUVE all-sky survey. Based on these results, we can expect that EUVE will serendipitously detect approximately 100 new EUV sources per year, or about one new EUV source per 10 sq deg, during the guest observer phase of the EUVE mission. New EUVE sources of note include one B star and three extragalactic objects. The B star (HR 2875, EUVE J0729 - 38.7) is detected in both the Lexan/B (approximately 100 A) and Al/Ti/C (approximately 200 A) bandpasses, and the detection is shown not to be a result of UV leaks. We suggest that we are detecting EUV and/or soft x rays from a companion to the B star. Three sources, EUVE J2132+10.1, EUVE J2343-14.9, and EUVE J2359-30.6 are identified as the active galactic nuclei MKN 1513, MS2340.9-1511, and 1H2354-315, respectively.

  10. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  11. EUV lithography: NXE platform performance overview

    NASA Astrophysics Data System (ADS)

    Peeters, Rudy; Lok, Sjoerd; Mallman, Joerg; van Noordenburg, Martijn; Harned, Noreen; Kuerz, Peter; Lowisch, Martin; van Setten, Eelco; Schiffelers, Guido; Pirati, Alberto; Stoeldraijer, Judon; Brandt, David; Farrar, Nigel; Fomenkov, Igor; Boom, Herman; Meiling, Hans; Kool, Ron

    2014-04-01

    The first NXE3300B systems have been qualified and shipped to customers. The NXE:3300B is ASML's third generation EUV system and has an NA of 0.33. It succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. Good overlay and imaging performance has been shown on the NXE:3300B system in line with 22nm device requirements. Full wafer CDU performance of <1.5nm for 22nm dense and iso lines at a dose of ~16mJ/cm2 has been achieved. Matched machine overlay (NXE to immersion) of around 3.5nm has been demonstrated on multiple systems. Dense lines have been exposed down to 13nm half pitch, and contact holes down to 17nm half pitch. 10nm node Metal-1 layers have been exposed with a DOF of 120nm, and using single spacer assisted double patterning flow a resolution of 9nm has been achieved. Source power is the major challenge to overcome in order to achieve cost-effectiveness in EUV and enable introduction into High Volume Manufacturing. With the development of the MOPA+prepulse operation of the source, steps in power have been made, and with automated control the sources have been prepared to be used in a preproduction fab environment. Flexible pupil formation is under development for the NXE:3300B which will extend the usage of the system in HVM, and the resolution for the full system performance can be extended to 16nm. Further improvements in defectivity performance have been made, while in parallel full-scale pellicles are being developed. In this paper we will discuss the current NXE:3300B performance, its future enhancements and the recent progress in EUV source performance.

  12. EUV-induced oxidation of carbon on TiO2.

    PubMed

    Faradzhev, Nadir S; Hill, Shannon B

    2016-10-01

    Previously we reported estimates of the maximum etch rates of C on TiO 2 by oxidizers including NO, O 3 and H 2 O 2 when irradiated by a spatially-non-uniform beam of extreme ultraviolet (EUV) radiation at 13.5 nm (Faradzhev et al., 2013). Here we extend that work by presenting temporally and spatially resolved measurements of the C etching by these oxidizers as a function of EUV intensity in the range (0.3 to 3) mW/mm 2 [(0.2 to 2) × 10 16 photons s -1 cm -2 ]. We find that the rates for NO scale linearly with intensity and are smaller than those for O 3 , which exhibit a weak, sub-linear intensity dependence in this range. We demonstrate that these behaviors are consistent with adsorption of the oxidizing precursor on the C surface followed by a photon-stimulated reaction resulting in volatile C-containing products. The kinetics of photon-induced C etching by hydrogen peroxide, however, appear to be more complex. The spatially resolved measurements reveal that C removal by H 2 O 2 begins at the edges of the C spot, where the light intensity is the lowest, and proceeds toward the center of the spot. This localization of the reaction may occur because hydroxyl radicals are produced efficiently on the catalytically active TiO 2 surface.

  13. Integrated approach to improving local CD uniformity in EUV patterning

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  14. Characterization and control of EUV scanner dose uniformity and stability

    NASA Astrophysics Data System (ADS)

    Robinson, Chris; Corliss, Dan; Meli, Luciana; Johnson, Rick

    2018-03-01

    The EUV source is an impressive feat of engineering that provides 13.5 nm radiation by vaporizing tin droplets with a high power CO2 laser and focusing the photons produced in the resultant plasma into the scanner illumination system. Great strides have been made in addressing the many potential stability challenges, but there are still residual spatial and temporal dose non-uniformity signatures. Since even small dose errors can impact the yieldable process window for the advanced lithography products that are exposed on EUV scanners it is crucial to monitor and control the dose variability. Using on-board metrology, the EUV scanner outputs valuable metrics that provide real time insight into the dose performance. We have supplemented scanner data collection with a wafer based methodology that provides high throughput, high sensitivity, quantitative characterization of the EUV scanner dose delivery. The technique uses open frame EUV exposures, so it is exclusive of lithographic pattern imaging, exclusive of lithographic mask pattern and not limited by placement of metrology features. Processed wafers are inspected rapidly, providing 20,000 pixels of detail per exposure field in approximately one minute. Exposing the wafer on the scanner with a bit less than the resist E0 (open frame clearing dose) results in good sensitivity to small variations in the EUV dose delivered. The nominal exposure dose can be modulated by field to calibrate the inspection results and provide quantitative assessment of variations with < 1% sensitivity. This technique has been used for dose uniformity assessments. It is also being used for long term dose stability monitoring and has proven valuable for short term dose stability follow up investigations.

  15. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  16. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    NASA Astrophysics Data System (ADS)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  17. EUV efficiency of a 6000-grooves per mm diffraction grating

    NASA Technical Reports Server (NTRS)

    Hurwitz, Mark; Bowyer, Stuart; Edelstein, Jerry; Harada, Tatsuo; Kita, Toshiaki

    1990-01-01

    In order to explore whether grooves ruled mechanically at a density of 6000 per mm can perform well at EUV wavelengths, a sample grating is measured with this density in an EUV calibration facility. Measurements are presented of the planar uniform line-space diffraction grating's efficiency and large-angle scattering.

  18. Pinch aperture proprioception: reliability and feasibility study

    PubMed Central

    Yahya, Abdalghani; von Behren, Timothy; Levine, Shira; dos Santos, Marcio

    2018-01-01

    [Purpose] To establish the reliability and feasibility of a novel pinch aperture device to measure proprioceptive joint position sense. [Subjects and Methods] Reliability of the pinch aperture device was assessed in 21 healthy subjects. Following familiarization with a 15° target position of the index finger and thumb, subjects performed 5 trials in which they attempted to actively reproduce the target position without visual feedback. This procedure was repeated at a testing session on a separate date, and the between-session intraclass correlation coefficient (ICC) was calculated. In addition, extensor tendon vibration was applied to 19 healthy subjects, and paired t-tests were conducted to compare performance under vibration and no-vibration conditions. Pinch aperture proprioception was also assessed in two individuals with known diabetic neuropathy. [Results] The pinch aperture device demonstrated excellent reliability in healthy subjects (ICC 0.88, 95% confidence interval 0.70–0.95). Tendon vibration disrupted pinch aperture proprioception, causing subjects to undershoot the target position (18.1 ± 2.6° vs. 14.8° ± 0.76, p<0.001). This tendency to undershoot the target position was also noted in individuals with diabetic neuropathy. [Conclusion] This study describes a reliable, feasible, and functional means of measuring finger proprioception. Further research should investigate the assessment and implications of pinch aperture proprioception in neurological and orthopedic populations. PMID:29765192

  19. Characterization of neutron emission from mega-ampere deuterium gas puff Z-pinch at microsecond implosion times

    NASA Astrophysics Data System (ADS)

    Klir, D.; Shishlov, A. V.; Kokshenev, V. A.; Kubes, P.; Labetsky, A. Yu; Rezac, K.; Cikhardt, J.; Fursov, F. I.; Kovalchuk, B. M.; Kravarik, J.; Kurmaev, N. E.; Ratakhin, N. A.; Sila, O.; Stodulka, J.

    2013-08-01

    Experiments with deuterium (D2) triple shell gas puffs were carried out on the GIT-12 generator at a 3 MA current level and microsecond implosion times. The outer, middle and inner nozzle diameters were 160 mm, 80 mm and 30 mm, respectively. The influence of the mass of deuterium shells on neutron emission times, neutron yields and neutron energy spectra was studied. The injected linear mass of deuterium varied between 50 and 255 µg cm-1. Gas puffs imploded onto the axis before the peak of generator current at 700-1100 ns. Most of the neutrons were emitted during the second neutron pulse after the development of instabilities. Despite higher currents, heavier gas puffs produced lower neutron yields. Optimal mass and a short time delay between the valve opening and the generator triggering were more important than the better coincidence of stagnation with peak current. The peak neutron yield from D(d, n)3He reactions reached 3 × 1011 at 2.8 MA current, 90 µg cm-1 injected linear mass and 37 mm anode-cathode gap. In the case of lower mass shots, a large number of 10 MeV neutrons were produced either by secondary DT reactions or by DD reactions of deuterons with energies above 7 MeV. The average neutron yield ratio Y>10 MeV/Y2.5 MeV reached (6 ± 3) × 10-4. Such a result can be explained by a power law distribution for deuterons as \\rmd N_d/\\rmd E_d\\propto E_d^{-3} . The optimization of a D2 gas puff Z-pinch and similarities to a plasma focus and its drive parameter are described.

  20. Nanoimaging using soft X-ray and EUV laser-plasma sources

    NASA Astrophysics Data System (ADS)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  1. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  2. Studies of Solar EUV Irradiance from SOHO

    NASA Technical Reports Server (NTRS)

    Floyd, Linton

    2002-01-01

    The Extreme Ultraviolet (EUV) irradiance central and first order channel time series (COC and FOC) from the Solar EUV Monitor aboard the Solar and Heliospheric observatory (SOHO) issued in early 2002 covering the time period 1/1/96-31/1201 were analyzed in terms of other solar measurements and indices. A significant solar proton effect in the first order irradiance was found and characterized. When this effect is removed, the two irradiance time series are almost perfectly correlated. Earlier studies have shown good correlation between the FOC and the Hall core-to-wing ratio and likewise, it was the strongest component of the COC. Analysis of the FOC showed dependence on the F10.7 radio flux. Analysis of the CDC signals showed additional dependences on F10.7 and the GOES x-ray fluxes. The SEM FOC was also well correlated with thein 30.4 nm channel of the SOHO EUV Imaging Telescope (EIT). The irradiance derived from all four EIT channels (30.4 nm, 17.1 nm, 28.4 nm, and 19.5 nm) showed better correlation with MgII than F10.7.

  3. Well-defined EUV wave associated with a CME-driven shock

    NASA Astrophysics Data System (ADS)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  4. Stability and imaging of the ASML EUV alpha demo tool

    NASA Astrophysics Data System (ADS)

    Hermans, Jan V.; Baudemprez, Bart; Lorusso, Gian; Hendrickx, Eric; van Dijk, Andre; Jonckheere, Rik; Goethals, Anne-Marie

    2009-03-01

    Extreme Ultra-Violet (EUV) lithography is the leading candidate for semiconductor manufacturing of the 22nm technology node and beyond, due to the very short wavelength of 13.5nm. However, reducing the wavelength adds complexity to the lithographic process. The impact of the EUV specific conditions on lithographic performance needs to be understood, before bringing EUV lithography into pre-production. To provide early learning on EUV, an EUV fullfield scanner, the Alpha Demo Tool (ADT) from ASML was installed at IMEC, using a Numerical Aperture (NA) of 0.25. In this paper we report on different aspects of the ADT: the imaging and overlay performance and both short and long-term stability. For 40nm dense Lines-Spaces (LS), the ADT shows an across field overlapping process window of 270nm Depth Of Focus (DOF) at 10% Exposure Latitude (EL) and a wafer CD Uniformity (CDU) of 3nm 3σ, without any corrections for process or reticle. The wafer CDU is correlated to different factors that are known to influence the CD fingerprint from traditional lithography: slit intensity uniformity, focus plane deviation and reticle CD error. Taking these contributions into account, the CD through slit fingerprint for 40nm LS is simulated with excellent agreement to experimental data. The ADT shows good CD stability over 9 months of operation, both intrafield and across wafer. The projection optics reflectivity has not degraded over 9 months. Measured overlay performance with respect to a dry tool shows |Mean|+3σ below 20nm with more correction potential by applying field-by-field corrections (|Mean|+3σ <=10nm). For 22nm SRAM application, both contact hole and metal layer were printed in EUV with 10% CD and 15nm overlay control. Below 40nm, the ADT shows good wafer CDU for 30nm dense and isolated lines (on the same wafer) and 38nm dense Contact Holes (CH). First 28nm dense line CDU data are achieved. The results indicate that the ADT can be used effectively for EUV process development

  5. Honing the accuracy of extreme-ultraviolet optical system testing: at-wavelength and visible-light measurements of the ETS Set-2 projection optic

    NASA Astrophysics Data System (ADS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Bokor, Jeffrey; Chapman, Henry N.

    2002-07-01

    As the quality of optical systems for extreme ultraviolet lithography improves, high-accuracy wavefront metrology for alignment and qualification becomes ever more important. To enable the development of diffraction-limited EUV projection optics, visible-light and EUV interferometries must work in close collaboration. We present a detailed comparison of EUV and visible-light wavefront measurements performed across the field of view of a lithographic-quality EUV projection optical system designed for use in the Engineering Test Stand developed by the Virtual National Laboratory and the EUV Limited Liability Company. The comparisons reveal that the present level of RMS agreement lies in the 0.3-0.4-nm range. Astigmatism is the most significant aberration component for the alignment of this optical system; it is also the dominant term in the discrepancy, and the aberration with the highest measurement uncertainty. With EUV optical systems requiring total wavefront quality in the (lambda) EUV/50 range, and even higher surface-figure quality for the individual mirror elements, improved accuracy through future comparisons, and additional studies, are required.

  6. Magnetron sputtering for the production of EUV mask blanks

    NASA Astrophysics Data System (ADS)

    Kearney, Patrick; Ngai, Tat; Karumuri, Anil; Yum, Jung; Lee, Hojune; Gilmer, David; Vo, Tuan; Goodwin, Frank

    2015-03-01

    Ion Beam Deposition (IBD) has been the primary technique used to deposit EUV mask blanks since 1995 when it was discovered it could produce multilayers with few defects. Since that time the IBD technique has been extensively studied and improved and is finally approaching usable defectivities. But in the intervening years, the defectivity of magnetron sputtering has been greatly improved. This paper evaluates the suitability of a modern magnetron tool to produce EUV mask blanks and the ability to support HVM production. In particular we show that the reflectivity and uniformity of these tools are superior to current generation IBD tools, and that the magnetron tools can produce EUV films with defect densities comparable to recent best IBD tool performance. Magnetron tools also offer many advantages in manufacturability and tool throughput; however, challenges remain, including transitioning the magnetron tools from the wafer to mask formats. While work continues on quantifying the capability of magnetron sputtering to meet the mask blank demands of the industry, for the most part the remaining challenges do not require any fundamental improvements to existing technology. Based on the recent results and the data presented in this paper there is a clear indication that magnetron deposition should be considered for the future of EUV mask blank production.

  7. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    NASA Astrophysics Data System (ADS)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  8. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    NASA Astrophysics Data System (ADS)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  9. Development of a 1m-normal-incidence-EUV-Telescope

    NASA Technical Reports Server (NTRS)

    Grewing, M.; Kraemer, G.; Schulz-Luepertz, E.; Wulf-Mathies, C.; Bowyer, S.; Jacobsen, P.; Jelinsky, P.; Kimble, R.

    1982-01-01

    A brief description is given of the 1m-EUV-Telescope and its focal plane instrumentation, namely an EUV spectrometer and six EUV/FUV photometers. The telescope is scheduled for launch on an Aries rocket on June 17, 1982. The principal goals are the white dwarf HZ43 and a photometric scan across the sky in an area of the sky where 21 cm line observations reveal a steep density gradient. The optical bench of the telescope is a cylinder made of a graphite epoxy compound. Despite its low specific weight, the bench shows an excellent mechanical performance, with an elasticity modulus of approximately 70,000 N/cu mm. It is pointed out that by carefully combining layers with different winding angles of the carbon fiber, the thermal expansion along the cylinder axis is almost negligible, even under severe thermal loads

  10. EUV Waves Driven by the Sudden Expansion of Transequatorial Loops Caused by Coronal Jets

    NASA Astrophysics Data System (ADS)

    Shen, Yuandeng; Tang, Zehao; Miao, Yuhu; Su, Jiangtao; Liu, Yu

    2018-06-01

    We present two events to study the driving mechanism of extreme-ultraviolet (EUV) waves that are not associated with coronal mass ejections (CMEs), by using high-resolution observations taken by the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Observational results indicate that the observed EUV waves were accompanied by flares and coronal jets, but not the CMEs that were regarded as drivers of most EUV waves in previous studies. In the first case, it is observed that a coronal jet is ejected along a transequatorial loop system at a plane-of-the-sky (POS) speed of 335 ± 22 km s{}-1; in the meantime, an arc-shaped EUV wave appeared on the eastern side of the loop system. In addition, the EUV wave further interacted with another interconnecting loop system and launched a fast propagating (QFP) magnetosonic wave along the loop system, which had a period of 200 s and a speed of 388 ± 65 km s{}-1, respectively. In the second case, we observed a coronal jet that ejected at a POS speed of 282 ± 44 km s{}-1 along a transequatorial loop system as well as the generation of bright EUV waves on the eastern side of the loop system. Based on the observational results, we propose that the observed EUV waves on the eastern side of the transequatorial loop systems are fast-mode magnetosonic waves and that they are driven by the sudden lateral expansion of the transequatorial loop systems due to the direct impingement of the associated coronal jets, while the QFP wave in the fist case formed due to the dispersive evolution of the disturbance caused by the interaction between the EUV wave and the interconnecting coronal loops. It is noted that EUV waves driven by sudden loop expansions have shorter lifetimes than those driven by CMEs.

  11. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  12. Actinic imaging and evaluation of phase structures on EUV lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mochi, Iacopo; Goldberg, Kenneth; Huh, Sungmin

    2010-09-28

    The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of themore » object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.« less

  13. ``Big Bang" for NASA's Buck: Nearly Three Years of EUVE Mission Operations at UCB

    NASA Astrophysics Data System (ADS)

    Stroozas, B. A.; Nevitt, R.; McDonald, K. E.; Cullison, J.; Malina, R. F.

    1999-12-01

    After over seven years in orbit, NASA's Extreme Ultraviolet Explorer (EUVE) satellite continues to perform flawlessly and with no significant loss of science capabilities. EUVE continues to produce important and exciting science results and, with reentry not expected until 2003-2004, many more such discoveries await. In the nearly three years since the outsourcing of EUVE from NASA's Goddard Space Flight Center, the small EUVE operations team at the University of California at Berkeley (UCB) has successfully conducted all aspects of the EUVE mission -- from satellite operations, science and mission planning, and data processing, delivery, and archival, to software support, systems administration, science management, and overall mission direction. This paper discusses UCB's continued focus on automation and streamlining, in all aspects of the Project, as the means to maximize EUVE's overall scientific productivity while minimizing costs. Multitasking, non-traditional work roles, and risk management have led to expanded observing capabilities while achieving significant cost reductions and maintaining the mission's historical 99 return. This work was funded under NASA Cooperative Agreement NCC5-138.

  14. Contamination Effects on EUV Optics

    NASA Technical Reports Server (NTRS)

    Tveekrem, J.

    1999-01-01

    During ground-based assembly and upon exposure to the space environment, optical surfaces accumulate both particles and molecular condensibles, inevitably resulting in degradation of optical instrument performance. Currently, this performance degradation (and the resulting end-of-life instrument performance) cannot be predicted with sufficient accuracy using existing software tools. Optical design codes exist to calculate instrument performance, but these codes generally assume uncontaminated optical surfaces. Contamination models exist which predict approximate end-of-life contamination levels, but the optical effects of these contamination levels can not be quantified without detailed information about the optical constants and scattering properties of the contaminant. The problem is particularly pronounced in the extreme ultraviolet (EUV, 300-1,200 A) and far (FUV, 1,200-2,000 A) regimes due to a lack of data and a lack of knowledge of the detailed physical and chemical processes involved. Yet it is in precisely these wavelength regimes that accurate predictions are most important, because EUV/FUV instruments are extremely sensitive to contamination.

  15. Structure of exotic light nuclei: Z = 2, 3, 4

    NASA Astrophysics Data System (ADS)

    Fortune, H. T.

    2018-03-01

    I examine the history and current state of knowledge of the structure of so-called "exotic" light nuclei with Z=2-4, from 7He to 16Be . I review the available experimental information and the models that have been applied to these nuclei. I pay particular attention to the interplay among energies, widths (or strengths), and microscopic structure. Throughout the presentation, I focus on a unified description of these nuclei. I point out contradictions within the data, and I suggest experiments that are still needed.

  16. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    NASA Astrophysics Data System (ADS)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  17. Ultra-low roughness magneto-rheological finishing for EUV mask substrates

    NASA Astrophysics Data System (ADS)

    Dumas, Paul; Jenkins, Richard; McFee, Chuck; Kadaksham, Arun J.; Balachandran, Dave K.; Teki, Ranganath

    2013-09-01

    EUV mask substrates, made of titania-doped fused silica, ideally require sub-Angstrom surface roughness, sub-30 nm flatness, and no bumps/pits larger than 1 nm in height/depth. To achieve the above specifications, substrates must undergo iterative global and local polishing processes. Magnetorheological finishing (MRF) is a local polishing technique which can accurately and deterministically correct substrate figure, but typically results in a higher surface roughness than the current requirements for EUV substrates. We describe a new super-fine MRF® polishing fluid whichis able to meet both flatness and roughness specifications for EUV mask blanks. This eases the burden on the subsequent global polishing process by decreasing the polishing time, and hence the defectivity and extent of figure distortion.

  18. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    NASA Astrophysics Data System (ADS)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  19. Exploring EUV Spicules Using 304 Angstrom He II Data from SDO AIA

    NASA Technical Reports Server (NTRS)

    Snyder, Ian R.; Sterling, Alphonse C.; Falconer, David A.; Moore, Ron L.

    2014-01-01

    We present results from a statistical study of He II 304 Angstrom Extreme Ultraviolet (EUV) spicules at the limb of the Sun. We also measured properties of one macrospicule; macrospicules are longer than most spicules, and much broader in width than spicules. We use high-cadence (12 second) and high-resolution (0.6 arcseconds pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred near the solar north pole, where quiet Sun or coronal hole environments ensued. We examined the maximum lengths, maximum rise velocities, and lifetimes of 33 Extreme Ultraviolet (EUV) spicules and the macrospicule. For the bulk of the Extreme Ultraviolet (EUV) spicules these quantities are, respectively, approximately 10,000-40,000 kilometers, 20-100 kilometers per second, and approximately 100- approximately 1000 seconds. For the macrospicule the corresponding quantities were respectively approximately 60,000 kilometers, approximately 130 kilometers per second, approximately 1800 seconds, which is typical of macrospicules measured by other workers. Therefore macrospicules are taller, longer-lived, and faster than most Extreme Ultraviolet (EUV) spicules. The rise profiles of both the spicules and the macrospicules match well a second-order ("parabolic" ) trajectory, although the acceleration was often weaker than that of solar gravity in the profiles fitted to the trajectories. Our macrospicule also had an obvious brightening at its base at birth, while such brightening was not apparent for the Extreme Ultraviolet (EUV) spicules. Most of the Extreme Ultraviolet (EUV) spicules remained visible during their descent back to the solar surface, although a small percentage of the spicules and the macrospicule faded out before falling back to the surface. Our sample of macrospicules is not yet large enough to determine whether their initiation mechanism is identical to that of Extreme Ultraviolet (EUV

  20. Design and progress in the fabrication of an EUV micro exposure tool optics for PREUVE

    NASA Astrophysics Data System (ADS)

    Geyl, Roland; Tanne, Jean-Francois

    2001-12-01

    SAGEM, through its REOSC product line, is participating since November 1999 to PREUVE, the French EUV initiative, and work within this program especially in the field of EUV illumination and projection optics. After a short description of the PREUVE main lines of activity, we will detail our contributions to this program and work progress. This is mainly focused on basic EUV optics fabrication technology in order to ensure the fabrication of the entire optics assembly of an EUV micro exposure tool.

  1. EUV process improvement with novel litho track hardware

    NASA Astrophysics Data System (ADS)

    Stokes, Harold; Harumoto, Masahiko; Tanaka, Yuji; Kaneyama, Koji; Pieczulewski, Charles; Asai, Masaya

    2017-03-01

    Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased HVM feasibility. Targeted improvements in hardware design for advanced lithography are of interest to our group specifically for metrics such as CD uniformity, LWR, and defect density. Of course, our work is focused on EUV process steps that are specifically affected by litho track performance, and consequently, can be improved by litho track design improvement and optimization. In this study we are building on our experience to provide continual improvement for LWR, CDU, and Defects as applied to a standard EUV process by employing novel hardware solutions on our SOKUDO DUO coat develop track system. Although it is preferable to achieve such improvements post-etch process we feel, as many do, that improvements after patterning are a precursor to improvements after etching. We hereby present our work utilizing the SOKUDO DUO coat develop track system with an ASML NXE:3300 in the IMEC (Leuven, Belgium) cleanroom environment to improve aggressive dense L/S patterns.

  2. The Origin of the EUV Emission in Her X-1

    NASA Technical Reports Server (NTRS)

    Leahy, D. A.; Marshall, H.

    1999-01-01

    Her X-1 exhibits a strong orbital modulation of its EUV flux with a large decrease around time of eclipse of the neutron star, and a significant dip which appears at different orbital phases at different 35-day phases. We consider observations of Her X-1 in the EUVE by the Extreme Ultraviolet Explorer (EUVE), which includes data from 1995 near the end of the Short High state, and date from 1997 at the start of the Short High state. The observed EUV lightcurve has bright and faint phases. The bright phase can be explained as the low energy tail of the soft x-ray pulse. The faint phase emission has been modeled to understand its origin. We find: the x-ray heated surface of HZ Her is too cool to produce enough emission; the accretion disk does not explain the orbital modulation; however, reflection of x-rays off of HZ Her can produce the observed lightcurve with orbital eclipses. The dip can be explained by shadowing of the companion by the accretion disk. We discuss the constraints on the accretion disk geometry derived from the observed shadowing.

  3. EUV Irradiance Inputs to Thermospheric Density Models: Open Issues and Path Forward

    NASA Astrophysics Data System (ADS)

    Vourlidas, A.; Bruinsma, S.

    2018-01-01

    One of the objectives of the NASA Living With a Star Institute on "Nowcasting of Atmospheric Drag for low Earth orbit (LEO) Spacecraft" was to investigate whether and how to increase the accuracy of atmospheric drag models by improving the quality of the solar forcing inputs, namely, extreme ultraviolet (EUV) irradiance information. In this focused review, we examine the status of and issues with EUV measurements and proxies, discuss recent promising developments, and suggest a number of ways to improve the reliability, availability, and forecast accuracy of EUV measurements in the next solar cycle.

  4. Method of fabricating reflection-mode EUV diffusers

    DOEpatents

    Anderson, Erik; Naulleau, Patrick P.

    2005-03-01

    Techniques for fabricating well-controlled, random relief, engineered surfaces that serve as substrates for EUV optical devices are accomplished with grayscale exposure. The method of fabricating a multilevel EUV optical element includes: (a) providing a substrate; (b) depositing a layer of curable material on a surface of the substrate; (c) creating a relief profile in a layer of cured material from the layer of curable material wherein the relief profile comprises multiple levels of cured material that has a defined contour; and (d) depositing a multilayer reflection film over the relief profile wherein the film has an outer contour that substantially matches that of the relief profile. The curable material can comprise photoresist or a low dielectric constant material.

  5. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  6. The regulation of the Z- and G-box containing promoters by light signaling components, SPA1 and MYC2, in Arabidopsis.

    PubMed

    Gangappa, Sreeramaiah N; Maurya, Jay P; Yadav, Vandana; Chattopadhyay, Sudip

    2013-01-01

    Although many transcription factors and regulatory proteins have been identified and functionally characterized in light signaling pathways, photoperception to transcription remains largely fragmented. The Z-box is one of the LREs (Light responsive elements) that plays important role in the regulation of transcription during light-controlled Arabidopsis seedling development. The involvement of photoreceptors in the modulation of the activity of the Z-box containing promoters has been demonstrated. However, the role of downstream signaling components such as SPA1 and MYC2/ZBF1, which are functionally interrelated, remains unknown. In this study, we have investigated the regulation of the Z-box containing synthetic and native promoters by SPA1 and MYC2 by using stable transgenic lines. Our studies suggest that SPA1 negatively regulates the expression of CAB1 native promoter. MYC2 negatively regulates the activity of Z- and/or G-box containing synthetic as well as native promoters irrespective of light quality. Moreover, MYC2 negatively regulates the expression of Z/G-NOS101-GUS even in the darkness. Furthermore, analyses of tissue specific expression in adult plants suggest that MYC2 strongly regulates the activity of Z- and G-box containing promoters specifically in leaves and stems. In roots, whereas MYC2 positively regulates the activity of the Z-box containing synthetic promoter, it does not seem to control the activity of the G-box containing promoters. Taken together, these results provide insights into SPA1- and MYC2-mediated transcriptional regulation of the Z- and G-box containing promoters in light signaling pathways.

  7. General process improvements through pinch technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Linnhoff, B.; Polley, G.T.; Sahdev, V.

    1988-06-01

    Over the last ten years pinch technology has emerged as somewhat of a ''maverick'' development in the process design and energy conservation scene. Running contrary to general trends by taking the computer out of the loop and putting the engineer back in control, it has been questioned by some and welcomed by others. Regardless of persuasion, however, critics and advocates alike have largely failed to notice that pinch technology is more than an energy conservation tool. This is probably because soon after the advent of pinch technology in the 1970s, the process design scene became dominated by the oil crisis.more » Energy savings became the primary task of process designers and, therefore, the primary focus of the technology. Application during this period typically demonstrated energy cost savings in the range of 20 to 50 percent and payback periods of one year or less. Only recently have the other benefits of the technology reemerged. While it is true that pinch technology is essentially a heat-flow-based technique it is also true that it can be used to address a very diverse range of objectives.« less

  8. Exploring EUV and SAQP pattering schemes at 5nm technology node

    NASA Astrophysics Data System (ADS)

    Hamed Fatehy, Ahmed; Kotb, Rehab; Lafferty, Neal; Jiang, Fan; Word, James

    2018-03-01

    For years, Moore's law keeps driving the semiconductors industry towards smaller dimensions and higher density chips with more devices. Earlier, the correlation between exposure source's wave length and the smallest resolvable dimension, mandated the usage of Deep Ultra-Violent (DUV) optical lithography system which has been used for decades to sustain Moore's law, especially when immersion lithography was introduced with 193nm ArF laser sources. As dimensions of devices get smaller beyond Deep Ultra-Violent (DUV) optical resolution limits, the need for Extremely Ultra-Violent (EUV) optical lithography systems was a must. However, EUV systems were still under development at that time for the mass-production in semiconductors industry. Theretofore, Multi-Patterning (MP) technologies was introduced to swirl about DUV optical lithography limitations in advanced nodes beyond minimum dimension (CD) of 20nm. MP can be classified into two main categories; the first one is to split the target itself across multiple masks that give the original target patterns when they are printed. This category includes Double, Triple and Quadruple patterning (DP, TP, and QP). The second category is the Self-Aligned Patterning (SAP) where the target is divided into Mandrel patterns and non-Mandrel patterns. The Mandrel patterns get printed first, then a self-aligned sidewalls are grown around these printed patterns drawing the other non-Mandrel targets, afterword, a cut mask(s) is used to define target's line-ends. This approach contains Self-Aligned-Double Pattering (SADP) and Self-Aligned- Quadruple-Pattering (SAQP). DUV and MP along together paved the way for the industry down to 7nm. However, with the start of development at the 5nm node and the readiness of EUV, the differentiation question is aroused again, which pattering approach should be selected, direct printing using EUV or DUV with MP, or a hybrid flow that contains both DUV-MP and EUV. In this work we are comparing two

  9. Comparison of Helioseismic Far-Side Active Region Detections with STEREO Far-Side EUV Observations of Solar Activity

    NASA Astrophysics Data System (ADS)

    Liewer, P. C.; Qiu, J.; Lindsey, C.

    2017-10-01

    Seismic maps of the Sun's far hemisphere, computed from Doppler data from the Helioseismic and Magnetic Imager (HMI) on board the Solar Dynamics Observatory (SDO) are now being used routinely to detect strong magnetic regions on the far side of the Sun (http://jsoc.stanford.edu/data/farside/). To test the reliability of this technique, the helioseismically inferred active region detections are compared with far-side observations of solar activity from the Solar TErrestrial RElations Observatory (STEREO), using brightness in extreme-ultraviolet light (EUV) as a proxy for magnetic fields. Two approaches are used to analyze nine months of STEREO and HMI data. In the first approach, we determine whether new large east-limb active regions are detected seismically on the far side before they appear Earth side and study how the detectability of these regions relates to their EUV intensity. We find that while there is a range of EUV intensities for which far-side regions may or may not be detected seismically, there appears to be an intensity level above which they are almost always detected and an intensity level below which they are never detected. In the second approach, we analyze concurrent extreme-ultraviolet and helioseismic far-side observations. We find that 100% (22) of the far-side seismic regions correspond to an extreme-ultraviolet plage; 95% of these either became a NOAA-designated magnetic region when reaching the east limb or were one before crossing to the far side. A low but significant correlation is found between the seismic signature strength and the EUV intensity of a far-side region.

  10. SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform

    NASA Astrophysics Data System (ADS)

    Bekaert, Joost; Di Lorenzo, Paolo; Mao, Ming; Decoster, Stefan; Larivière, Stéphane; Franke, Joern-Holger; Blanco Carballo, Victor M.; Kutrzeba Kotowska, Bogumila; Lazzarino, Frederic; Gallagher, Emily; Hendrickx, Eric; Leray, Philippe; Kim, R. Ryoung-han; McIntyre, Greg; Colsters, Paul; Wittebrood, Friso; van Dijk, Joep; Maslow, Mark; Timoshkov, Vadim; Kiers, Ton

    2017-03-01

    The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an `aggressive' full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.

  11. Formation of a pinched electron beam and an intense x-ray source in radial foil rod-pinch diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sorokin, S. A.

    2016-04-15

    Low-impedance rod-pinch diode experiments were performed on the MIG generator at Institute of High Current Electronics using an aluminum foil placed between concentric electrodes of a rod-pinch diode. The J × B force accelerates the foil plasma in the axial and radial directions. After the foil plasma is pushed beyond the tip of the rod, a vacuum gap and a pinched electron beam form. The anode and cathode plasmas expansion and the following plasmas sweeping up by the J × B force can result in repetitive gap formations and closures, which are evident in the several successive intense x-ray pulses. A 0.7-mm-size point-like x-raymore » source was realized using a 1-mm-diameter tungsten rod, tapered to a point over the last 10 mm. The results of experiments show that the foil-shorted rod-pinch diode configuration has the potential to form low-impedance diodes, to shorten x-ray pulse duration and to realize submillimeter spot-size x-ray sources.« less

  12. Hemispherical Nature of EUV Shocks Revealed by SOHO, STEREO, and SDO Observations

    NASA Technical Reports Server (NTRS)

    Gopalswamy, Natchimuthuk; Nitta, N.; Akiyama, S.; Makela, P.; Yashiro, S.

    2011-01-01

    EUV wave transients associated with type II radio bursts are manifestation of CME-driven shocks in the solar corona. We use recent EUV wave observations from SOHO, STEREO, and SDO for a set of CMEs to show that the EUV transients have a spherical shape in the inner corona. We demonstrate this by showing that the radius of the EUV transient on the disk observed by one instrument is approximately equal to the height of the wave above the solar surface in an orthogonal view provided by another instrument. The study also shows that the CME-driven shocks often form very low in the corona at a heliocentric distance of 1.2 Rs, even smaller than the previous estimates from STEREO/CORl data (Gopalswamy et aI., 2009, Solar Phys. 259, 227). These results have important implications for the acceleration of solar energetic particles by CMEs

  13. What do parameterized Om(z) diagnostics tell us in light of recent observations?

    NASA Astrophysics Data System (ADS)

    Qi, Jing-Zhao; Cao, Shuo; Biesiada, Marek; Xu, Teng-Peng; Wu, Yan; Zhang, Si-Xuan; Zhu, Zong-Hong

    2018-06-01

    In this paper, we propose a new parametrization for Om(z) diagnostics and show how the most recent and significantly improved observations concerning the H(z) and SN Ia measurements can be used to probe the consistency or tension between the ΛCDM model and observations. Our results demonstrate that H 0 plays a very important role in the consistency test of ΛCDM with H(z) data. Adopting the Hubble constant priors from Planck 2013 and Riess, one finds considerable tension between the current H(z) data and ΛCDM model and confirms the conclusions obtained previously by others. However, with the Hubble constant prior taken from WMAP9, the discrepancy between H(z) data and ΛCDM disappears, i.e., the current H(z) observations still support the cosmological constant scenario. This conclusion is also supported by the results derived from the Joint Light-curve Analysis (JLA) SN Ia sample. The best-fit Hubble constant from the combination of H(z)+JLA ({H}0={68.81}-1.49+1.50 km s‑1 Mpc‑1) is very consistent with results derived both by Planck 2013 and WMAP9, but is significantly different from the recent local measurement by Riess.

  14. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    PubMed

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  15. A Comet's Missing Light

    NASA Astrophysics Data System (ADS)

    Kohler, Susanna

    2016-05-01

    On 28 November 2013, comet C/2012 S1 better known as comet ISON should have passed within two solar radii of the Suns surface as it reached perihelion in its orbit. But instead of shining in extreme ultraviolet (EUV) wavelengths as it grazed the solar surface, the comet was never detected by EUV instruments. What happened to comet ISON?Missing EmissionWhen a sungrazing comet passes through the solar corona, it leaves behind a trail of molecules evaporated from its surface. Some of these molecules emit EUV light, which can be detected by instruments on telescopes like the space-based Solar Dynamics Observatory (SDO).Comet ISON, a comet that arrived from deep space and was predicted to graze the Suns corona in November 2013, was expected to cause EUV emission during its close passage. But analysis of the data from multiple telescopes that tracked ISON in EUV including SDO reveals no sign of it at perihelion.In a recent study, Paul Bryans and DeanPesnell, scientists from NCARs High Altitude Observatory and NASA Goddard Space Flight Center, try to determine why ISON didnt display this expected emission.Comparing ISON and LovejoyIn December 2011, another comet dipped into the Suns corona: comet Lovejoy. This image, showingthe orbit Lovejoy took around the Sun, is a composite of SDO images of the pre- and post-perihelion phases of the orbit. Click for a closer look! The dashed part of the curve represents where Lovejoy passed out of view behind the Sun. [Bryans Pesnell 2016]This is not the first time weve watched a sungrazing comet with EUV-detecting telescopes: Comet Lovejoy passed similarly close to the Sun in December 2011. But when Lovejoy grazed the solar corona, it emitted brightly in EUV. So why didnt ISON? Bryans and Pesnell argue that there are two possibilities:the coronal conditions experienced by the two comets were not similar, orthe two comets themselves were not similar.To establish which factor is the most relevant, the authors first demonstrate that both

  16. Current halo structures in high-current plasma experiments: θ-pinch

    NASA Astrophysics Data System (ADS)

    Matveev, Yu. V.

    2007-03-01

    Experimental data elucidating mechanisms for halo formation in θ-pinch discharges are presented and discussed. The experiments were performed with different gases (H2, D2, He, and Ar) in a theta-pinch device with a porcelain vacuum chamber and an excitation coil 15 cm in diameter and 30 cm in length. The stored energy, the current in the excitation coil, and the current half-period were W = 10 kJ, I = 400 kA, and T/2 = 14 μs, respectively. It is found that the plasma rings (halos) surrounding the pinch core arise as a result of coaxial pinch stratification due to both the excitation of closed currents (inductons) inside the pinch and the radial convergence of the plasma current sheaths produced after the explosion of T-layers formed near the wall in the initial stage of the discharge. It is concluded that halo structures observed in pinches, tokamaks, and other high-current devices used in controlled fusion research have the same nature.

  17. Contribution of flexor pollicis longus to pinch strength: an in vivo study.

    PubMed

    Goetz, Thomas J; Costa, Joseph A; Slobogean, Gerard; Patel, Satyam; Mulpuri, Kishore; Travlos, Andrew

    2012-11-01

    To estimate the contribution of the flexor pollicis longus (FPL) to key pinch strength. Secondary outcomes include tip pinch, 3-point chuck pinch, and grip strength. Eleven healthy volunteers consented to participate in the study. We recorded baseline measures for key, 3-point chuck, and tip pinch and for grip strength. In order to control for instability of the interphalangeal (IP) joint after FPL paralysis, pinch measurements were repeated after immobilizing the thumb IP joint. Measures were repeated after subjects underwent electromyography-guided lidocaine blockade of the FPL muscle. Nerve conduction studies and clinical examinations were used to confirm FPL blockade and to rule out median nerve blockade. Paired t-tests were used to compare pre- and postblock means for both unsplinted and splinted measures. The difference in means was used to estimate the contribution of FPL to pinch strength. All 3 types of pinch strength showed a significant decrease between pre- and postblock measurements. The relative contribution of FPL for each pinch type was 56%, 44%, and 43% for key, chuck, and tip pinch, respectively. Mean grip strength did not decrease significantly. Splinting of the IP joint had no significant effect on pinch measurements. FPL paralysis resulted in a statistically significant decrease in pinch strength. IP joint immobilization to simulate IP joint fusion did not affect results. Reconstruction after acute or chronic loss of FPL function should be considered when restoration of pinch strength is important. Copyright © 2012 American Society for Surgery of the Hand. Published by Elsevier Inc. All rights reserved.

  18. Investigation of large format microchannel plate Z configurations

    NASA Technical Reports Server (NTRS)

    Siegmund, O. H. W.; Coburn, K.; Malina, R. F.

    1985-01-01

    The performance of triplet (Z) stacks of microchannel plates (MCPs) has been studied as a part of the instrument development for the Extreme Ultraviolet Explorer (EUVE) satellite mission. Relatively large MCPs with a 60-mm diameter and having a large 80:1 channel length to diameter (L:D) ratio were used in several configurations. The MCPs were used in the EUVE prototype imaging detector to provide more than 512 x 512 pixels with low image distortion (less than 1 percent). The gain and pulse height characteristics of the MCPs were examined, showing that both high gains (more than 2 x 10 to the 7th) and tight output pulse height distributions (less than 30 percent FWHM) may be achieved. Simple distribution techniques have also allowed low intrinsic background event rates (less than 0.15 events per sq cm/s) to be obtained. Variation of the quantum efficiency of the MCPs over the wavelength range 160-1216 A has been investigated for a range of angles of incidence. The effect of temperature variations on MCP operating characteristics has also been evaluated.

  19. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    PubMed

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  20. Laboratory Studies in UV and EUV Solar Physics

    NASA Technical Reports Server (NTRS)

    Parkinson, William

    2003-01-01

    The Ion Beam Experiment at the Center for Astrophysics is dedicated to the study of ion-electron collision processes of importance in solar physics. A paper describing our most recent measurement 'Absolute cross section for Si(2+)(3s3p(sup 3)Rho (sup 0) yields 3s3p(sup 1)Rho(sup 0)) electron-impact excitation' was published during the past year. Dr. Paul Janzen received his PhD. from the Harvard Physics Department on the basis of this and other work, such as the new electron cyclotron resonance (ECR) ion source. The ion source is producing stable beams with large currents for our present work on C(2+), and it also produces stable beams with large currents of more highly charged systems, for future work on systems such as O(4+). The past year has been focussed on our current program to measure absolute cross sections for Electron Impact Excitation (EIE) in C(2+), one of the primary ions used for probing the solar transition region. C(2+) beams produced by the ion source have been transported to the interaction region of the experiment, where the collisions are studied, and Visiting Scientist Dr. Adrian Daw is currently collecting data to measure the C(2+)(2s2p(sup 3)Rho(sup 0) yields 2p(sup 2)(sup 3)Rho) EIE cross section as a function of collision energy, under the guidance of Drs. John Kohl, Larry Gardner and Bill Parkinson. Also this year, modifications were made to the ECR ion source in order to produce greater currents of highly charged ions. Testing of the ion source was completed. Modifications were designed to extend the photon detection capabilities of the apparatus to shorter UV wavelengths, or EUV. Following the work on C(2+)(2s2p(sup 3)Pho(sup 0) yields 2p(sup 2)(sup 3)Rho), the extended UV detection capabilities will be used to measure the C(2+)(2s(sup 2)(sup 1)S yields 2s2p(sup 1)Rho(sup 0)) EIE cross section. The EUV modifications complement those of the new ion source, by enabling detection of EUV light generated by high charge state ions and putting

  1. Shot noise, LER, and quantum efficiency of EUV photoresists

    NASA Astrophysics Data System (ADS)

    Brainard, Robert L.; Trefonas, Peter; Lammers, Jeroen H.; Cutler, Charlotte A.; Mackevich, Joseph F.; Trefonas, Alexander; Robertson, Stewart A.

    2004-05-01

    The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied. These resists were identical to EUV-2D except were prepared with seven levels of added base while keeping all other resist variables constant. These seven resists were patterned with EUV lithography, and LER was measured on 100-200 nm dense lines. Similarly, the resists were also imaged using DUV lithography and LER was determined for 300-500 nm dense lines. LER results for both wavelengths were plotted against Esize. Both curves show very similar LER behavior-the resists requiring low doses have poor LER, whereas the resists requiring high doses have good LER. One possible explanation for the observed LER response is that the added base improves LER by reacting with the photogenerated acid to control the lateral spread of acid, leading to better chemical contrast at the line edge. An alternative explanation to the observed relationship between LER and Esize is that shot-noise generated LER decreases as the number of photons absorbed at the line edge increases. We present an analytical model for the influence of shot noise based on Poisson statistics that preidicts that the LER is proportional to (Esize)-1/2. Indeed, both sets of data give straight lines when plotted this way (DUV r2 = 0.94; EUV r2 = 0.97). We decided to further evaluate this interpretation by constructing a simulation model for shot noise resulting from exposure and acid diffusion at the mask edge. In order to acquire the data for this model, we used the base titration method developed by Szmanda et al. to determine C-parameters and hence the quantum efficiency for producing photogenerated acid. This information, together with film absorptivity, allows the calculation of number and location of acid molecules generated at the mask edgte by assuming a stochastic distribution of individual photons corresponding to the aerial image function. The edge

  2. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  3. A non-LTE analysis of high energy density Kr plasmas on Z and NIF

    DOE PAGES

    Dasgupta, A.; Clark, R. W.; Ouart, N.; ...

    2016-10-20

    We report that multi-keV X-ray radiation sources have a wide range of applications, from biomedical studies and research on thermonuclear fusion to materials science and astrophysics. The refurbished Z pulsed power machine at the Sandia National Laboratories produces intense multi-keV X-rays from argon Z-pinches, but for a krypton Z-pinch, the yield decreases much faster with atomic number Z A than similar sources on the National Ignition Facility (NIF) laser at the Lawrence Livermore National Laboratory. To investigate whether fundamental energy deposition differences between pulsed power and lasers could account for the yield differences, we consider the Kr plasma on themore » two machines. The analysis assumes the plasma not in local thermodynamic equilibrium, with a detailed coupling between the hydrodynamics, the radiation field, and the ionization physics. While for the plasma parameters of interest the details of krypton’s M-shell are not crucial, both the L-shell and the K-shell must be modeled in reasonable detail, including the state-specific dielectronic recombination processes that significantly affect Kr’s ionization balance and the resulting X-ray spectrum. We present a detailed description of the atomic model, provide synthetic K- and L-shell spectra, and compare these with the available experimental data from the Z-machine and from NIF to show that the K-shell yield behavior versus Z A is indeed related to the energy input characteristics. In conclusion, this work aims at understanding the probable causes that might explain the differences in the X-ray conversion efficiencies of several radiation sources on Z and« less

  4. A non-LTE analysis of high energy density Kr plasmas on Z and NIF

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dasgupta, A.; Clark, R. W.; Ouart, N.

    We report that multi-keV X-ray radiation sources have a wide range of applications, from biomedical studies and research on thermonuclear fusion to materials science and astrophysics. The refurbished Z pulsed power machine at the Sandia National Laboratories produces intense multi-keV X-rays from argon Z-pinches, but for a krypton Z-pinch, the yield decreases much faster with atomic number Z A than similar sources on the National Ignition Facility (NIF) laser at the Lawrence Livermore National Laboratory. To investigate whether fundamental energy deposition differences between pulsed power and lasers could account for the yield differences, we consider the Kr plasma on themore » two machines. The analysis assumes the plasma not in local thermodynamic equilibrium, with a detailed coupling between the hydrodynamics, the radiation field, and the ionization physics. While for the plasma parameters of interest the details of krypton’s M-shell are not crucial, both the L-shell and the K-shell must be modeled in reasonable detail, including the state-specific dielectronic recombination processes that significantly affect Kr’s ionization balance and the resulting X-ray spectrum. We present a detailed description of the atomic model, provide synthetic K- and L-shell spectra, and compare these with the available experimental data from the Z-machine and from NIF to show that the K-shell yield behavior versus Z A is indeed related to the energy input characteristics. In conclusion, this work aims at understanding the probable causes that might explain the differences in the X-ray conversion efficiencies of several radiation sources on Z and« less

  5. Solar EUV irradiance from the San Marco ASSI - A reference spectrum

    NASA Technical Reports Server (NTRS)

    Schmidtke, Gerhard; Woods, Thomas N.; Worden, John; Rottman, Gary J.; Doll, Harry; Wita, Claus; Solomon, Stanley C.

    1992-01-01

    The only satellite measurement of the solar EUV irradiance during solar cycle 22 has been obtained with the Airglow Solar Spectrometer Instrument (ASSI) aboard the San Marco 5 satellite flown in 1988. The ASSI in-flight calibration parameters are established by using the internal capabilities of ASSI and by comparing ASSI results to the results from other space-based experiments on the ASSI calibration rocket and the Solar Mesospheric Explorer (SME). A solar EUV irradiance spectrum derived from ASSI observations on November 10, 1988 is presented as a reference spectrum for moderate solar activity for the aeronomy community. This ASSI spectrum should be considered as a refinement and extension of the solar EUV spectrum published for the same day by Woods and Rottman (1990).

  6. Coater/developer based techniques to improve high-resolution EUV patterning defectivity

    NASA Astrophysics Data System (ADS)

    Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Liu, Eric; Ko, Akiteru; Kawakami, Shinichiro; Shimoaoki, Takeshi; Hashimoto, Yusaku; Tanaka, Koichiro; Petrillo, Karen; Meli, Luciana; De Silva, Anuja; Xu, Yongan; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex

    2017-10-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates under consideration for enabling the next generation of devices, for 7nm node and beyond. As the focus shifts to driving down the 'effective' k1 factor and enabling the full scaling entitlement of EUV patterning, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse, and eliminate film-related defects. In addition, CD uniformity and LWR/LER must be improved in terms of patterning performance. Tokyo Electron Limited (TEL™) and IBM Corporation are continuously developing manufacturing quality processes for EUV. In this paper, we review the ongoing progress in coater/developer based processes (coating, developing, baking) that are required to enable EUV patterning.

  7. A study of EUV emission from the O4f star Zeta Puppis

    NASA Technical Reports Server (NTRS)

    Waldron, Wayne L.; Vallerga, John

    1995-01-01

    Our 20 ks observation did not allow us to carry out our primary objective, i.e., to test the limitations of deeply embedded EUV and X-ray sources. However, it did provide a very useful constraint in our analysis of a newly acquired high S/N ROSAT PSPC X-ray spectrum of Zeta Pup. In addition, modifications to our stellar wind opacity code have been preformed to investigate the sensitivity of the EUV opacity energy range to different photospheric model flux inputs and different wind structures. These analyses provided the justification for a 140 ks follow up EUVE Cycle III observation of this star. We have recently been informed that our requested observation has been accepted as a Type 1 target for Cycle III. The remainder of this report focuses on the following: (1) a brief background on the status of X-ray emission from OB stars; (2) a discussion on the importance of EUV observations; (3) a discussion of our scientific objectives; and (4) a summary of our technical approach for our Cycle III observation (including the predicted EUV counts for various lines.)

  8. High-Resolution EUV Spectroscopy of White Dwarfs

    NASA Astrophysics Data System (ADS)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  9. Aryl sulfonates as neutral photoacid generators (PAGs) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sulc, Robert; Blackwell, James M.; Younkin, Todd R.; Putna, E. Steve; Esswein, Katherine; DiPasquale, Antonio G.; Callahan, Ryan; Tsubaki, Hideaki; Tsuchihashi, Tooru

    2009-03-01

    EUV lithography (EUVL) is a leading candidate for printing sub-32 nm hp patterns. In order for EUVL to be commercially viable at these dimensions, a continuous evolution of the photoresist material set is required to simultaneously meet the aggressive specifications for resolution, resist sensitivity, LWR, and outgassing rate. Alternative PAG designs, especially if tailored for EUVL, may aid in the formation of a material set that helps achieve these aggressive targets. We describe the preparation, characterization, and lithographic evaluation of aryl sulfonates as non-ionic or neutral photoacid generators (PAGs) for EUVL. Full lithographic characterization is reported for our first generation resist formulation using compound H, MAP-1H-2.5. It is benchmarked against MAP-1P-5.0, which contains the well-known sulfonium PAG, triphenylsulfonium triflate (compound P). Z-factor analysis indicates nZ32 = 81.4 and 16.8 respectively, indicating that our first generation aryl sulfonate formulations require about 4.8x improvement to match the results achieved with a model onium PAG. Improving the acid generation efficiency and use of the generated byproducts is key to the continued optimization of this class of PAGs. To that end, we believe EI-MS fragmentation patterns and molecular simulations can be used to understand and optimize the nature and efficiency of electron-induced PAG fragmentation.

  10. Toroidal high-spin isomers in light nuclei with N ≠ Z

    NASA Astrophysics Data System (ADS)

    Staszczak, A.; Wong, Cheuk-Yin

    2015-11-01

    The combined considerations of both the bulk liquid-drop-type behavior and the quantized aligned rotation with cranked Skyrme-Hartree-Fock approach revealed previously (Staszczak and Wong 2014 Phys. Lett. B 738 401) that even-even, N = Z, toroidal high-spin isomeric states have general occurrences for light nuclei with 28≤slant A≤slant 52. We find that in this mass region there are in addition N\

  11. Negative-tone imaging with EUV exposure toward 13nm hp

    NASA Astrophysics Data System (ADS)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  12. Mask characterization for CDU budget breakdown in advanced EUV lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  13. Atomic kinetics of a neon photoionized plasma experiment at Z

    NASA Astrophysics Data System (ADS)

    Mayes, D. C.; Mancini, R. C.; Schoenfeld, R. P.; Bailey, J. E.; Loisel, G. P.; Rochau, G. A.; ZAPP Collaboration

    2017-10-01

    We discuss an experimental effort to study the atomic kinetics in neon photoionized plasmas via K-shell line absorption spectroscopy. The experiment employs the intense x-ray flux emitted at the collapse of a Z-pinch to heat and backlight a photoionized plasma contained within a cm-scale gas cell placed at various distances from the Z-pinch and filled with neon gas pressures in the range from 3.5 to 120 Torr. The experimental platform affords an order of magnitude range in the ionization parameter characterizing the photoionized plasma from about 5 to 80 erg*cm/s. Thus, the experiment allows for the study of trends in ionization distribution as a function of the ionization parameter. An x-ray crystal spectrometer capable of collecting both time-integrated and time-gated data is used to collect absorption spectra. The spectra show line absorption by several ionization stages of neon, including Be-, Li-, He-, and H-like ions. Analysis of these spectra yields ion areal-densities and charge state distributions, which can be compared with results from atomic kinetics codes. In addition, the electron temperature is extracted from level population ratios of nearby energy levels in Li- and Be-like ions, which can be used to test heating models of photoionized plasmas. This work was sponsored in part by DOE Office of Science Grant DE-SC0014451, and the Z Facility Fundamental Science Program of SNL.

  14. Relationship between hard X-ray and EUV sources in solar flares

    NASA Technical Reports Server (NTRS)

    Kane, S. R.; Frost, K. J.; Donnelly, R. F.

    1979-01-01

    The high time resolution hard X-ray (not less than 15 keV) observations of medium and large impulsive solar flares made with the OSO 5 satellite are compared with the simultaneous ground-based observations of 10-1030 A EUV flux made via sudden frequency deviations (SFD) at Boulder. For most flares the agreement between the times of maxima of the impulsive hard X-ray and EUV emissions is found to be consistent with earlier studies (not less than 1 s). The rise and decay times of the EUV emission are larger than the corresponding times for X-rays not less than 30 keV. When OSO 5 hard X-ray measurements are combined with those made by OGO1, OGO 3, OGO 5, and TD 1A satellites, it is found that there is a nearly linear relationship between the energy fluxes of impulsive EUV emission and X-rays not less than 10 keV over a wide range of flare magnitudes. A model involving only a 'partial precipitation' of energetic electrons and consisting of both thick and thin target hard X-ray sources is examined.

  15. EUV phase-shifting masks and aberration monitors

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the use of phase-shifting masks in EUV lithography. The effects of oblique incident illumination and mask patterning by ion-mixing of multilayers are analyzed. Oblique incident illumination causes streamers at absorber edges and causes position shifting in aerial images. The diffraction waves between ion-mixed and pristine multilayers are observed. The phase-shifting caused by stepped substrates is simulated and images show that it succeeds in creation of phase-shifting effects. The diffraction process at the phase boundary is also analyzed. As an example of EUV phase-shifting masks, a coma pattern and probe based aberration monitor is simulated and aerial images are formed under different levels of coma aberration. The probe signal rises quickly as coma increases as designed.

  16. Demonstration of the First 4H-SiC EUV Detector with Large Detection Area

    NASA Technical Reports Server (NTRS)

    Xin, Xiaobin; Yan, Feng; Koeth, Timothy W.; Hu, Jun; Zhao, Jian H.

    2005-01-01

    Ultraviolet (UV) and Extreme Ultraviolet (EUV) detectors are very attractive in astronomy, photolithography and biochemical applications. For EUV applications, most of the semiconductor detectors based on PN or PIN structures suffer from the very short penetration depth. Most of the carries are absorbed at the surface and recombined there due to the high surface recombination before reach the depletion region, resulting very low quantum efficiency. On the other hand, for Schottky structures, the active region starts from the surface and carriers generated from the surface can be efficiently collected. 4H-Sic has a bandgap of 3.26eV and is immune to visible light background noise. Also, 4H-Sic detectors usually have very good radiation hardness and very low noise, which is very important for space applications where the signal is very weak. The E W photodiodes presented in this paper are based on Schottky structures. Platinum (Pt) and Nickel (Ni) are selected as the Schottky contact metals, which have the highest electron work functions (5.65eV and 5.15eV, respectively) among all the known metals on 4H-Sic.

  17. Scaling in two-fluid pinch-off

    NASA Astrophysics Data System (ADS)

    Pommer, Chris; Harris, Michael; Basaran, Osman

    2010-11-01

    The physics of two-fluid pinch-off, which arises whenever drops, bubbles, or jets of one fluid are ejected from a nozzle into another fluid, is scientifically important and technologically relevant. While the breakup of a drop in a passive environment is well understood, the physics of pinch-off when both the inner and outer fluids are dynamically active remains inadequately understood. Here, the breakup of a compound jet whose core and shell are incompressible Newtonian fluids is analyzed computationally when the interior is a "bubble" and the exterior is a liquid. The numerical method employed is an implicit method of lines ALE algorithm which uses finite elements with elliptic mesh generation and adaptive finite differences for time integration. Thus, the new approach neither starts with a priori idealizations, as has been the case with previous computations, nor is limited to length scales above that set by the wavelength of visible light as in any experimental study. In particular, three distinct responses are identified as the ratio m of the outer fluid's viscosity to the inner fluid's viscosity is varied. For small m, simulations show that the minimum neck radius r initially scales with time τ before breakup as r ˜0.58° (in accord with previous experiments and inviscid fluid models) but that r ˜τ once r becomes sufficiently small. For intermediate and large values of m, r ˜&αcirc;, where the exponent α may not equal one, once again as r becomes sufficiently small.

  18. TESIS experiment on EUV imaging spectroscopy of the Sun

    NASA Astrophysics Data System (ADS)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  19. X-Pinch And Its Applications In X-ray Radiograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zou Xiaobing; Wang Xinxin; Liu Rui

    2009-07-07

    An X-pinch device and the related diagnostics of x-ray emission from X-pinch were briefly described. The time-resolved x-ray measurements with photoconducting diodes show that the x-ray pulse usually consists of two subnanosecond peaks with a time interval of about 0.5 ns. Being consistent with these two peaks of the x-ray pulse, two point x-ray sources of size ranging from 100 mum to 5 mum and depending on cut-off x-ray photon energy were usually observed on the pinhole pictures. The x-pinch was used as x-ray source for backlighting of the electrical explosion of single wire and the evolution of X-pinch, andmore » for phase-contrast imaging of soft biological objects such as a small shrimp and a mosquito.« less

  20. Studies of EUV contamination mitigation

    NASA Astrophysics Data System (ADS)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  1. High speed reflectometer for EUV mask-blanks

    NASA Astrophysics Data System (ADS)

    Wies, Christian; Lebert, Rainer; Jagle, Bernhard; Juschkin, L.; Sobel, F.; Seitz, H.; Walter, Ronny; Laubis, C.; Scholze, F.; Biel, W.; Steffens, O.

    2005-06-01

    AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproducibility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1x1 mm2, 2000 spectral channels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are accumulated in about 20 s, providing statistical reproducibility below 0.2% RMS. The total uncertainty is below 0.5% absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by reference to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.

  2. A non-LTE analysis of high energy density Kr plasmas on Z and NIF

    NASA Astrophysics Data System (ADS)

    Dasgupta, A.; Clark, R. W.; Ouart, N.; Giuliani, J.; Velikovich, A.; Ampleford, D. J.; Hansen, S. B.; Jennings, C.; Harvey-Thompson, A. J.; Jones, B.; Flanagan, T. M.; Bell, K. S.; Apruzese, J. P.; Fournier, K. B.; Scott, H. A.; May, M. J.; Barrios, M. A.; Colvin, J. D.; Kemp, G. E.

    2016-10-01

    Multi-keV X-ray radiation sources have a wide range of applications, from biomedical studies and research on thermonuclear fusion to materials science and astrophysics. The refurbished Z pulsed power machine at the Sandia National Laboratories produces intense multi-keV X-rays from argon Z-pinches, but for a krypton Z-pinch, the yield decreases much faster with atomic number ZA than similar sources on the National Ignition Facility (NIF) laser at the Lawrence Livermore National Laboratory. To investigate whether fundamental energy deposition differences between pulsed power and lasers could account for the yield differences, we consider the Kr plasma on the two machines. The analysis assumes the plasma not in local thermodynamic equilibrium, with a detailed coupling between the hydrodynamics, the radiation field, and the ionization physics. While for the plasma parameters of interest the details of krypton's M-shell are not crucial, both the L-shell and the K-shell must be modeled in reasonable detail, including the state-specific dielectronic recombination processes that significantly affect Kr's ionization balance and the resulting X-ray spectrum. We present a detailed description of the atomic model, provide synthetic K- and L-shell spectra, and compare these with the available experimental data from the Z-machine and from NIF to show that the K-shell yield behavior versus ZA is indeed related to the energy input characteristics. This work aims at understanding the probable causes that might explain the differences in the X-ray conversion efficiencies of several radiation sources on Z and NIF.

  3. Effect of transcranial magnetic stimulation on force of finger pinch

    NASA Astrophysics Data System (ADS)

    Odagaki, Masato; Fukuda, Hiroshi; Hiwaki, Osamu

    2009-04-01

    Transcranial magnetic stimulation (TMS) is used to explore many aspects of brain function, and to treat neurological disorders. Cortical motor neuronal activation by TMS over the primary motor cortex (M1) produces efferent signals that pass through the corticospinal tracts. Motor-evoked potentials (MEPs) are observed in muscles innervated by the stimulated motor cortex. TMS can cause a silent period (SP) following MEP in voluntary electromyography (EMG). The present study examined the effects of TMS eliciting MEP and SP on the force of pinching using two fingers. Subjects pinched a wooden block with the thumb and index finger. TMS was applied to M1 during the pinch task. EMG of first dorsal interosseous muscles and pinch forces were measured. Force output increased after the TMS, and then oscillated. The results indicated that the motor control system to keep isotonic forces of the muscles participated in the finger pinch was disrupted by the TMS.

  4. The bumpy light curve of Type IIn supernova iPTF13z over 3 years

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nyholm, A.; Sollerman, J.; Taddia, F.

    A core-collapse (CC) supernova (SN) of Type IIn is dominated by the interaction of SN ejecta with the circumstellar medium (CSM). Some SNe IIn (e.g. SN 2006jd) have episodes of re-brightening ("bumps") in their light curves. We present iPTF13z, a Type IIn SN discovered on 2013 February 1 by the intermediate Palomar Transient Factory (iPTF). This SN showed at least five bumps in its declining light curve between 130 and 750 days after discovery. We analyse this peculiar behaviour and try to infer the properties of the CSM, of the SN explosion, and the nature of the progenitor star. Wemore » obtained multi-band optical photometry for over 1000 days after discovery with the P48 and P60 telescopes at Palomar Observatory. We obtained low-resolution optical spectra during the same period. We did an archival search for progenitor outbursts. We analyse the photometry and the spectra, and compare iPTF13z to other SNe IIn. In particular we derive absolute magnitudes, colours, a pseudo-bolometric light curve, and the velocities of the different components of the spectral lines. A simple analytical model is used to estimate the properties of the CSM. iPTF13z had a light curve peaking at M r ≤ 18.3 mag. The five bumps during its decline phase had amplitudes ranging from 0.4 to 0.9 mag and durations between 20 and 120 days. The most prominent bumps appeared in all the different optical bands, when covered. The spectra of this SN showed typical SN IIn characteristics, with emission lines of Hα (with broad component FWHM ~ 10 3 - 10 4 km s -1 and narrow component FWHM ~ 10 2 km s -1 ) and He i, but also with Fe ii, Ca ii, Na i D and Hβ P Cygni profiles (with velocities of ~ 10 3 km s -1). A pre-explosion outburst was identified lasting ≳ 50 days, with M r ≤ 15 mag around 210 days before discovery. Large, variable progenitor mass-loss rates (≳ 0.01M ⊙ yr -1) and CSM densities (10 -16 g cm -3) are derived. The SN was hosted by a metal-poor dwarf galaxy at redshift z

  5. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    NASA Technical Reports Server (NTRS)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  6. Spectroscopy and Photometry of EUVE J1429-38.0:An Eclipsing Magnetic Cataclysmic Variable

    NASA Astrophysics Data System (ADS)

    Howell, Steve B.; Craig, Nahide; Roberts, Bryce; McGee, Paddy; Sirk, Martin

    1997-06-01

    EUVE J1429-38.0 was originally discovered as a variable source by the Extreme Ultraviolet Explorer (EUVE) satellite. We present new optical observations which unambiguously confirm this star to be an eclipsing magnetic system with an orbital period of 4() h 46() m. The photometric data are strongly modulated by ellipsoidal variations during low states which allow a system inclination of near 80 degrees to be determined. Our time-resolved optical spectra, which cover only about one-third of the orbital cycle, indicate the clear presence of a gas stream. During high states, EUVE J1429-38.0 shows ~ 1 mag deep eclipses and the apparent formation of a partial accretion disk. EUVE J1429-38.0 presents the observer with properties of both the AM Herculis and the DQ Herculis types of magnetic cataclysmic variable.

  7. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    NASA Astrophysics Data System (ADS)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  8. Turbulent equipartition pinch of toroidal momentum in spherical torus

    NASA Astrophysics Data System (ADS)

    Hahm, T. S.; Lee, J.; Wang, W. X.; Diamond, P. H.; Choi, G. J.; Na, D. H.; Na, Y. S.; Chung, K. J.; Hwang, Y. S.

    2014-12-01

    We present a new analytic expression for turbulent equipartition (TEP) pinch of toroidal angular momentum originating from magnetic field inhomogeneity of spherical torus (ST) plasmas. Starting from a conservative modern nonlinear gyrokinetic equation (Hahm et al 1988 Phys. Fluids 31 2670), we derive an expression for pinch to momentum diffusivity ratio without using a usual tokamak approximation of B ∝ 1/R which has been previously employed for TEP momentum pinch derivation in tokamaks (Hahm et al 2007 Phys. Plasmas 14 072302). Our new formula is evaluated for model equilibria of National Spherical Torus eXperiment (NSTX) (Ono et al 2001 Nucl. Fusion 41 1435) and Versatile Experiment Spherical Torus (VEST) (Chung et al 2013 Plasma Sci. Technol. 15 244) plasmas. Our result predicts stronger inward pinch for both cases, as compared to the prediction based on the tokamak formula.

  9. Beam heated linear theta-pinch device for producing hot plasmas

    DOEpatents

    Bohachevsky, Ihor O.

    1981-01-01

    A device for producing hot plasmas comprising a single turn theta-pinch coil, a fast discharge capacitor bank connected to the coil, a fuel element disposed along the center axis of the coil, a predetermined gas disposed within the theta-pinch coil, and a high power photon, electron or ion beam generator concentrically aligned to the theta-pinch coil. Discharge of the capacitor bank generates a cylindrical plasma sheath within the theta-pinch coil which heats the outer layer of the fuel element to form a fuel element plasma layer. The beam deposits energy in either the cylindrical plasma sheath or the fuel element plasma layer to assist the implosion of the fuel element to produce a hot plasma.

  10. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE PAGES

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.; ...

    2018-02-05

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  11. A sensitive EUV Schwarzschild microscope for plasma studies with sub-micrometer resolution

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zastrau, U.; Rodel, C.; Nakatsutsumi, M.

    We present an extreme ultraviolet (EUV) microscope using a Schwarzschild objective which is optimized for single-shot sub-micrometer imaging of laser-plasma targets. The microscope has been designed and constructed for imaging the scattering from an EUV-heated solid-density hydrogen jet. Here, imaging of a cryogenic hydrogen target was demonstrated using single pulses of the free-electron laser in Hamburg (FLASH) free-electron laser at a wavelength of 13.5 nm. In a single exposure, we observe a hydrogen jet with ice fragments with a spatial resolution in the sub-micrometer range. In situ EUV imaging is expected to enable novel experimental capabilities for warm dense mattermore » studies of micrometer-sized samples in laser-plasma experiments.« less

  12. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  13. Design decisions from the history of the EUVE science payload

    NASA Technical Reports Server (NTRS)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  14. Design decisions from the history of the EUVE science payload

    NASA Astrophysics Data System (ADS)

    Marchant, W.

    1993-09-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  15. Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration

    NASA Astrophysics Data System (ADS)

    Kandel, Yudhishthir; Chandonait, Jonathan; Melvin, Lawrence S.; Marokkey, Sajan; Yan, Qiliang; Grzeskowiak, Steven; Painter, Benjamin; Denbeaux, Gregory

    2017-03-01

    Extreme ultraviolet (EUV) lithography at 13.5 nm stands at the crossroads of next generation patterning technology for high volume manufacturing of integrated circuits. Photo resist models that form the part of overall pattern transform model for lithography play a vital role in supporting this effort. The physics and chemistry of these resists must be understood to enable the construction of accurate models for EUV Optical Proximity Correction (OPC). In this study, we explore the possibility of improving EUV photo-resist models by directly correlating the parameters obtained from experimentally measured atomic scale physical properties; namely, the effect of interaction of EUV photons with photo acid generators in standard chemically amplified EUV photoresist, and associated electron energy loss events. Atomic scale physical properties will be inferred from the measurements carried out in Electron Resist Interaction Chamber (ERIC). This study will use measured physical parameters to establish a relationship with lithographically important properties, such as line edge roughness and CD variation. The data gathered from these measurements is used to construct OPC models of the resist.

  16. Performance of multilayer coated diffraction gratings in the EUV

    NASA Technical Reports Server (NTRS)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Gum, Jeffrey S.; Condor, Charles E.

    1990-01-01

    The effect of multilayer coating application on the performance of a diffraction grating in the EUV spectral region was evaluated by examining the performance of a 3600-line/mm and a 1200-line/mm replica blazed gratings, designed for operation in the 300-A spectral region in first order. A ten-layer IrSi multilayer optimized for 304 A was deposited using electron-beam evaporation. The grating efficiency was measured on the SURF II calibration beamline in a chamber designed for calibrating the solar EUV rocket telescope and spectrograph multilayer coatings. A significant (by a factor of about 7) enhancement in grating efficiency in the 300-A region was demonstrated.

  17. Microchannel plate EUV detectors for the Extreme Ultraviolet Explorer

    NASA Technical Reports Server (NTRS)

    Siegmund, O. H. W.; Malina, R. F.; Coburn, K.; Werthimer, D.

    1984-01-01

    The design and operating characteristics of the prototype imaging microchannel plate (MCP) detector for the Extreme Ultraviolet Explorer (EUVE) Satellite are discussed. It is shown that this detector has achieved high position resolution performance (greater than 512 x 512 pixels) and has low (less than one percent) image distortion. In addition, the channel plate scheme used has tight pulse height distributions (less than 40 percent FWHM) for UV radiation and displays low (less than 0.2 cnt/sq cm-s) dark background counting rates. Work that has been done on EUV filters in relation to the envisaged filter and photocathode complement is also described.

  18. X-ray/EUV optics for astronomy, microscopy, polarimetry, and projection lithography; Proceedings of the Meeting, San Diego, CA, July 9-13, 1990

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B. (Editor); Walker, Arthur B. C., Jr. (Editor)

    1991-01-01

    Topics discussed in this issue include the fabrication of multilayer X-ray/EUV coatings; the design, characterization, and test of multilayer X-ray/EUV coatings; multilayer X-ray/EUV monochromators and imaging microscopes; X-ray/EUV telescopes; the test and calibration performance of X-ray/EUV instruments; XUV/soft X-ray projection lithography; X-ray/EUV space observatories and missions; X-ray/EUV telescopes for solar research; X-ray/EUV polarimetry; X-ray/EUV spectrographs; and X-ray/EUV filters and gratings. Papers are presented on the deposition-controlled uniformity of multilayer mirrors, interfaces in Mo/Si multilayers, the design and analysis of an aspherical multilayer imaging X-ray microscope, recent developments in the production of thin X-ray reflecting foils, and the ultraprecise scanning technology. Consideration is also given to an active sun telescope array, the fabrication and performance at 1.33 nm of a 0.24-micron-period multilayer grating, a cylindrical proportional counter for X-ray polarimetry, and the design and analysis of the reflection grating arrays for the X-Ray Multi-Mirror Mission.

  19. Depletion zones and crystallography on pinched spheres

    NASA Astrophysics Data System (ADS)

    Chen, Jingyuan; Xing, Xiangjun; Yao, Zhenwei

    2018-03-01

    Understanding the interplay between ordered structures and substrate curvature is an interesting problem with versatile applications, including functionalization of charged supramolecular surfaces and modern microfluidic technologies. In this work, we investigate the two-dimensional packing structures of charged particles confined on a pinched sphere. By continuously pinching the sphere, we observe cleavage of elongated scars into pleats, proliferation of disclinations, and subsequently, emergence of a depletion zone at the negatively curved waist that is completely void of particles. We systematically study the geometrics and energetics of the depletion zone, and reveal its physical origin as a finite size effect, due to the interplay between Coulomb repulsion and concave geometry of the pinched sphere. These results further our understanding of crystallography on curved surfaces, and have implications in design and manipulation of charged, deformable interfaces in various applications.

  20. EUV multilayer mirrors with enhanced stability

    NASA Astrophysics Data System (ADS)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  1. Method for plasma formation for extreme ultraviolet lithography-theta pinch

    DOEpatents

    Hassanein, Ahmed [Naperville, IL; Konkashbaev, Isak [Bolingbrook, IL; Rice, Bryan [Hillsboro, OR

    2007-02-20

    A device and method for generating extremely short-wave ultraviolet electromagnetic wave, utilizing a theta pinch plasma generator to produce electromagnetic radiation in the range of 10 to 20 nm. The device comprises an axially aligned open-ended pinch chamber defining a plasma zone adapted to contain a plasma generating gas within the plasma zone; a means for generating a magnetic field radially outward of the open-ended pinch chamber to produce a discharge plasma from the plasma generating gas, thereby producing a electromagnetic wave in the extreme ultraviolet range; a collecting means in optical communication with the pinch chamber to collect the electromagnetic radiation; and focusing means in optical communication with the collecting means to concentrate the electromagnetic radiation.

  2. High speed reflectometer for EUV mask-blanks

    NASA Astrophysics Data System (ADS)

    Wies, C.; Lebert, R.; Jaegle, B.; Juschkin, L.; Sobel, F.; Seitz, H.; Walter, R.; Laubis, C.; Scholze, F.; Biel, W.; Steffens, O.

    2005-05-01

    AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproduci-bility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1×1 mm2, 2000 spectral chan-nels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are ac-cumulated in about 20 s, providing statistical reproducibility below 0.2 % RMS. The total uncertainty is below 0.5 % absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by refe-rence to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.

  3. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Caudillo, Roman; Chandhok, Manish

    2010-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. Readiness of EUV materials is currently one high risk area according to recent assessments made at the 2009 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data collected utilizing Intel's Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <= 12.5mJ/cm2 with <= 4nm LWR.

  4. Effects of Controlled-Release Fertilizer on Leaf Area Index and Fruit Yield in High-Density Soilless Tomato Culture Using Low Node-Order Pinching

    PubMed Central

    Kinoshita, Takafumi; Yano, Takayoshi; Sugiura, Makoto; Nagasaki, Yuji

    2014-01-01

    To further development of a simplified fertigation system using controlled-release fertilizers (CRF), we investigated the effects of differing levels of fertilizers and plant density on leaf area index (LAI), fruit yields, and nutrient use in soilless tomato cultures with low node-order pinching and high plant density during spring-summer (SS), summer-fall (SF), and fall-winter (FW) seasons. Plants were treated with 1 of 3 levels of CRF in a closed system, or with liquid fertilizer (LF) with constant electrical conductivity (EC) in a drip-draining system. Two plant densities were examined for each fertilizer treatment. In CRF treatments, LAI at pinching increased linearly with increasing nutrient supply for all cropping seasons. In SS, both light interception by plant canopy at pinching and total marketable fruit yield increased linearly with increasing LAI up to 6 m2·m−2; the maximization point was not reached for any of the treatments. In FW, both light interception and yield were maximized at an LAI of approximately 4. These results suggest that maximizing the LAI in SS and FW to the saturation point for light interception is important for increasing yield. In SF, however, the yield maximized at an LAI of approximately 3, although the light interception linearly increased with increasing LAI, up to 4.5. According to our results, the optimal LAI at pinching may be 6 in SS, 3 in SF, and 4 in FW. In comparing LAI values with similar fruit yield, we found that nutrient supply was 32−46% lower with the CRF method than with LF. In conclusion, CRF application in a closed system enables growers to achieve a desirable LAI to maximize fruit yield with a regulated amount of nutrient supply per unit area. Further, the CRF method greatly reduced nutrient use without decreasing fruit yield at similar LAIs, as compared to the LF method. PMID:25402478

  5. Fluctuations of the intergalactic ionization field at redshift z ~ 2

    NASA Astrophysics Data System (ADS)

    Agafonova, I. I.; Levshakov, S. A.; Reimers, D.; Hagen, H.-J.; Tytler, D.

    2013-04-01

    Aims: To probe the spectral energy distribution (SED) of the ionizing background radiation at z ≲ 2 and to specify the sources contributing to the intergalactic radiation field. Methods: The spectrum of a bright quasar HS 1103+6416 (zem = 2.19) contains five successive metal-line absorption systems at zabs = 1.1923, 1.7193, 1.8873, 1.8916, and 1.9410. The systems are optically thin and reveal multiple lines of different metal ions with the ionization potentials lying in the extreme ultraviolet (EUV) range (~1 Ryd to ~0.2 keV). For each system, the EUV SED of the underlying ionization field is reconstructed by means of a special technique developed for solving the inverse problem in spectroscopy. For the zabs = 1.8916 system, the analysis also involves the He I resonance lines of the Lyman series and the He iλ504 Å continuum, which are seen for the first time in any cosmic object except the Sun. Results: From one system to another, the SED of the ionizing continuum changes significantly, indicating that the intergalactic ionization field at z ≲ 2 fluctuates at the scale of at least Δz ~ 0.004. This is consistent with Δz ≲ 0.01 estimated from He II and H I Lyman-α forest measurements between the redshifts 2 and 3. A radiation intensity break by approximately an order of magnitude at E = 4 Ryd in SEDs restored for the zabs = 1.1923, 1.8873, 1.8916, and 1.9410 systems points to quasars as the main sources of the ionizing radiation. The SED variability is mostly caused by a small number of objects contributing at any given redshift to the ionizing background; at scales Δz ≳ 0.05, the influence of local radiation sources becomes significant. A remarkable SED restored for the zabs = 1.7193 system, with a sharp break shifted to E ~ 3.5 Ryd and a subsequent intensity decrease by ~1.5 dex, indicates a source with comparable inputs of both hard (active galactic nuclei, AGN) and soft (stellar) radiation components. Such a continuum can be emitted by (ultra

  6. Naturalness and a light Z'

    NASA Astrophysics Data System (ADS)

    Zhu, Bin; Staub, Florian; Ding, Ran

    2017-08-01

    Models with a light, additional gauge boson are attractive extensions of the standard model. Often these models are only considered as an effective low-energy theory without any assumption about an UV completion. This not only leaves the hierarchy problem of the SM unsolved, but also introduces a copy of it because of the new fundamental scalars responsible for breaking the new gauge group. A possible solution is to embed these models into a supersymmetric framework. However, this gives rise to an additional source of fine-tuning compared to the MSSM and poses a question about how natural such a setup is. One might expect that the additional fine-tuning is huge, namely, O (MSUSY2/mZ'2). In this paper, we point out that this is not necessarily the case. We show that it is possible to find a focus point behavior also in the new sector in coexistence with the MSSM focus point. We call this the "double focus point supersymmetry." Moreover, we stress the need for a proper inclusion of radiative corrections in the fine-tuning calculation: a tree-level estimate would lead to predictions for the tuning which can be wrong by many orders of magnitude. As a showcase, we use the U (1 )B -L extended MSSM and discuss possible consequences of the observed 8Be anomaly. However, similar features are expected for other models with an extended gauge group which involve potentially large Yukawa-like interactions of the new scalars.

  7. X-pinch dynamics: Neck formation and implosion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oreshkin, V. I.; National Research Tomsk Polytechnic University, 30 Lenin Ave., Tomsk 634050; Chaikovsky, S. A.

    2014-10-15

    We propose a model that describes the neck formation and implosion in an X-pinch. The process is simulated to go in two stages. The first stage is neck formation. This stage begins with an electrical explosion of the wires forming the X-pinch, and at the end of the stage, a micropinch (neck) is formed in the region where the wires are crossed. The second stage is neck implosion. The implosion is accompanied by outflow of matter from the neck region, resulting in the formation of a “hot spot”. Analytical estimates obtained in the study under consideration indicate that these stagesmore » are approximately equal in duration. Having analyzed the neck implosion dynamics, we have verified a scaling which makes it possible to explain the observed dependences of the time of occurrence of an x-ray pulse on the X-pinch current and mass.« less

  8. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  9. The bumpy light curve of Type IIn supernova iPTF13z over 3 years

    NASA Astrophysics Data System (ADS)

    Nyholm, A.; Sollerman, J.; Taddia, F.; Fremling, C.; Moriya, T. J.; Ofek, E. O.; Gal-Yam, A.; De Cia, A.; Roy, R.; Kasliwal, M. M.; Cao, Y.; Nugent, P. E.; Masci, F. J.

    2017-08-01

    A core-collapse (CC) supernova (SN) of Type IIn is dominated by the interaction of SN ejecta with the circumstellar medium (CSM). Some SNe IIn (e.g. SN 2006jd) have episodes of re-brightening ("bumps") in their light curves. We present iPTF13z, a Type IIn SN discovered on 2013 February 1 by the intermediate Palomar Transient Factory (iPTF). This SN showed at least five bumps in its declining light curve between 130 and 750 days after discovery. We analyse this peculiar behaviour and try to infer the properties of the CSM, of the SN explosion, and the nature of the progenitor star. We obtained multi-band optical photometry for over 1000 days after discovery with the P48 and P60 telescopes at Palomar Observatory. We obtained low-resolution optical spectra during the same period. We did an archival search for progenitor outbursts. We analyse the photometry and the spectra, and compare iPTF13z to other SNe IIn. In particular we derive absolute magnitudes, colours, a pseudo-bolometric light curve, and the velocities of the different components of the spectral lines. A simple analytical model is used to estimate the properties of the CSM. iPTF13z had a light curve peaking at Mr ≲ - 18.3 mag. The five bumps during its decline phase had amplitudes ranging from 0.4 to 0.9 mag and durations between 20 and 120 days. The most prominent bumps appeared in all the different optical bands, when covered. The spectra of this SN showed typical SN IIn characteristics, with emission lines of Hα (with broad component FWHM 103 - 104 km s-1 and narrow component FWHM 102 km s-1) and He I, but also with Fe II, Ca II, Na I D and Hβ P Cygni profiles (with velocities of 103km s-1). A pre-explosion outburst was identified lasting ≳ 50 days, with Mr ≈ - 15 mag around 210 days before discovery. Large, variable progenitor mass-loss rates (≳0.01M⊙ yr-1) and CSM densities (≳10-16 g cm-3) are derived. The SN was hosted by a metal-poor dwarf galaxy at redshift z = 0.0328. We suggest that

  10. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  11. EUV/soft x-ray spectra for low B neutron stars

    NASA Technical Reports Server (NTRS)

    Romani, Roger W.; Rajagopal, Mohan; Rogers, Forrest J.; Iglesias, Carlos A.

    1995-01-01

    Recent ROSAT and EUVE detections of spin-powered neutron stars suggest that many emit 'thermal' radiation, peaking in the EUV/soft X-ray band. These data constrain the neutron stars' thermal history, but interpretation requires comparison with model atmosphere computations, since emergent spectra depend strongly on the surface composition and magnetic field. As recent opacity computations show substantial change to absorption cross sections at neutron star photospheric conditions, we report here on new model atmosphere computations employing such data. The results are compared with magnetic atmosphere models and applied to PSR J0437-4715, a low field neutron star.

  12. Pinch technique and the Batalin-Vilkovisky formalism

    NASA Astrophysics Data System (ADS)

    Binosi, Daniele; Papavassiliou, Joannis

    2002-07-01

    In this paper we take the first step towards a nondiagrammatic formulation of the pinch technique. In particular we proceed into a systematic identification of the parts of the one-loop and two-loop Feynman diagrams that are exchanged during the pinching process in terms of unphysical ghost Green's functions; the latter appear in the standard Slavnov-Taylor identity satisfied by the tree-level and one-loop three-gluon vertex. This identification allows for the consistent generalization of the intrinsic pinch technique to two loops, through the collective treatment of entire sets of diagrams, instead of the laborious algebraic manipulation of individual graphs, and sets up the stage for the generalization of the method to all orders. We show that the task of comparing the effective Green's functions obtained by the pinch technique with those computed in the background field method Feynman gauge is significantly facilitated when employing the powerful quantization framework of Batalin and Vilkovisky. This formalism allows for the derivation of a set of useful nonlinear identities, which express the background field method Green's functions in terms of the conventional (quantum) ones and auxiliary Green's functions involving the background source and the gluonic antifield; these latter Green's functions are subsequently related by means of a Schwinger-Dyson type of equation to the ghost Green's functions appearing in the aforementioned Slavnov-Taylor identity.

  13. EUV patterning improvement toward high-volume manufacturing

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Matsunaga, Koichi; Kawakami, Shinichiro; Nafus, Kathleen; Foubert, Philippe; Goethals, Anne-Marie

    2015-03-01

    Extreme ultraviolet lithography (EUVL) technology is a promising candidate for a semiconductor process for 18nm half pitch and beyond. So far, the studies of EUV for manufacturability have been focused on particular aspects. It still requires fine resolution, uniform and smooth patterns, and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to improve manufacturing quality of the process of record (POR) on a CLEAN TRACKTM LITHIUS ProTMZ-EUV. This next generation coating/developing system has been upgraded with defectivity reduction enhancements which are applied along with TELTM best known methods. We have evaluated process defectivity post lithography and post etch. Apart from defectivity, FIRMTM rinse material and application compatibility with sub 18nm patterning is improved to prevent line pattern collapse and increase process window on next generation resist materials. This paper reports on the progress of defectivity and patterning performance optimization towards the NXE:3300 POR.

  14. Utilizing the EUVE Innovative Technology Testbed to Reduce Operations Cost for Present and Future Orbiting Mission

    NASA Technical Reports Server (NTRS)

    1997-01-01

    This report summarizes work done under Cooperative Agreement (CA) on the following testbed projects: TERRIERS - The development of the ground systems to support the TERRIERS satellite mission at Boston University (BU). HSTS - The application of ARC's Heuristic Scheduling Testbed System (HSTS) to the EUVE satellite mission. SELMON - The application of NASA's Jet Propulsion Laboratory's (JPL) Selective Monitoring (SELMON) system to the EUVE satellite mission. EVE - The development of the EUVE Virtual Environment (EVE), a prototype three-dimensional (3-D) visualization environment for the EUVE satellite and its sensors, instruments, and communications antennae. FIDO - The development of the Fault-Induced Document Officer (FIDO) system, a prototype application to respond to anomalous conditions by automatically searching for, retrieving, and displaying relevant documentation for an operators use.

  15. SoFAST: Automated Flare Detection with the PROBA2/SWAP EUV Imager

    NASA Astrophysics Data System (ADS)

    Bonte, K.; Berghmans, D.; De Groof, A.; Steed, K.; Poedts, S.

    2013-08-01

    The Sun Watcher with Active Pixels and Image Processing (SWAP) EUV imager onboard PROBA2 provides a non-stop stream of coronal extreme-ultraviolet (EUV) images at a cadence of typically 130 seconds. These images show the solar drivers of space-weather, such as flares and erupting filaments. We have developed a software tool that automatically processes the images and localises and identifies flares. On one hand, the output of this software tool is intended as a service to the Space Weather Segment of ESA's Space Situational Awareness (SSA) program. On the other hand, we consider the PROBA2/SWAP images as a model for the data from the Extreme Ultraviolet Imager (EUI) instrument prepared for the future Solar Orbiter mission, where onboard intelligence is required for prioritising data within the challenging telemetry quota. In this article we present the concept of the software, the first statistics on its effectiveness and the online display in real time of its results. Our results indicate that it is not only possible to detect EUV flares automatically in an acquired dataset, but that quantifying a range of EUV dynamics is also possible. The method is based on thresholding of macropixelled image sequences. The robustness and simplicity of the algorithm is a clear advantage for future onboard use.

  16. Z Boson Decay into Light and Darkness

    NASA Astrophysics Data System (ADS)

    Fabbrichesi, M.; Gabrielli, E.; Mele, B.

    2018-04-01

    We study the Z →γ γ ¯ process in which the Z boson decays into a photon γ and a massless dark photon γ ¯, when the latter couples to standard-model fermions via dipole moments. This is a simple yet nontrivial example of how the Landau-Yang theorem—ruling out the decay of a massive spin-1 particle into two photons—is evaded if the final particles can be distinguished. The striking signature of this process is a resonant monochromatic single photon in the Z -boson center of mass together with missing momentum. LEP experimental bounds allow a branching ratio up to about 10-6 for such a decay. In a simplified model of the dark sector, the dark-photon dipole moments arise from one-loop exchange of heavy dark fermions and scalar messengers. The corresponding prediction for the rare Z →γ γ ¯ decay width can be explored with the large samples of Z bosons foreseen at future colliders.

  17. Interaction of infectious spleen and kidney necrosis virus ORF119L with PINCH leads to dominant-negative inhibition of integrin-linked kinase and cardiovascular defects in zebrafish.

    PubMed

    Yuan, Ji-Min; He, Bai-Liang; Yang, Lu-Yun; Guo, Chang-Jun; Weng, Shao-Ping; Li, Shengwen Calvin; He, Jian-Guo

    2015-01-01

    Infectious spleen and kidney necrosis virus (ISKNV) is the type species of the Megalocytivirus genus, Iridoviridae family, causing a severe systemic disease with high mortality in mandarin fish (Siniperca chuatsi) in China and Southeast Asia. At present, the pathogenesis of ISKNV infection is still not fully understood. Based on a genome-wide bioinformatics analysis of ISKNV-encoded proteins, we found that ISKNV open reading frame 119L (ORF119L) is predicted to encode a three-ankyrin-repeat (3ANK)-domain-containing protein, which shows high similarity to the dominant negative form of integrin-linked kinase (ILK); i.e., viral ORF119L lacks the ILK kinase domain. Thus, we speculated that viral ORF119L might affect the host ILK complex. Here, we demonstrated that viral ORF119L directly interacts with particularly interesting Cys-His-rich protein (PINCH) and affects the host ILK-PINCH interaction in vitro in fathead minnow (FHM) cells. In vivo ORF119L overexpression in zebrafish (Danio rerio) embryos resulted in myocardial dysfunctions with disintegration of the sarcomeric Z disk. Importantly, ORF119L overexpression in zebrafish highly resembles the phenotype of endogenous ILK inhibition, either by overexpressing a dominant negative form of ILK or by injecting an ILK antisense morpholino oligonucleotide. Intriguingly, ISKNV-infected mandarin fish develop disorganized sarcomeric Z disks in cardiomyocytes. Furthermore, phosphorylation of AKT, a downstream effector of ILK, was remarkably decreased in ORF119L-overexpressing zebrafish embryos. With these results, we show that ISKNV ORF119L acts as a domain-negative inhibitor of the host ILK, providing a novel mechanism for the megalocytivirus pathogenesis. Our work is the first to show the role of a dominant negative inhibitor of the host ILK from ISKNV (an iridovirus). Mechanistically, the viral ORF119L directly binds to the host PINCH, attenuates the host PINCH-ILK interaction, and thus impairs ILK signaling. Intriguingly

  18. Age-Related and Sex-Related Differences in Hand and Pinch Grip Strength in Adults

    ERIC Educational Resources Information Center

    Puh, Urska

    2010-01-01

    The purpose of the study was to quantify age-related changes in hand grip strength and three types of pinch grip strength (key pinch, tip pinch, and palmar pinch) among male and female participants. The study included 199 healthy participants (100 females, 99 males) aged 20-79 years, who were divided into four age groups. The Baseline Hydraulic…

  19. Four-mirror extreme ultraviolet (EUV) lithography projection system

    DOEpatents

    Cohen, Simon J; Jeong, Hwan J; Shafer, David R

    2000-01-01

    The invention is directed to a four-mirror catoptric projection system for extreme ultraviolet (EUV) lithography to transfer a pattern from a reflective reticle to a wafer substrate. In order along the light path followed by light from the reticle to the wafer substrate, the system includes a dominantly hyperbolic convex mirror, a dominantly elliptical concave mirror, spherical convex mirror, and spherical concave mirror. The reticle and wafer substrate are positioned along the system's optical axis on opposite sides of the mirrors. The hyperbolic and elliptical mirrors are positioned on the same side of the system's optical axis as the reticle, and are relatively large in diameter as they are positioned on the high magnification side of the system. The hyperbolic and elliptical mirrors are relatively far off the optical axis and hence they have significant aspherical components in their curvatures. The convex spherical mirror is positioned on the optical axis, and has a substantially or perfectly spherical shape. The spherical concave mirror is positioned substantially on the opposite side of the optical axis from the hyperbolic and elliptical mirrors. Because it is positioned off-axis to a degree, the spherical concave mirror has some asphericity to counter aberrations. The spherical concave mirror forms a relatively large, uniform field on the wafer substrate. The mirrors can be tilted or decentered slightly to achieve further increase in the field size.

  20. Hybrid X-pinch Experiments on a MA Linear Transformer Driver

    NASA Astrophysics Data System (ADS)

    Patel, S. G.; Yager-Elorriaga, D. A.; Steiner, A. M.; Gilgenbach, R. M.; Jordan, N. M.; Chalenski, D. A.; Lau, Y. Y.

    2013-10-01

    X-pinch experiments have been conducted on the Linear Transformer Driver (LTD) at the University of Michigan. The x-pinch consists of a single wire separated by conical electrodes between two current return plates. The LTD was charged to +/-70 kV resulting in approximately 0.5 MA passing through a 35 μm Al wire. Multiple, short x-ray bursts were detected over the 400 ns current pulse. Ultimately the x-pinch will be located in parallel with a planar foil in order to backlight the Magneto-Rayleigh-Taylor instability. A smaller 100 kA driver is also in development and may be used to independently energize the x-pinch. The x-pinch chamber has been constructed and the results of these experiments will be presented. This work was supported by DoE award number DE-SC0002590, NSF grant number PHY 0903340, and US DoE through Sandia National Labs award numbers 240985 and 76822 to the U of Michigan. S.G Patel and A.M Steiner are supported by NPSC funded by Sandia National Labs. D.A. Yager-Elorriaga is supported by an NSF fellowship under grant number DGE 1256260.

  1. Atomic kinetics of a neon photoionized plasma experiment at Z

    NASA Astrophysics Data System (ADS)

    Mayes, Daniel C.; Mancini, Roberto; Bailey, James E.; Loisel, Guillaume; Rochau, Gregory; ZAPP Collaboration

    2018-06-01

    We discuss an experimental effort to study the atomic kinetics in astrophysically relevant photoionized plasmas via K-shell line absorption spectroscopy. The experiment employs the intense x-ray flux emitted at the collapse of a Z-pinch to heat and backlight a photoionized plasma contained within a cm-scale gas cell placed at a variable distance from the Z-pinch and filled with neon gas pressures in the range from 3.5 to 30 Torr. The experimental platform affords an order of magnitude range in the ionization parameter characterizing the photoionized plasma at the peak of the x-ray drive from about 5 to 80 erg*cm/s. Thus, the experiment allows for the study of trends in ionization distribution as a function of the ionization parameter. An x-ray crystal spectrometer capable of time-integrated and/or time-gated configurations is used to collect absorption spectra. The spectra show line absorption by several ionization stages of neon, including Be-, Li-, He-, and H-like ions. Analysis of these spectra yields ion areal densities and charge state distributions, which can be compared with simulation results from atomic kinetics codes. In addition, the electron temperature is extracted from level population ratios of nearby energy levels in Li- and Be-like ions, which can be used to test heating models of photoionized plasmas.

  2. Plasma cleaning of nanoparticles from EUV mask materials by electrostatics

    NASA Astrophysics Data System (ADS)

    Lytle, W. M.; Raju, R.; Shin, H.; Das, C.; Neumann, M. J.; Ruzic, D. N.

    2008-03-01

    Particle contamination on surfaces used in extreme ultraviolet (EUV) mask blank deposition, mask fabrication, and patterned mask handling must be avoided since the contamination can create significant distortions and loss of reflectivity. Particles on the order of 10nm are problematic during MLM mirror fabrication, since the introduced defects disrupt the local Bragg planes. The most serious problem is the accumulation of particles on surfaces of patterned blanks during EUV light exposure, since > 25nm particles will be printed without an out-of-focus pellicle. Particle contaminants are also a problem with direct imprint processes since defects are printed every time. Plasma Assisted Cleaning by Electrostatics (PACE) works by utilizing a helicon plasma as well as a pulsed DC substrate bias to charge particle and repel them electrostatically from the surface. Removal of this nature is a dry cleaning method and removes contamination perpendicular from the surface instead of rolling or sweeping the particles off the surface, a benefit when cleaning patterned surfaces where contamination can be rolled or trapped between features. Also, an entire mask can be cleaned at once since the plasma can cover the entire surface, thus there is no need to focus in on an area to clean. Sophisticated particle contamination detection system utilizing high power laser called DEFCON is developed to analyze the particle removal after PACE cleaning process. PACE has shown greater than 90 % particle removal efficiencies for 30 to 220 nm PSL particles on ruthenium capped quartz. Removal results for silicon surfaces and quartz surfaces show similar removal efficiencies. Results of cleaning 80 nm PSL spheres from silicon substrates will be shown.

  3. SDO/AIA AND HINODE/EIS OBSERVATIONS OF INTERACTION BETWEEN AN EUV WAVE AND ACTIVE REGION LOOPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Liheng; Zhang, Jun; Li, Ting

    2013-09-20

    We present detailed analysis of an extreme-ultraviolet (EUV) wave and its interaction with active region (AR) loops observed by the Solar Dynamics Observatory/Atmospheric Imaging Assembly and the Hinode EUV Imaging Spectrometer (EIS). This wave was initiated from AR 11261 on 2011 August 4 and propagated at velocities of 430-910 km s{sup –1}. It was observed to traverse another AR and cross over a filament channel on its path. The EUV wave perturbed neighboring AR loops and excited a disturbance that propagated toward the footpoints of these loops. EIS observations of AR loops revealed that at the time of the wavemore » transit, the original redshift increased by about 3 km s{sup –1}, while the original blueshift decreased slightly. After the wave transit, these changes were reversed. When the EUV wave arrived at the boundary of a polar coronal hole, two reflected waves were successively produced and part of them propagated above the solar limb. The first reflected wave above the solar limb encountered a large-scale loop system on its path, and a secondary wave rapidly emerged 144 Mm ahead of it at a higher speed. These findings can be explained in the framework of a fast-mode magnetosonic wave interpretation for EUV waves, in which observed EUV waves are generated by expanding coronal mass ejections.« less

  4. Quasi-linear gyrokinetic predictions of the Coriolis momentum pinch in NSTX

    DOE Data Explorer

    Guttenfelder, W. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Kaye, S. M. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Ren, Y. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Solomon, W. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Bell, R. E. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Candy, J. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Gerhardt, S. P. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); LeBlanc, B. P. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Yuh, H. [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States)

    2016-04-01

    This paper presents quasi-linear gyrokinetic predictions of the Coriolis momentum pinch for low aspect-ratio NSTX H-modes where previous experimental measurements were focused. Local, linear calculations predict that in the region of interest (just outside the mid-radius) of these relatively high-beta plasmas, profiles are most unstable to microtearing modes that are only effective in transporting electron energy. However, sub-dominant electromagnetic and electrostatic ballooning modes are also unstable, which are effective at transporting energy, particles and momentum. The quasi-linear prediction of transport from these weaker ballooning modes, assuming they contribute transport in addition to that from microtearing modes in a nonlinear turbulent state, leads to a very small or outward convection of momentum, inconsistent with the experimentally measured inward pinch, and opposite to predictions in conventional aspect ratio tokamaks. Additional predictions of a low beta L-mode plasma, unstable to more traditional electrostatic ion temperature gradient-trapped electron mode instability, show that the Coriolis pinch is inward but remains relatively weak and insensitive to many parameter variations. The weak or outward pinch predicted in NSTX plasmas appears to be at least partially correlated to changes in the parallel mode structure that occur at finite beta and low aspect ratio, as discussed in previous theories. The only conditions identified where a stronger inward pinch is predicted occur either in the purely electrostatic limit or if the aspect ratio is increased. As the Coriolis pinch cannot explain the measured momentum pinch, additional theoretical momentum transport mechanisms are discussed that may be potentially important.

  5. 3D structure and kinematics characteristics of EUV wave front

    NASA Astrophysics Data System (ADS)

    Podladchikova, T.; Veronig, A.; Dissauer, K.

    2017-12-01

    We present 3D reconstructions of EUV wave fronts using multi-point observations from the STEREO-A and STEREO-B spacecraft. EUV waves are large-scale disturbances in the solar corona that are initiated by coronal mass ejections, and are thought to be large-amplitude fast-mode MHD waves or shocks. The aim of our study is to investigate the dynamic evolution of the 3D structure and wave kinematics of EUV wave fronts. We study the events on December 7, 2007 and February 13, 2009 using data from the STEREO/EUVI-A and EUVI-B instruments in the 195 Å filter. The proposed approach is based on a complementary combination of epipolar geometry of stereo vision and perturbation profiles. We propose two different solutions to the matching problem of the wave crest on images from the two spacecraft. One solution is suitable for the early and maximum stage of event development when STEREO-A and STEREO-B see the different facets of the wave, and the wave crest is clearly outlined. The second one is applicable also at the later stage of event development when the wave front becomes diffuse and is faintly visible. This approach allows us to identify automatically the segments of the diffuse front on pairs of STEREO-A and STEREO-B images and to solve the problem of identification and matching of the objects. We find that the EUV wave observed on December 7, 2007 starts with a height of 30-50 Mm, sharply increases to a height of 100-120 Mm about 10 min later, and decreases to 10-20 Mm in the decay phase. Including the 3D evolution of the EUV wave front allowed us to correct the wave kinematics for projection and changing height effects. The velocity of the wave crest (V=215-266 km/s) is larger than the trailing part of the wave pulse (V=103-163 km/s). For the February 9, 2009 event, the upward movement of the wave crest shows an increase from 20 to 100 Mm over a period of 30 min. The velocity of wave crest reaches values of 208-211 km/s.

  6. Bubble pinch-off and scaling during liquid drop impact on liquid pool

    NASA Astrophysics Data System (ADS)

    Ray, Bahni; Biswas, Gautam; Sharma, Ashutosh

    2012-08-01

    Simulations are performed to show entrapment of air bubble accompanied by high speed upward and downward water jets when a water drop impacts a pool of water surface. A new bubble entrapment zone characterised by small bubble pinch-off and long thick jet is found. Depending on the bubble and jet behaviour, the bubble entrapment zone is subdivided into three sub-regimes. The entrapped bubble size and jet height depends on the crater shape and its maximum depth. During the bubble formation, bubble neck develops an almost singular shape as it pinches off. The final pinch-off shape and the power law governing the pinching, rneck ∝ A(t0 - t)αvaries with the Weber number. Weber dependence of the function describing the radius of the bubble during the pinch-off only affects the coefficient A and not the power exponent α.

  7. Ultrahigh resolution photographic films for X-ray/EUV/FUV astronomy

    NASA Technical Reports Server (NTRS)

    Hoover, Richard B.; Walker, Arthur B. C., Jr.; Deforest, Craig E.; Watts, Richard; Tarrio, Charles

    1993-01-01

    The quest for ultrahigh resolution full-disk images of the sun at soft X-ray/EUV/FUV wavelengths has increased the demand for photographic films with broad spectral sensitivity, high spatial resolution, and wide dynamic range. These requirements were made more stringent by the recent development of multilayer telescopes and coronagraphs capable of operating at normal incidence at soft X-ray/EUV wavelengths. Photographic films are the only detectors now available with the information storage capacity and dynamic range such as is required for recording images of the solar disk and corona simultaneously with sub arc second spatial resolution. During the Stanford/MSFC/LLNL Rocket X-Ray Spectroheliograph and Multi-Spectral Solar Telescope Array (MSSTA) programs, we utilized photographic films to obtain high resolution full-disk images of the sun at selected soft X-ray/EUV/FUV wavelengths. In order to calibrate our instrumentation for quantitative analysis of our solar data and to select the best emulsions and processing conditions for the MSSTA reflight, we recently tested several photographic films. These studies were carried out at the NIST SURF II synchrotron and the Stanford Synchrotron Radiation Laboratory. In this paper, we provide the results of those investigations.

  8. Production of EUV mask blanks with low killer defects

    NASA Astrophysics Data System (ADS)

    Antohe, Alin O.; Kearney, Patrick; Godwin, Milton; He, Long; John Kadaksham, Arun; Goodwin, Frank; Weaver, Al; Hayes, Alan; Trigg, Steve

    2014-04-01

    For full commercialization, extreme ultraviolet lithography (EUVL) technology requires the availability of EUV mask blanks that are free of defects. This remains one of the main impediments to the implementation of EUV at the 22 nm node and beyond. Consensus is building that a few small defects can be mitigated during mask patterning, but defects over 100 nm (SiO2 equivalent) in size are considered potential "killer" defects or defects large enough that the mask blank would not be usable. The current defect performance of the ion beam sputter deposition (IBD) tool will be discussed and the progress achieved to date in the reduction of large size defects will be summarized, including a description of the main sources of defects and their composition.

  9. Intracluster light in clusters of galaxies at redshifts 0.4 < z < 0.8

    NASA Astrophysics Data System (ADS)

    Guennou, L.; Adami, C.; Da Rocha, C.; Durret, F.; Ulmer, M. P.; Allam, S.; Basa, S.; Benoist, C.; Biviano, A.; Clowe, D.; Gavazzi, R.; Halliday, C.; Ilbert, O.; Johnston, D.; Just, D.; Kron, R.; Kubo, J. M.; Le Brun, V.; Marshall, P.; Mazure, A.; Murphy, K. J.; Pereira, D. N. E.; Rabaça, C. R.; Rostagni, F.; Rudnick, G.; Russeil, D.; Schrabback, T.; Slezak, E.; Tucker, D.; Zaritsky, D.

    2012-01-01

    Context. The study of intracluster light (ICL) can help us to understand the mechanisms taking place in galaxy clusters, and to place constraints on the cluster formation history and physical properties. However, owing to the intrinsic faintness of ICL emission, most searches and detailed studies of ICL have been limited to redshifts z < 0.4. Aims: To help us extend our knowledge of ICL properties to higher redshifts and study the evolution of ICL with redshift, we search for ICL in a subsample of ten clusters detected by the ESO Distant Cluster Survey (EDisCS), at redshifts 0.4 < z < 0.8, that are also part of our DAFT/FADA Survey. Methods: We analyze the ICL by applying the OV WAV package, a wavelet-based technique, to deep HST ACS images in the F814W filter and to V-band VLT/FORS2 images of three clusters. Detection levels are assessed as a function of the diffuse light source surface brightness using simulations. Results: In the F814W filter images, we detect diffuse light sources in all the clusters, with typical sizes of a few tens of kpc (assuming that they are at the cluster redshifts). The ICL detected by stacking the ten F814W images shows an 8σ detection in the source center extending over a ~50 × 50 kpc2 area, with a total absolute magnitude of -21.6 in the F814W filter, equivalent to about two L∗ galaxies per cluster. We find a weak correlation between the total F814W absolute magnitude of the ICL and the cluster velocity dispersion and mass. There is no apparent correlation between the cluster mass-to-light ratio (M/L) and the amount of ICL, and no evidence of any preferential orientation in the ICL source distribution. We find no strong variation in the amount of ICL between z = 0 and z = 0.8. In addition, we find wavelet-detected compact objects (WDCOs) in the three clusters for which data in two bands are available; these objects are probably very faint compact galaxies that in some cases are members of the respective clusters and comparable to

  10. Evaluation results of a new EUV reticle pod based on SEMI E152

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Yonekawa, Masami; Taguchi, Takao; Suga, Osamu

    2010-04-01

    To protect the reticle during shipping, storage and tool handling, various reticle pod concepts have been proposed and evaluated in the last 10 years. MIRAI-Selete has been developing EUV reticle handling technology and evaluating EUV reticle pods designed using "Dual Pod Concept" for four years. The concept was jointly proposed by Canon and Nikon at the EUV mask technology and standards workshop at Miyazaki in November 2004; a mask is doubly protected by an inner pod and an outer pod and the mask is carried into an exposure tool with the inner pod. Canon, Nikon and Entegris have started collaboration in 2005 and developed three types of EUV pod prototypes, alpha, beta and gamma. The gamma pods were evaluated by MIRAI-Selete and the superiority of the dual pod concept has been verified with many experimental data on shipping, storage and tool handling. The dual pod concept was standardized as SEMI E152-0709 "Mechanical Specification of EUV Pods for 150mm EUVL Reticles" in 2009. Canon, Nikon and Entegris have developed a new pod design compatible with SEMI E152; it has a Type A inner baseplate for uses with EUV exposure tools. The baseplate has two alignment windows, a window for a data matrix symbol and five pockets as the front edge grip exclusion volumes. In addition to the new features, there are some differences between the new SEMI compliant pod design and the former design "CNE-gamma", e.g. the material of the inner cover was changed to metal to reduce outgassing rate and the gap between the reticle and the side supports were widened to satisfy a requirement of the standard. MIRAI-Selete has evaluated the particle protective capability of the new SEMI compliant pods "cnPod" during shipping, storage and tool handling in vacuum and found the "cnPod" has the excellent particle protective capability and the dual pod concept can be used not only for EUVL pilot line but also for EUVL high volume manufacturing.

  11. Acute and repeated activation of male sexual behavior by tail pinch: opioid and dopaminergic mechanisms.

    PubMed

    Leyton, M; Stewart, J

    1996-07-01

    We studied the effect of tail pinch on male sexual behavior and its neurochemical basis. Male rats were gonadectomized and maintained on low doses of testosterone propionate (20.0 micrograms/day). Tail pinch significantly increased the percentage of males that mounted, intromitted, and ejaculated within a 30-min test, and these increases were attenuated by both pimozide (1.0 mg/kg, i.p.) and by naloxone (0.5, 1.0, and 2.0 mg/kg, s.c.). Moreover, tail pinch in the presence of an estrous female led to significantly increased female-directed behavior 48 h later during a test without tail pinch. Repeated tail pinch tests led to progressively more sexual activity, and the development of this behavioral sensitization was prevented by naloxone. These findings suggest that tail pinch increases the salience of the incentive characteristics of the female. Furthermore, during subsequent tests, with or without tail pinch, the increased salience of the female remains, as measured by the continued increases in sexual activity. These acute and sensitized behavioral increases might result from tail pinch-induced activation of the midbrain dopamine system via an opioid mechanism; either preventing tail pinch-induced dopamine activation (by an opioid antagonist) or blocking the effects of dopamine activation (by a dopamine antagonist) attenuated the long-term facilitation of sexual behavior seen after pairing the female with tail pinch.

  12. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    NASA Astrophysics Data System (ADS)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  13. High-NA EUV lithography enabling Moore's law in the next decade

    NASA Astrophysics Data System (ADS)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  14. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  15. Radiation characteristics of Al wire arrays on Z*

    NASA Astrophysics Data System (ADS)

    Coverdale, C. A.; Ampleford, D. J.; Jones, B.; Cuneo, M. E.; Hansen, S.; Jennings, C. A.; Moore, N.; Jones, S. C.; Deeney, C.

    2011-10-01

    Analysis of mixed material nested wire array experiments at Z have shown that the inner wire array dominates the hottest regions of the stagnated z pinch. In those experiments, substantial free-bound continuum radiation was observed when Al was fielded on the inner wire array. Experiments with Al (5% Mg) on both wire arrays have also been fielded, with variations in the free-bound continuum observed. These variations appear to be tied to the initial mass and diameter of the wire array. The results presented here will investigate the trends in the measured emission (Al and Mg K-shell and free-bound continuum) and will compare the measured output to more recent Al wire array experimental results on the refurbished Z accelerator. *Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000. +current address: NNSA/DOE Headquarters, Washington D.C.

  16. Recent developments in linear theta-pinch research: experiment and theory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKenna, K.F.; Bartsch, R.R.; Commisso, R.J.

    1978-01-01

    High energy plasmas offusion interest can be generated in linear theta pinches. However, end losses present a fundamental limitation on the plasma containment time. This paper discusses recent progress in end-loss and end-stoppering experiments and in the theoretical understanding of linear theta-pinch physics.

  17. Line-edge roughness performance targets for EUV lithography

    NASA Astrophysics Data System (ADS)

    Brunner, Timothy A.; Chen, Xuemei; Gabor, Allen; Higgins, Craig; Sun, Lei; Mack, Chris A.

    2017-03-01

    Our paper will use stochastic simulations to explore how EUV pattern roughness can cause device failure through rare events, so-called "black swans". We examine the impact of stochastic noise on the yield of simple wiring patterns with 36nm pitch, corresponding to 7nm node logic, using a local Critical Dimension (CD)-based fail criteria Contact hole failures are examined in a similar way. For our nominal EUV process, local CD uniformity variation and local Pattern Placement Error variation was observed, but no pattern failures were seen in the modest (few thousand) number of features simulated. We degraded the image quality by incorporating Moving Standard Deviation (MSD) blurring to degrade the Image Log-Slope (ILS), and were able to find conditions where pattern failures were observed. We determined the Line Width Roughness (LWR) value as a function of the ILS. By use of an artificial "step function" image degraded by various MSD blur, we were able to extend the LWR vs ILS curve into regimes that might be available for future EUV imagery. As we decreased the image quality, we observed LWR grow and also began to see pattern failures. For high image quality, we saw CD distributions that were symmetrical and close to Gaussian in shape. Lower image quality caused CD distributions that were asymmetric, with "fat tails" on the low CD side (under-exposed) which were associated with pattern failures. Similar non-Gaussian CD distributions were associated with image conditions that caused missing contact holes, i.e. CD=0.

  18. Determination of plasma pinch time and effective current radius of double planar wire array implosions from current measurements on a 1-MA linear transformer driver

    NASA Astrophysics Data System (ADS)

    Steiner, Adam M.; Yager-Elorriaga, David A.; Patel, Sonal G.; Jordan, Nicholas M.; Gilgenbach, Ronald M.; Safronova, Alla S.; Kantsyrev, Victor L.; Shlyaptseva, Veronica V.; Shrestha, Ishor; Schmidt-Petersen, Maximillian T.

    2016-10-01

    Implosions of planar wire arrays were performed on the Michigan Accelerator for Inductive Z-pinch Experiments, a linear transformer driver (LTD) at the University of Michigan. These experiments were characterized by lower than expected peak currents and significantly longer risetimes compared to studies performed on higher impedance machines. A circuit analysis showed that the load inductance has a significant impact on the current output due to the comparatively low impedance of the driver; the long risetimes were also attributed to high variability in LTD switch closing times. A circuit model accounting for these effects was employed to measure changes in load inductance as a function of time to determine plasma pinch timing and calculate a minimum effective current-carrying radius. These calculations showed good agreement with available shadowgraphy and x-ray diode measurements.

  19. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    NASA Astrophysics Data System (ADS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Gürsel, Selmiye Alkan; Scherer, Günther G.; Wokaun, Alexander

    2007-12-01

    Nanostructures of the thermoresponsive poly( N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 °C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures.

  20. Starting buoyant plumes and vortex ring pinch-off

    NASA Astrophysics Data System (ADS)

    Pottebaum, Tait; Gharib, Mory

    2003-11-01

    The vortex ring formation process of a starting buoyant plume was studied experimentally. Buoyant plumes were produced using a heating element at the base of a water tank. The velocity and temperature fields in the flow were measured using digital particle image thermometry and velocimetry (DPITV), allowing the density and vorticity fields to be determined. The vortex ring initially grew, with additional circulation being supplied by the trailing plume. At later times, the vortex ring became disconnected from the trailing plume. This is analogous to the pinch-off of a vortex ring produced by a piston-cylinder apparatus reported by Gharib et al (1998 JFM 360: 121-140). The existence of a pinch-off process for starting buoyant plumes has many implications for environmental flows. Of particular interest is the effect of vortex ring pinch-off on the dispersal of particulates and contaminants in intermittent or sudden convection events.

  1. "Marginal pinching" in soap films

    NASA Astrophysics Data System (ADS)

    Aradian, A.; Raphaël, E.; de Gennes, P.-G.

    2001-09-01

    We discuss the behaviour of a thin soap film facing a frame element: the pressure in the Plateau border around the frame is lower than the film pressure, and the film thins out over a certain distance λ(t), due to the formation of a well-localized pinched region of thickness h(t) and extension w(t). We construct a hydrodynamic theory for this thinning process, assuming a constant surface tension: Marangoni effects are probably important only at late stages, where instabilities set in. We find λ(t) ~ t1/4, and for the pinch dimensions, h(t) ~ t-1/2 and w(t) ~ t-1/4. These results may play a useful role for the discussion of later instabilities leading to a global film thinning and drainage, as first discussed by K. Mysels under the name "marginal regeneration".

  2. NXE pellicle: offering a EUV pellicle solution to the industry

    NASA Astrophysics Data System (ADS)

    Brouns, Derk; Bendiksen, Aage; Broman, Par; Casimiri, Eric; Colsters, Paul; Delmastro, Peter; de Graaf, Dennis; Janssen, Paul; van de Kerkhof, Mark; Kramer, Ronald; Kruizinga, Matthias; Kuntzel, Henk; van der Meulen, Frits; Ockwell, David; Peter, Maria; Smith, Daniel; Verbrugge, Beatrijs; van de Weg, David; Wiley, Jim; Wojewoda, Noelie; Zoldesi, Carmen; van Zwol, Pieter

    2016-03-01

    Towards the end of 2014, ASML committed to provide a EUV pellicle solution to the industry. Last year, during SPIE Microlithography 2015, we introduced the NXE pellicle concept, a removable pellicle solution that is compatible with current and future patterned mask inspection methods. This paper shows results of how we took this concept to a complete EUV pellicle solution for the industry. We will highlight some technical design challenges we faced developing the NXE pellicle and how we solved them. We will also present imaging results of pellicle exposures on a 0.33 NA NXE scanner system. In conjunction with the NXE pellicle, we will also present the supporting tooling we have developed to enable pellicle use.

  3. SOHO/CDS Measurements of Coronal EUV Polarization above the Limb

    NASA Technical Reports Server (NTRS)

    Thomas, Roger J.; Oegerle, William R. (Technical Monitor)

    2002-01-01

    Attempts to measure polarization in coronal EUV emission above the solar limb have been made using the SOHO/CDS normal-incidence spectrometer which has a polarization sensitivity of about 50%, a property that causes variations in intensity response as a function of the spacecraft's roll angle for polarized light. Such observations were made on the disk and up to 0.22 solar radii above the solar limb in a number of EUV lines during two special roll-maneuvers of the SOHO spacecraft. Measurements of intensity gradients were made above a modestly active equatorial region in 1997 and above a relatively cool polar region in 2001. Observed emission lines include He I 584A, He II 304A, 0 IV 555+610A, 0 V 630A, Mg IX 368A, Mg X 610+625A, and Si XI 303A, formed at temperatures that evenly cover the range in logT from 4.1 to 6.2. Near the disk, measured intensities of all lines fall off exponentially at different rates that can be used to determine the density scale-heights of the emitting plasma, since this emission is dominated by collisional excitation with an Ne-squared dependence. Assuming hydrostatic equilibrium, the intensity gradient for each line can then be converted into a 'scale-height temperature', which is found to be closely related to the ionization temperature of each line over the wide range of lines and solar conditions observed. Beyond a certain distance, intensity gradients of the cooler lines switch over to a flatter exponential slope, suggesting that this radiation is dominated by resonance scattering which varies as Ne to the first power. Such radiation should also be linearly polarized in the plane containing the line-of-sight and the solar center, a signature that would strongly confirm this interpretation.

  4. Classification and Physical parameters EUV coronal jets with STEREO/SECCHI.

    NASA Astrophysics Data System (ADS)

    Nistico, Giuseppe; Bothmer, Volker; Patsourakos, Spiro; Zimbardo, Gaetano

    In this work we present observations of EUV coronal jets, detected with the SECCHI (Sun Earth Connection Coronal and Heliospheric Investigation) imaging suites of the two STEREO spacecraft. Starting from catalogues of polar and equatorial coronal hole jets (Nistico' et al., Solar Phys., 259, 87, 2009; Ann. Geophys. in press), identified from simultaneous EUV and white-light coronagraph observations, taken during the time period March 2007 to April 2008 when solar activity was at minimum, we perfom a detailed study of some events. A basic char-acterisation of the magnetic morphology and identification of the presence of helical structure were established with respect to recently proposed models for their origin and temporal evo-lution. A classification of the events with respect to previous jet studies shows that amongst the 79 events, identified into polar coronal holes, there were 37 Eiffel tower -type jet events commonly interpreted as a small-scale ( 35 arcsec) magnetic bipole reconnecting with the ambi-ent unipolar open coronal magnetic fields at its looptops, 12 lambda-type jet events commonly interpreted as reconnection with the ambient field happening at the bipoles footpoints. Five events were termed micro-CME type jet events because they resembled classical three-part structured coronal mass ejections (CMEs) but on much smaller scales. The remainig 25 cases could not be uniquely classified. Thirty-one of the total number of events exhibited a helical magnetic field structure, indicative for a torsional motion of the jet around its axis of propaga-tion. The jet events are found to be also present in equatorial coronal holes. We also present the 3-D reconstruction, temperature, velocity, and density measurements of a number of jets during their evolution.

  5. Study of a non-equilibrium plasma pinch with application for microwave generation

    NASA Astrophysics Data System (ADS)

    Al Agry, Ahmad Farouk

    The Non-Equilibrium Plasma Pinch (NEPP), also known as the Dense Plasma Focus (DPF) is well known as a source of energetic ions, relativistic electrons and neutrons as well as electromagnetic radiation extending from the infrared to X-ray. In this dissertation, the operation of a 15 kJ, Mather type, NEPP machine is studied in detail. A large number of experiments are carried out to tune the machine parameters for best performance using helium and hydrogen as filling gases. The NEPP machine is modified to be able to extract the copious number of electrons generated at the pinch. A hollow anode with small hole at the flat end, and a mock magnetron without biasing magnetic field are built. The electrons generated at the pinch are very difficult to capture, therefore a novel device is built to capture and transport the electrons from the pinch to the magnetron. The novel cup-rod-needle device successfully serves the purpose to capture and transport electrons to monitor the pinch current. Further, the device has the potential to field emit charges from its needle end acting as a pulsed electron source for other devices such as the magnetron. Diagnostics tools are designed, modeled, built, calibrated, and implemented in the machine to measure the pinch dynamics. A novel, UNLV patented electromagnetic dot sensors are successfully calibrated, and implemented in the machine. A new calibration technique is developed and test stands designed and built to measure the dot's ability to track the impetus signal over its dynamic range starting and ending in the noise region. The patented EM-dot sensor shows superior performance over traditional electromagnetic sensors, such as Rogowski coils. On the other hand, the cup-rod structure, when grounded on the rod side, serves as a diagnostic tool to monitor the pinch current by sampling the actual current, a quantity that has been always very challenging to measure without perturbing the pinch. To the best of our knowledge, this method

  6. A battery power model for the EUVE spacecraft

    NASA Technical Reports Server (NTRS)

    Yen, Wen L.; Littlefield, Ronald G.; Mclean, David R.; Tuchman, Alan; Broseghini, Todd A.; Page, Brenda J.

    1993-01-01

    This paper describes a battery power model that has been developed to simulate and predict the behavior of the 50 ampere-hour nickel-cadmium battery that supports the Extreme Ultraviolet Explorer (EUVE) spacecraft in its low Earth orbit. First, for given orbit, attitude, solar array panel and spacecraft load data, the model calculates minute-by-minute values for the net power available for charging the battery for a user-specified time period (usually about two weeks). Next, the model is used to calculate minute-by-minute values for the battery voltage, current and state-of-charge for the time period. The model's calculations are explained for its three phases: sunrise charging phase, constant voltage phase, and discharge phase. A comparison of predicted model values for voltage, current and state-of-charge with telemetry data for a complete charge-discharge cycle shows good correlation. This C-based computer model will be used by the EUVE Flight Operations Team for various 'what-if' scheduling analyses.

  7. Analysis of EUV/FUV dayglow and auroral measurements

    NASA Technical Reports Server (NTRS)

    Majeed, T.; Strickland, D. J.; Link, R.

    1994-01-01

    This report documents investigations carried out over the twelve month period which commenced in November 1992. The contract identifies the following three tasks: analysis of the O II 83.4 nm dayglow and comparison with incoherent scatter radar data, analysis of the EUV spectrum of an electron aurora, and analysis of the EUV spectrum of a proton-hydrogen-electron aurora. The analysis approach, data reduction methods, and results, including plots of O I 98.9 nm versus time and average spectra, are presented for the last two tasks. The appendices contain preprints of two papers written under the first task. The first paper examines the effect of new O(3P) photoionization cross sections, N2 photoabsorption cross sections, and O(+) oscillator strengths and transition probabilities on the O II 83.4 nm dayglow. The second addresses the problem of remotely sensing the dayside F2 region using limb O II 83.4 nm data.

  8. A Cu-Zn nanoparticle promoter for selective carbon dioxide reduction and its application in visible-light-active Z-scheme systems using water as an electron donor.

    PubMed

    Yin, Ge; Sako, Hiroshi; Gubbala, Ramesh V; Ueda, Shigenori; Yamaguchi, Akira; Abe, Hideki; Miyauchi, Masahiro

    2018-04-17

    Selective carbon dioxide photoreduction to produce formic acid was achieved under visible light irradiation using water molecules as electron donors, similar to natural plants, based on the construction of a Z-scheme light harvesting system modified with a Cu-Zn alloy nanoparticle co-catalyst. The faradaic efficiency of our Z-scheme system for HCOOH generation was over 50% under visible light irradiation.

  9. Monte Carlo sensitivity analysis of EUV mask reflectivity and its impact on OPC accuracy

    NASA Astrophysics Data System (ADS)

    Chen, Yulu; Wood, Obert; Rankin, Jed; Gullikson, Eric; Meyer-Ilse, Julia; Sun, Lei; Qi, Zhengqing John; Goodwin, Francis; Kye, Jongwook

    2017-03-01

    Unlike optical masks which are transmissive optical elements, use of extreme ultraviolet (EUV) radiation requires a reflective mask structure - a multi-layer coating consisting of alternating layers of high-Z (wave impedance) and low-Z materials that provide enhanced reflectivity over a narrow wavelength band peaked at the Bragg wavelength.1 Absorber side wall angle, corner rounding,2 surface roughness,3 and defects4 affect mask performance, but even seemingly simple parameters like bulk reflectivity on mirror and absorber surfaces can have a profound influence on imaging. For instance, using inaccurate reflectivity values at small and large incident angles would diminish the benefits of source mask co-optimization (SMO) and result in larger than expected pattern shifts. The goal of our work is to calculate the variation in mask reflectivity due to various sources of inaccuracies using Monte Carlo simulations. Such calculation is necessary as small changes in the thickness and optical properties of the high-Z and low-Z materials can cause substantial variations in reflectivity. This is further complicated by undesirable intermixing between the two materials used to create the reflector.5 One of the key contributors to mask reflectivity fluctuation is identified to be the intermixing layer thickness. We also investigate the impacts on OPC when the wrong mask information is provided, and evaluate the deterioration of overlapping process window. For a hypothetical N7 via layer, the lack of accurate mask information costs 25% of the depth of focus at 5% exposure latitude. Our work would allow the determination of major contributors to mask reflectivity variation, drive experimental efforts of measuring such contributors, provide strategies to optimize mask reflectivity, and quantize the OPC errors due to imperfect mask modeling.

  10. Multi-Spectral Solar Telescope Array. II - Soft X-ray/EUV reflectivity of the multilayer mirrors

    NASA Technical Reports Server (NTRS)

    Barbee, Troy W., Jr.; Weed, J. W.; Hoover, Richard B.; Allen, Maxwell J.; Lindblom, Joakim F.; O'Neal, Ray H.; Kankelborg, Charles C.; Deforest, Craig E.; Paris, Elizabeth S.; Walker, Arthur B. C., Jr.

    1991-01-01

    The Multispectral Solar Telescope Array is a rocket-borne observatory which encompasses seven compact soft X-ray/EUV, multilayer-coated, and two compact far-UV, interference film-coated, Cassegrain and Ritchey-Chretien telescopes. Extensive measurements are presented on the efficiency and spectral bandpass of the X-ray/EUV telescopes. Attention is given to systematic errors and measurement errors.

  11. Functional sensibility assessment. Part I: develop a reliable apparatus to assess momentary pinch force control.

    PubMed

    Chiu, Haw-Yen; Hsu, Hsiu-Yun; Kuo, Li-Chieh; Chang, Jer-Hao; Su, Fong-Chin

    2009-08-01

    A precise magnitude and timing control of pinch performance is based on accurate feed-forward and feedback control mechanisms. Ratio of peak pinch force and maximum load force during a functional performance is a sensitive parameter to reflect the ability to scale pinch force output according to actual loads. A pinch apparatus was constructed to detect momentary pinch force modulation of 20 subjects with normal hand sensation. The results indicated high intra-class correlation coefficient and small coefficient of variation of the detected force ratio among three repeated tests, which represented that the stability test of the measured response confirmed the feasibility of this apparatus. The force ratio for a 480 g object with a steel surface ranged between 1.77 and 1.98. Normal subjects were able to scale and contribute pinch force precisely to a pinch-holding-up test. This study may provide clinicians a reliable apparatus and method to analyze the recovery of functional sensibility in patients with nerve injuries. Copyright 2009 Orthopaedic Research Society. Published by Wiley Periodicals, Inc.

  12. The evaluation of a deformable diffraction grating for a stigmatic EUV spectroheliometer

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.

    1987-01-01

    A high-efficiency, extreme ultraviolet (EUV) imaging spectrometer is constructed and tested. The spectrometer employs a concave toroidal grating illuminated at normal incidence in a Rowland circle mounting and has only one reflecting surface. The toroidal grating has been fabricated by a new technique employing an elastically-deformable sub-master grating replicated in a spherical form and then mechanically distorted to produce the desired aspect ratio of the toroidal surface for stigmatic imaging over the selected wavelength range. The fixed toroidal grating used in the spectrometer is then replicated from this surface. Photographic tests and initial photoelectric tests with a two-dimensional, pulse-counting detector system verify the image quality of the toroidal grating at wavelengths near 600 A. The results of these tests and the basic designs of two instruments which could employ the imaging spectrometer for astrophysical investigations in space are described; i.e., a high-resolution EUV spectroheliometer for studies of the solar chromosphere, transition region, and corona; and an EUV spectroscopic telescope for studies of non-solar objects.

  13. The future of EUV lithography: enabling Moore's Law in the next decade

    NASA Astrophysics Data System (ADS)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  14. The pinching method for Galactic cosmic ray positrons: Implications in the light of precision measurements

    NASA Astrophysics Data System (ADS)

    Boudaud, M.; Bueno, E. F.; Caroff, S.; Genolini, Y.; Poulin, V.; Poireau, V.; Putze, A.; Rosier, S.; Salati, P.; Vecchi, M.

    2017-09-01

    Context. Two years ago, the Ams-02 collaboration released the most precise measurement of the cosmic ray positron flux. In the conventional approach, in which positrons are considered as purely secondary particles, the theoretical predictions fall way below the data above 10 GeV. One suggested explanation for this anomaly is the annihilation of dark matter particles, the so-called weakly interactive massive particles (WIMPs), into standard model particles. Most analyses have focused on the high-energy part of the positron spectrum, where the anomaly lies, disregarding the complicated GeV low-energy region where Galactic cosmic ray transport is more difficult to model and solar modulation comes into play. Aims: Given the high quality of the latest measurements by Ams-02, it is now possible to systematically re-examine the positron anomaly over the entire energy range, this time taking into account transport processes so far neglected, such as Galactic convection or diffusive re-acceleration. These might impact somewhat on the high-energy positron flux so that a complete and systematic estimate of the secondary component must be performed and compared to the Ams-02 measurements. The flux yielded by WIMPs also needs to be re-calculated more accurately to explore how dark matter might source the positron excess. Methods: We devise a new semi-analytical method to take into account transport processes thus far neglected, but important below a few GeV. It is essentially based on the pinching of inverse Compton and synchrotron energy losses from the magnetic halo, where they take place, inside the Galactic disc. The corresponding energy loss rate is artificially enhanced by the so-called pinching factor, which needs to be calculated at each energy. We have checked that this approach reproduces the results of the Green function method at the per mille level. This new tool is fast and allows one to carry out extensive scans over the cosmic ray propagation parameters. Results

  15. Electron density and plasma dynamics of a spherical theta pinch

    NASA Astrophysics Data System (ADS)

    Teske, C.; Liu, Y.; Blaes, S.; Jacoby, J.

    2012-03-01

    A spherical theta pinch for plasma stripper applications has been developed and investigated regarding the electron density and the plasma confinement during the pinching sequence. The setup consists of a 6 μH induction coil surrounding a 4000 ml spherical discharge vessel and a capacitor bank with interchangeable capacitors leading to an overall capacitance of 34 μF and 50 μF, respectively. A thyristor switch is used for driving the resonant circuit. Pulsed coil currents reached values of up to 26 kA with maximum induction of 500 mT. Typical gas pressures were 0.7 Pa up to 120 Pa with ArH2 (2.8% H2)-gas as a discharge medium. Stark broadening measurements of the Hβ emission line were carried out in order to evaluate the electron density of the discharge. In accordance with the density measurements, the transfer efficiency was estimated and a scaling law between electron density and discharge energy was established for the current setup. The densities reached values of up to 8 × 1022 m-3 for an energy of 1.6 kJ transferred into the plasma. Further, the pinching of the discharge plasma was documented and the different stages of the pinching process were analyzed. The experimental evidence suggests that concerning the recent setup of the spherical theta pinch, a linear scaling law between the transferred energy and the achievable plasma density can be applied for various applications like plasma strippers and pulsed ion sources.

  16. Uncertainties in (E)UV model atmosphere fluxes

    NASA Astrophysics Data System (ADS)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  17. Indirect Z-Scheme BiOI/g-C3N4 Photocatalysts with Enhanced Photoreduction CO2 Activity under Visible Light Irradiation.

    PubMed

    Wang, Ji-Chao; Yao, Hong-Chang; Fan, Ze-Yu; Zhang, Lin; Wang, Jian-She; Zang, Shuang-Quan; Li, Zhong-Jun

    2016-02-17

    Rational design and construction of Z-scheme photocatalysts has received much attention in the field of CO2 reduction because of its great potential to solve the current energy and environmental crises. In this study, a series of Z-scheme BiOI/g-C3N4 photocatalysts are synthesized and their photocatalytic performance for CO2 reduction to produce CO, H2 and/or CH4 is evaluated under visible light irradiation (λ > 400 nm). The results show that the as-synthesized composites exhibit more highly efficient photocatalytic activity than pure g-C3N4 and BiOI and that the product yields change remarkably depending on the reaction conditions such as irradiation light wavelength. Emphasis is placed on identifying how the charge transfers across the heterojunctions and an indirect Z-scheme charge transfer mechanism is verified by detecting the intermediate I3(-) ions. The reaction mechanism is further proposed based on the detection of the intermediate (•)OH and H2O2. This work may be useful for rationally designing of new types of Z-scheme photocatalyst and provide some illuminating insights into the Z-scheme transfer mechanism.

  18. Strength training improves the tri-digit finger-pinch force control of older adults.

    PubMed

    Keogh, Justin W; Morrison, Steve; Barrett, Rod

    2007-08-01

    To investigate the effect of unilateral upper-limb strength training on the finger-pinch force control of older men. Pretest and post-test 6-week intervention study. Exercise science research laboratory. Eleven neurologically fit older men (age range, 70-80y). The strength training group (n=7) trained twice a week for 6 weeks, performing dumbbell bicep curls, wrist flexions, and wrists extensions, while the control group subjects (n=4) maintained their normal activities. Changes in force variability, targeting error, peak power frequency, proportional power, sample entropy, digit force sharing, and coupling relations were assessed during a series of finger-pinch tasks. These tasks involved maintaining a constant or sinusoidal force output at 20% and 40% of each subject's maximum voluntary contraction. All participants performed the finger-pinch tasks with both the preferred and nonpreferred limbs. Analysis of covariance for between-group change scores indicated that the strength training group (trained limb) experienced significantly greater reductions in finger-pinch force variability and targeting error, as well as significantly greater increases in finger-pinch force, sample entropy, bicep curl, and wrist flexion strength than did the control group. A nonspecific upper-limb strength-training program may improve the finger-pinch force control of older men.

  19. Multi-scale characterization of an upcurrent turbiditic pinch-out

    NASA Astrophysics Data System (ADS)

    Daghdevirenian, L. J. P.; Migeon, S.; Rubino, J. L., Sr.; Raisson, F.

    2017-12-01

    Continental margins with a steep topographic profile between their continental shelf and the basin exhibit a sudden slope break at the base of their continental slope. This slope break favors strong erosion or a by-pass and a fast accumulation of sediments on the base of the continental slope due to the hydraulic jump phenomena. Such a process is responsible for the construction of thick accumulations of limited extension and generally disconnected from the feeding tributaries. These accumulations usually onlap against the continental slope but their modality of pinch out is still questioned and it is the subject of this work. The Tabernas basin is located in South East of Spain, in the continuity of the Sorbas basin. Recent field works allowed identifying a "sedimentary" onlap associated with a small-scale sandy turbidite system that we discovered near the so-called El Buho area. The superb quality of the outcrops revealed, the presence of three successive onlap structures consisting in each case of a direct contact between fluvial conglomerates / marines conglomerates / marine marls / turbidite sands. Reconstruction of paleo-current direction gives a flow direction around N00, from north to south, suggesting the outcrops are cutting the pinch out of the sandy system in a longitudinal direction. A longitudinal and vertical transition of facies can be thus observed from marines' conglomerates to turbidite sands, respectively over distances of 500 m and 70 m. The complete evolution of facies along the pinch out consists of thick conglomerates in the proximal part to sandy turbidite channels then lobes in the distal part. The three successive onlap structures are located inside the channelize part of the system, just above a slope break structure. The basal units of the pinch out consist of an alternation of conglomerates and sandy bed, while the overlying units exhibits more sandy dominated beds. In order to reconstruct the architecture of the pinch out and to

  20. High efficiency spectrographs for the EUV and soft X-rays

    NASA Technical Reports Server (NTRS)

    Cash, W.

    1983-01-01

    The use of grazing incidence optics and reflection grating designs is shown to be a method that improves the performance of spectrographs at wavelengths shorter than 1200 A. Emphasis is laid on spectroscopic designs for X ray and EUV astronomy, with sample designs for an objective reflection grating spectrograph (ORGS) and an echelle spectrograph for wavelengths longer than 100 A. Conical diffraction allows operations at grazing incidence in the echelle spectrograph. In ORGS, the extreme distance of X ray objects aids in collimating the source radiation, which encounters conical diffraction within the instrument, proceeds parallel to the optical axis, and arrives at the detector. A series of gratings is used to achieve the effect. A grazing echelle is employed for EUV observations, and offers a resolution of 20,000 over a 300 A bandpass.